TW201444086A - Semiconductor device and fabrication method thereof - Google Patents

Semiconductor device and fabrication method thereof Download PDF

Info

Publication number
TW201444086A
TW201444086A TW102117265A TW102117265A TW201444086A TW 201444086 A TW201444086 A TW 201444086A TW 102117265 A TW102117265 A TW 102117265A TW 102117265 A TW102117265 A TW 102117265A TW 201444086 A TW201444086 A TW 201444086A
Authority
TW
Taiwan
Prior art keywords
region
fin structure
semiconductor device
horizontal
electrical contact
Prior art date
Application number
TW102117265A
Other languages
Chinese (zh)
Other versions
TWI563663B (en
Inventor
Shih-Fang Hong
Po-Chao Tsao
Original Assignee
United Microelectronics Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by United Microelectronics Corp filed Critical United Microelectronics Corp
Priority to TW102117265A priority Critical patent/TWI563663B/en
Publication of TW201444086A publication Critical patent/TW201444086A/en
Application granted granted Critical
Publication of TWI563663B publication Critical patent/TWI563663B/en

Links

Abstract

A semiconductor device includes a substrate, a first fin structure, an electrical contact structure and a gate structure. The first fin structure includes a horizontal fin structure extending along a first direction and a vertical fin structure extending along a second direction. The substrate has a first region and a second region. A portion of the horizontal fin structure and the vertical fin structure are disposed in the first region, and the electrical contact structure directly covers the horizontal fin structure and the vertical fin structure within the first region. The gate structure partially overlaps the horizontal fin structure within the second region.

Description

半導體裝置及其製作方法 Semiconductor device and method of fabricating the same

本發明係關於一種半導體裝置之領域,特別是關於一種半導體裝置井連接區內的結構及其製作方法。 The present invention relates to the field of a semiconductor device, and more particularly to a structure in a well connection region of a semiconductor device and a method of fabricating the same.

隨著場效電晶體(field effect transistors,FETs)元件尺寸持續地縮小,習知平面式(planar)場效電晶體元件之發展已面臨製程上之極限。為了克服製程限制,以非平面(non-planar)之場效電晶體元件,例如鰭狀場效電晶體(fin field effect transistor,FinFET)元件取代平面電晶體元件已成為目前之主流發展趨勢。 As the size of field effect transistors (FETs) components continues to shrink, the development of conventional planar field effect transistor components has faced process limitations. In order to overcome the process limitation, the replacement of planar transistor components with non-planar field effect transistor components, such as fin field effect transistor (FinFET) components, has become a mainstream trend.

在目前的次光學微影特徵尺度(sub-lithographic feature)的製程世代,一般係透過側壁圖案轉移(sidewall image transfer,SIT)技術以形成所需之鰭狀結構。一般來說,側壁圖案轉移技術之實施方式通常是先於基板上形成多個犧牲圖案。接著利用沉積及蝕刻製程,於各犧牲圖案之側壁分別形成一側壁子。而在去除該些犧牲圖案之後,繼以利用側壁子作為基板之蝕刻遮罩,進一步將各側壁子之圖案轉移至基板內,以形成複數條彼此平形排列之鰭狀結構,因而定義出電晶體元件載子通道之形狀及寬度。然而,鰭狀結構較小的表面積亦限制了其與電接觸結構間的接觸面積,特別是限制了位在井連接區內的鰭狀結構與電接觸結構間的接觸面積。由於電阻值與接觸面積呈現反比,此結構會使得井連接區內鰭狀結構/電接觸結構間的介面產生顯著的電壓 降,而不利於電晶體元件的電性表現。 In the current generation process of sub-lithographic features, the sidewall pattern transfer (SIT) technique is generally used to form the desired fin structure. In general, sidewall pattern transfer techniques are typically implemented by forming a plurality of sacrificial patterns on a substrate. Then, a sidewall is formed on each sidewall of each sacrificial pattern by a deposition and etching process. After removing the sacrificial patterns, the pattern of the sidewalls is further transferred into the substrate by using the sidewall mask as an etch mask of the substrate to form a plurality of fin structures arranged in a flat shape, thereby defining a transistor. The shape and width of the component carrier channel. However, the smaller surface area of the fin structure also limits the contact area between it and the electrical contact structure, and in particular limits the contact area between the fin structure and the electrical contact structure located in the well connection region. Since the resistance value is inversely proportional to the contact area, this structure causes a significant voltage to be generated in the interface between the fin structure/electrical contact structure in the well connection region. Drop, not conducive to the electrical performance of the transistor components.

因此,尚需要一種改良式的半導體裝置之結構及其製作方法,以克服上述井連接區內接觸電阻值過高之問題。 Therefore, there is still a need for an improved semiconductor device structure and method of fabricating the same to overcome the problem of excessive contact resistance in the well connection region.

為達到上述目的,本發明係提供一種半導體裝置之結構及其製作方法,以改進習知技術中之缺失。 In order to achieve the above object, the present invention provides a structure of a semiconductor device and a method of fabricating the same to improve the deficiencies in the prior art.

根據本發明之一實施例,係提供一種半導體裝置。半導體裝置包括基板、第一鰭狀結構、電接觸結構以及閘極結構,其中第一鰭狀結構包括沿著第一方向延伸之水平鰭狀結構,以及沿著第二方向延伸之垂直鰭狀結構。基板上定義有一第一區域以及一第二區域,且水平鰭狀結構的部份區段以及垂直鰭狀結構係被設置於第一區域內。電接觸結構直接覆蓋第一區域內的水平鰭狀結構以及垂直鰭狀結構,且閘極結構部份重疊於第二區域內的水平鰭狀結構。 According to an embodiment of the present invention, a semiconductor device is provided. The semiconductor device includes a substrate, a first fin structure, an electrical contact structure, and a gate structure, wherein the first fin structure includes a horizontal fin structure extending along the first direction, and a vertical fin structure extending along the second direction . A first region and a second region are defined on the substrate, and a partial segment of the horizontal fin structure and a vertical fin structure are disposed in the first region. The electrical contact structure directly covers the horizontal fin structure and the vertical fin structure in the first region, and the gate structure portion partially overlaps the horizontal fin structure in the second region.

根據本發明之另一實施例,係提供一種半導體裝置之製作方法,包括下列步驟:首先,形成犧牲圖案於基板上,並於犧牲圖案的四周側壁形成側壁子。接著轉移側壁子之圖案至基板,而形成一鰭狀結構,其中鰭狀結構包括沿著第一方向延伸之水平鰭狀結構以及沿著第二方向延伸之垂直鰭狀結構。接著依序形成形成閘極結構、源/汲極結構以及電接觸結構,其中閘極結構會與部份水平鰭狀結構重疊,源/汲極結構會各自位於閘極結構的各側,電接觸結構會直接覆蓋水平鰭狀結構以及垂直鰭狀結構。 According to another embodiment of the present invention, a method of fabricating a semiconductor device is provided, comprising the steps of: first forming a sacrificial pattern on a substrate, and forming sidewalls on sidewalls of the sacrificial pattern. The pattern of the sidewalls is then transferred to the substrate to form a fin structure, wherein the fin structure includes a horizontal fin structure extending along the first direction and a vertical fin structure extending along the second direction. Then, a gate structure, a source/drain structure and an electrical contact structure are formed in sequence, wherein the gate structure overlaps with a part of the horizontal fin structure, and the source/drain structures are respectively located on each side of the gate structure, and electrical contact The structure directly covers the horizontal fin structure as well as the vertical fin structure.

10‧‧‧基板 10‧‧‧Substrate

12‧‧‧介電層 12‧‧‧Dielectric layer

14‧‧‧犧牲圖案 14‧‧‧sacrificial pattern

16‧‧‧側壁子 16‧‧‧ Sidewall

18‧‧‧圖案化介電層 18‧‧‧ patterned dielectric layer

20‧‧‧突出結構 20‧‧‧Outstanding structure

22‧‧‧淺溝渠絕緣層 22‧‧‧Shallow trench insulation

24‧‧‧鰭狀結構 24‧‧‧Fin structure

24a‧‧‧第一鰭狀結構 24a‧‧‧First fin structure

24b‧‧‧第二鰭狀結構 24b‧‧‧second fin structure

24c‧‧‧第三鰭狀結構 24c‧‧‧ Third fin structure

24d‧‧‧第四鰭狀結構 24d‧‧‧Four fin structure

26a‧‧‧水平鰭狀結構 26a‧‧‧Horizontal fin structure

26b‧‧‧垂直鰭狀結構 26b‧‧‧Vertical fin structure

28‧‧‧井摻雜區 28‧‧‧ Well doped area

30‧‧‧井連接摻雜區 30‧‧‧ well connected doping area

32‧‧‧閘極結構 32‧‧‧ gate structure

34‧‧‧源/汲極摻雜區 34‧‧‧ source/deuterium doped region

38‧‧‧層間介電層 38‧‧‧Interlayer dielectric layer

40‧‧‧接觸洞 40‧‧‧Contact hole

42‧‧‧電接觸結構 42‧‧‧Electrical contact structure

60‧‧‧遮蔽結構 60‧‧‧shading structure

62‧‧‧介電層 62‧‧‧Dielectric layer

64‧‧‧導電層 64‧‧‧ Conductive layer

66‧‧‧上蓋層 66‧‧‧Upper cover

68‧‧‧側壁子 68‧‧‧ Sidewall

80a‧‧‧側面 80a‧‧‧ side

80b‧‧‧側面 80b‧‧‧ side

80c‧‧‧頂面 80c‧‧‧ top

100‧‧‧第一佈局圖案 100‧‧‧First layout pattern

D1‧‧‧第一深度 D1‧‧‧first depth

H1‧‧‧第一高度 H1‧‧‧ first height

R1‧‧‧井連接區 R1‧‧‧ well connection zone

R2‧‧‧主動區 R2‧‧‧ active area

W1‧‧‧第一寬度 W1‧‧‧ first width

W2‧‧‧第二寬度 W2‧‧‧ second width

X‧‧‧第一方向 X‧‧‧ first direction

Y‧‧‧第二方向 Y‧‧‧second direction

A-A’‧‧‧剖線 A-A’‧‧‧ cut line

B-B’‧‧‧剖線 B-B’‧‧‧ cut line

C-C’‧‧‧剖線 C-C’‧‧‧ cut line

第1圖至第7圖繪示了本發明之第一較佳實施例之半導體裝置之製作方法示意圖,其中:第1圖繪示了本發明第一較佳實施例在製程初始之結構俯視圖;第2圖是沿著第1圖剖線A-A’所繪示的剖面示意圖;第3圖繪示了經過圖案轉移製程並形成淺溝渠絕緣後的剖面示意圖;第4圖繪示了形成閘極結構後的俯視示意圖;第5圖是沿著第4圖剖線A-A’所繪示的剖面示意圖;第6圖繪示了形成電接觸結構後的俯視示意圖;以及第7圖是沿著第6圖中剖線B-B’所繪示之剖面示意圖。 1 to 7 are schematic views showing a manufacturing method of a semiconductor device according to a first preferred embodiment of the present invention, wherein: FIG. 1 is a top plan view showing a structure of a first preferred embodiment of the present invention; 2 is a schematic cross-sectional view taken along line A-A' of FIG. 1; FIG. 3 is a cross-sectional view showing a pattern transfer process and forming a shallow trench insulation; FIG. 4 is a schematic view showing the formation of a gate A schematic plan view of the rear view of the pole structure; FIG. 5 is a schematic cross-sectional view taken along line A-A' of FIG. 4; FIG. 6 is a schematic plan view of the electrical contact structure; and FIG. A cross-sectional view taken along line B-B' in Fig. 6.

第8圖繪示了本發明第一較佳實施例第一變化型的俯視示意圖。 FIG. 8 is a top plan view showing a first variation of the first preferred embodiment of the present invention.

第9圖是沿著第8圖中剖線C-C’所繪示之剖面示意圖。 Fig. 9 is a schematic cross-sectional view taken along line C-C' in Fig. 8.

第10圖繪示了本發明第一較佳實施例第二變化型的俯視示意圖。 Figure 10 is a top plan view showing a second variation of the first preferred embodiment of the present invention.

第11圖繪示了本發明第一較佳實施例第三變化型的俯視示意圖。 Figure 11 is a top plan view showing a third variation of the first preferred embodiment of the present invention.

於下文中,係加以陳述本發明之半導體裝置及其製作方法之具體實施方式,以使本技術領域中具有通常技術者可據以實施本發明。該些具體實施方式可參考相對應的圖式,使該些圖式構成實施方式之一部分。雖然本發明之實施例揭露如下,然而其並非用以限定本發明,任何熟習此技藝者,在不脫離本發明之精神和範疇內,當可作些許之更動與潤飾。 In the following, specific embodiments of the semiconductor device of the present invention and a method of fabricating the same are set forth to enable those skilled in the art to practice the invention. The specific embodiments may be referred to the corresponding drawings, such that the drawings form part of the embodiments. Although the embodiments of the present invention are disclosed as follows, they are not intended to limit the invention, and those skilled in the art can make some modifications and refinements without departing from the spirit and scope of the invention.

首先如第1圖及第2圖所示,第1圖繪示了在製程初始之結構俯視圖,第2圖為沿著第1圖剖線A-A’所繪示的剖面示意圖。在此階段,係提供一基板10,其上設置有介電層12以及複數個犧牲圖案14,且各犧牲圖案14之四周側壁均會被一外觀呈現環狀(loop)的側壁子16所覆蓋。其中,基板10上定義有第一區域和第二區域,其可以分別對應至半導體裝置的井連接區 R1和主動區R2,但不限於此。各犧牲圖案14可以橫跨井連接區R1和主動區R2,而使其俯視外觀具有一第一佈局圖案100,例如是沿著第一方向X和第二方向Y排列的矩陣佈局,並使各犧牲圖案14之長軸平行於第一方向X,但不限於此。較佳來說,各犧牲圖案14會具有一第一寬度W1,而各側壁子16會具有一第二寬度W2,且第一寬度W1會大於第二寬度W2。 First, as shown in Fig. 1 and Fig. 2, Fig. 1 is a plan view showing the structure at the beginning of the process, and Fig. 2 is a cross-sectional view taken along line A-A' of Fig. 1. At this stage, a substrate 10 is provided, on which a dielectric layer 12 and a plurality of sacrificial patterns 14 are disposed, and the sidewalls of each of the sacrificial patterns 14 are covered by a side wall 16 which is looped in appearance. . Wherein the substrate 10 defines a first region and a second region, which may respectively correspond to the well connection region of the semiconductor device R1 and active area R2, but are not limited thereto. Each sacrificial pattern 14 may span the well connection region R1 and the active region R2 such that its top view appearance has a first layout pattern 100, such as a matrix layout arranged along the first direction X and the second direction Y, and each The long axis of the sacrificial pattern 14 is parallel to the first direction X, but is not limited thereto. Preferably, each of the sacrificial patterns 14 has a first width W1, and each of the side walls 16 has a second width W2, and the first width W1 is greater than the second width W2.

上述之基板10較佳是半導體基板,例如矽基板或矽鍺(SiGe)基板等,且基板10較佳不會選用絕緣層上覆矽(silicon-on-insulator,SOI)基板。介電層12可以是氮化層或氧化層,例如氮化矽、氧化矽或其他適合的介電層,其可以利用熱氧化法、高密度電漿化學氣相沉積(high density plasma CVD,HDPCVD)或次常壓化學氣相沉積(sub-atmosphere CVD,SACVD)等製程而製得。且根據其他實施例,可以選擇性地不形成介電層於基板上。犧牲圖案14的組成可以是半導體材料,例如多晶矽材料,其形成方式可以透過一般的沉積、光微影及蝕刻製程。且受限於機台之製程能力,各犧牲圖案14之第一寬度W1係大於或等於此機台所能進行的光學微影製程之最小曝光極限。各側壁子16之組成可以是介電材料,例如氮化矽,其形成方式可以包括下列步驟:首先,形成一介電材料層(圖未示),以順向性地包覆各犧牲圖案14並覆蓋介電層12。接著,全面性地蝕刻(不用遮罩的方式蝕刻)介電材料層,以於各犧牲圖案14之四周側壁形成側壁子16,而形成如第1圖所示的俯視外觀。較佳來說,介電層12、犧牲圖案14以及側壁子16的組成彼此會不相同,使得彼此之間會具有一定的蝕刻選擇比。 The substrate 10 is preferably a semiconductor substrate such as a germanium substrate or a germanium (SiGe) substrate, and the substrate 10 preferably does not use a silicon-on-insulator (SOI) substrate. The dielectric layer 12 can be a nitride layer or an oxide layer, such as tantalum nitride, hafnium oxide or other suitable dielectric layer, which can utilize thermal oxidation, high density plasma CVD (HDPCVD). ) or sub-atmosphere CVD (SACVD) and other processes. And according to other embodiments, the dielectric layer can be selectively formed on the substrate. The composition of the sacrificial pattern 14 can be a semiconductor material, such as a polysilicon material, which can be formed by conventional deposition, photolithography, and etching processes. Moreover, limited by the process capability of the machine, the first width W1 of each sacrificial pattern 14 is greater than or equal to the minimum exposure limit of the optical lithography process that can be performed by the machine. The composition of each of the sidewalls 16 may be a dielectric material, such as tantalum nitride, which may be formed in the following steps: First, a dielectric material layer (not shown) is formed to laterally coat the sacrificial patterns 14 And covering the dielectric layer 12. Next, the dielectric material layer is etched comprehensively (without masking) to form sidewall spacers 16 on the sidewalls of each sacrificial pattern 14 to form a top view as shown in FIG. Preferably, the composition of the dielectric layer 12, the sacrificial pattern 14 and the sidewall spacers 16 will be different from one another such that there will be a certain etch selectivity ratio between each other.

參照第3圖所示,第3圖繪示了經過圖案轉移製程並形成淺溝渠絕緣後的剖面示意圖,其大致對應於第1圖中的剖線A-A’。如第2圖和第3圖所示,全面去除井連接區R1及主動區R2內之犧牲圖案14,使得介電層12上方僅留下側壁子16。之後進行一圖案轉移製程,例如是側壁圖案轉移 (sidewall image transfer,SIT)製程,以將各側壁子16定義出之環狀圖案轉移至基板10的表面而形成複數個具有環狀圖案的突出結構20。其中,各突出結構20均會具有一第一高度H1,且其上方依序會堆疊有圖案化介電層18以及側壁子16。 Referring to Fig. 3, Fig. 3 is a schematic cross-sectional view showing the pattern transfer process and forming shallow trench isolation, which substantially corresponds to the line A-A' in Fig. 1. As shown in FIGS. 2 and 3, the sacrificial pattern 14 in the well connection region R1 and the active region R2 is completely removed, leaving only the sidewall spacer 16 above the dielectric layer 12. Then perform a pattern transfer process, such as sidewall pattern transfer A (sidewall image transfer, SIT) process is performed to transfer a ring pattern defined by each of the side walls 16 to the surface of the substrate 10 to form a plurality of protruding structures 20 having an annular pattern. Each of the protruding structures 20 has a first height H1, and the patterned dielectric layer 18 and the sidewalls 16 are sequentially stacked thereon.

具體來說,上述的圖案轉移製程可包括多個蝕刻步驟,舉例來說:首先,利用一般蝕刻製程(乾蝕刻或濕蝕刻)去除犧牲圖案14,僅留下各側壁子16於介電層12上。在此一般蝕刻製程條件下,犧牲圖案14之蝕刻速率會大於側壁子16之蝕刻速率,因此該蝕刻製程幾乎不會蝕刻側壁子16。接著,進行一道或多道非等向性蝕刻製程(anisotropic etching process),以側壁子16作為蝕刻遮罩,依序向下蝕刻介電層12及/或部分之基板10。至此,便可將側壁子16所定義之圖案轉移至介電層12及/或基板10內。在此需注意的是,全文中所稱之「圖案轉移製程」係包含「側壁圖案轉移製程」之概念,亦即,「圖案轉移製程」可被視為是「側壁圖案轉移製程」之上位概念。 Specifically, the pattern transfer process described above may include a plurality of etching steps, for example, first, the sacrificial pattern 14 is removed by a general etching process (dry etching or wet etching), leaving only the sidewalls 16 on the dielectric layer 12 on. Under the general etching process conditions, the etching rate of the sacrificial pattern 14 is greater than the etching rate of the sidewalls 16, so that the etching process hardly etches the sidewalls 16. Next, one or more anisotropic etching processes are performed, and the dielectric layer 12 and/or a portion of the substrate 10 are sequentially etched downward with the sidewalls 16 as an etch mask. To this end, the pattern defined by the sidewalls 16 can be transferred into the dielectric layer 12 and/or the substrate 10. It should be noted that the "pattern transfer process" referred to in the full text includes the concept of "sidewall pattern transfer process", that is, the "pattern transfer process" can be regarded as the "sidewall pattern transfer process". .

仍如第3圖所示,並搭配參照第2圖。在完成上述的圖案轉移製程之後,可依序進行介電層沉積製程、介電層平坦化製程以及介電層回蝕刻製程,以於各突出結構20的底部周圍形成具有一第一深度D1的淺溝渠絕緣層22。因此,各突出結構20的部分區段會突出於淺溝渠絕緣層22。此突出於淺溝渠絕緣層22的部分區段亦可被稱作是鰭狀結構24,且鰭狀結構24之高度大約為300至400埃(angstroms)。在此需注意的是,在上述的圖案轉移製程中,各側壁子16之寬度可能會些許地被蝕刻縮減,因此,各相對應鰭狀結構24之寬度可能會略小於原先各側壁子16之第二寬度W2,但不限於此。 Still as shown in Figure 3, and with reference to Figure 2. After the pattern transfer process is completed, the dielectric layer deposition process, the dielectric layer planarization process, and the dielectric layer etch process may be sequentially performed to form a first depth D1 around the bottom of each protruding structure 20. Shallow trench insulation layer 22. Therefore, a portion of each of the protruding structures 20 may protrude from the shallow trench insulating layer 22. This portion of the segment that protrudes from the shallow trench insulating layer 22 may also be referred to as a fin structure 24, and the height of the fin structure 24 is approximately 300 to 400 angstroms. It should be noted that in the above pattern transfer process, the width of each sidewall 16 may be slightly etched and reduced. Therefore, the width of each corresponding fin structure 24 may be slightly smaller than that of the original sidewalls 16 The second width W2 is, but not limited to.

參照第4圖和第5圖,其中第4圖繪示了形成閘極結構後的俯視示意圖,而第5圖是沿著第4圖剖線A-A’所繪示的剖面示意圖。如第4圖和 第5圖所示,並搭配參照第3圖。接著完全去除鰭狀結構24上方的各側壁子16以及各圖案化介電層18,以暴露出各鰭狀結構24,例如暴露出第一鰭狀結構24a、第二鰭狀結構24b、第三鰭狀結構24c以及第四鰭狀結構24d,但不限於此。較佳來說,各鰭狀結構24a、24b、24c、24d均可以橫跨井連接區R1和主動區R2,且其U型末端係位於井連接區R1內。換句話說,各鰭狀結構24a、24b、24c、24d之末端會具有二水平鰭狀結構26a以及一垂直鰭狀結構26b。 Referring to Figures 4 and 5, wherein FIG. 4 is a schematic plan view showing the formation of the gate structure, and FIG. 5 is a schematic cross-sectional view taken along line A-A' of FIG. As shown in Figure 4 and Figure 5 is shown in conjunction with Figure 3. Then, the sidewalls 16 above the fin structure 24 and the patterned dielectric layers 18 are completely removed to expose the fin structures 24, for example, exposing the first fin structures 24a, the second fin structures 24b, and the third. The fin structure 24c and the fourth fin structure 24d are not limited thereto. Preferably, each fin structure 24a, 24b, 24c, 24d can span the well connection region R1 and the active region R2, and its U-shaped end is located in the well connection region R1. In other words, the ends of each of the fin structures 24a, 24b, 24c, 24d will have two horizontal fin structures 26a and one vertical fin structure 26b.

接著可再進行其他相關的半導體製程。例如依序進行多道離子佈植製程,以於基板10內形成具有第一導電型,例如P型,的井摻雜區(well doped region)28以及井連接摻雜區(well pick-up doped region)30。其中,井摻雜區28會被形成於井連接區R1以及主動區R2內,而井連接摻雜區30僅會被形成於井連接區R1內。進一步來說,井連接摻雜區30可以被視為是設置於井摻雜區28內的一重摻雜區。換句話說,井連接摻雜區30的摻雜濃度會高於井摻雜區28的摻雜濃度。此外,摻雜井的離子佈植製程亦可實施於形成各鰭狀結構24之前,其時序點並不加以限制。接著,於各主動區R2內形成至少一閘極結構32,使得各閘極結構32可以同時與多個平行排列的鰭狀結構24直接接觸,但本發明不限於此。根據其他實施例,各主動區內也可以同時設置有多個平行排列的閘極結構。較佳而言,各閘極結構32的設置會如同第4圖所示。各閘極結構32會包覆住各鰭狀結構24的部份區段,且其從下至上至少會包括一閘極介電層(圖未式)、一閘極導電層(圖未式)以及一上蓋層(圖未示),且各閘極結構32的側壁會被閘極側壁子(圖未示)所覆蓋。其中,上述閘極介電層、閘極導電層、以及上蓋層之材料可以分別對應至氧化矽、多晶矽/金屬材料、以及氮化矽,但不限於此。 Other related semiconductor processes can then be performed. For example, a plurality of ion implantation processes are sequentially performed to form a well doped region 28 having a first conductivity type, such as a P type, and a well pick-up doped region in the substrate 10. Region)30. Wherein, the well doping region 28 is formed in the well connection region R1 and the active region R2, and the well connection doping region 30 is only formed in the well connection region R1. Further, the well connection doped region 30 can be considered to be a heavily doped region disposed within the well doped region 28. In other words, the doping concentration of well connection doped region 30 will be higher than the doping concentration of well doped region 28. In addition, the ion implantation process of the doping well can also be performed before the formation of each fin structure 24, and the timing points thereof are not limited. Next, at least one gate structure 32 is formed in each active region R2 such that each gate structure 32 can be in direct contact with a plurality of fin structures 24 arranged in parallel at the same time, but the invention is not limited thereto. According to other embodiments, a plurality of gate structures arranged in parallel may be simultaneously disposed in each active region. Preferably, the arrangement of the gate structures 32 will be as shown in FIG. Each of the gate structures 32 covers a portion of each of the fin structures 24, and at least includes a gate dielectric layer (not shown) and a gate conductive layer (not shown) from bottom to top. And an upper cap layer (not shown), and the sidewalls of each of the gate structures 32 are covered by the gate sidewalls (not shown). The material of the gate dielectric layer, the gate conductive layer, and the upper cap layer may correspond to yttrium oxide, polysilicon/metal material, and tantalum nitride, respectively, but is not limited thereto.

仍如第4圖所示。接著可進行一塗佈及光微影製程,以於基板10 上形成一圖案化遮罩層(圖未式),例如光阻層,其僅會暴露出各主動區R2內的結構,例如暴露主動區R2內的淺溝渠絕緣層22、各鰭狀結構24、及各閘極結構32。繼以在圖案化遮罩層、閘極結構32以及閘極側壁子的覆蓋下,進行一離子佈植製程,以於各閘極結構32的兩側的鰭狀結構24內各自形成源/汲極摻雜區34。其中各源/汲極摻雜區34可以被視為是設置於井摻雜區28內的一重摻雜區,且各源/汲極摻雜區34的導電型會相異於井摻雜區28及井連接摻雜區30的導電型。換句話說,本實施例的各源/汲極摻雜區34會具有一第二導電型,例如N型。最後,移除圖案化遮罩層。 Still as shown in Figure 4. Then, a coating and photolithography process can be performed to substrate 10 Forming a patterned mask layer (not shown), such as a photoresist layer, only exposes the structures in each active region R2, such as exposing the shallow trench insulating layer 22 in the active region R2, and each fin structure 24 And each gate structure 32. An ion implantation process is performed under the coverage of the patterned mask layer, the gate structure 32, and the gate sidewalls to form a source/汲 in each of the fin structures 24 on both sides of each gate structure 32. Very doped region 34. Each source/drain doping region 34 can be considered as a heavily doped region disposed within the well doped region 28, and the conductivity type of each source/drain doping region 34 is different from the well doped region. 28 and the well connected conductivity type of the doped region 30. In other words, each source/drain doping region 34 of the present embodiment will have a second conductivity type, such as an N-type. Finally, the patterned mask layer is removed.

在此需注意的是,根據上述實施例,各鰭狀結構24a、24b、24c、24d均會橫跨井連接區R1和主動區R2。然而,根據其他實施例,各鰭狀結構位於連接區和主動區間的區段也可以在形成淺溝渠絕緣層之前或之後就被去除,而使得連接區和主動區間不會存在有鰭狀結構。 It should be noted here that, according to the above embodiment, each fin structure 24a, 24b, 24c, 24d will span the well connection region R1 and the active region R2. However, according to other embodiments, the sections of each fin structure located in the connection zone and the active zone may also be removed before or after the formation of the shallow trench insulation layer, such that the connection zone and the active zone do not have a fin structure.

參照第6圖以及第7圖,其中第7圖是沿著第6圖中剖線B-B’所繪示之剖面示意圖。如第6圖以及第7圖所示,在移除圖案化遮罩層後,可進行一沉積製程,以全面形成一層間介電層38於基板10上。繼以對層間介電層38施行一平坦化製程,使得層間介電層38完全覆蓋住各鰭狀結構24以及各閘極結構32。接著,進行一蝕刻製程,以於層間介電層38內形成多個接觸洞40,並使得各鰭狀結構34的相對應區段暴露出於接觸洞40的底部。在後續製程中,暴露出於接觸洞40的各鰭狀結構34便可以作為供電性連接至外部電路的接觸區域。 Referring to Fig. 6 and Fig. 7, Fig. 7 is a schematic cross-sectional view taken along line B-B' in Fig. 6. As shown in FIGS. 6 and 7, after the patterned mask layer is removed, a deposition process can be performed to form an interlevel dielectric layer 38 on the substrate 10. A planarization process is then performed on the interlayer dielectric layer 38 such that the interlayer dielectric layer 38 completely covers the fin structures 24 and the gate structures 32. Next, an etching process is performed to form a plurality of contact holes 40 in the interlayer dielectric layer 38, and expose corresponding portions of the fin structures 34 to the bottom of the contact holes 40. In subsequent processes, the fin structures 34 exposed to the contact holes 40 can serve as contact areas for power supply connections to external circuitry.

在此需注意的是,由於本實施例係揭露一前閘極(gate first)製程,因此在形成層間介電層38之後不會置換閘極結構32內的導電材料,但不限於此。本發明亦可以應用於後閘極(gate last)製程,或稱置換金屬閘極 (replacement metal gate,RMG)製程,以置換閘極結構內的導電材料。舉例來說,在形成層間介電層之後,可繼以持續研磨此層間介電層,直至暴露出閘極結構的頂部,例如暴露出上蓋層。接著至少進行一移除製程以及金屬沉積製程,以移除閘極結構內原本的閘極導電層,例如多晶矽,並置換成導電性較佳之金屬材料,例如鋁、鎢或銅等金屬,以完成此後閘極製程。 It should be noted here that since the present embodiment discloses a gate first process, the conductive material in the gate structure 32 is not replaced after the interlayer dielectric layer 38 is formed, but is not limited thereto. The invention can also be applied to a gate last process, or a replacement metal gate A replacement metal gate (RMG) process to displace conductive material within the gate structure. For example, after forming the interlayer dielectric layer, the interlayer dielectric layer can be continuously polished until the top of the gate structure is exposed, such as exposing the cap layer. Then at least a removal process and a metal deposition process are performed to remove the original gate conductive layer in the gate structure, such as polysilicon, and replace it with a metal material having better conductivity, such as aluminum, tungsten or copper, to complete After that, the gate process.

仍如第6圖以及第7圖所示。在層間介電層38內形成多個接觸洞40之後,接著可於各接觸洞40內形成電接觸結構42,例如條狀接觸結構,使其直接接觸並覆蓋各鰭狀結構24的部份區段。本發明之一特徵在於,位於井連接區R1內的各電接觸結構42除了會覆蓋各鰭狀結構24的水平鰭狀結構26a外,其同時也會覆蓋各鰭狀結構24的垂直鰭狀結構26b,亦即位於井連接區R1內的各電接觸結構42係直接覆蓋各鰭狀結構24a、24b、24c、24d之U型末端。具體來說,位於井連接區R1內的各電接觸結構42可以直接接觸水平鰭狀結構26a與垂直鰭狀結構26b各自相對設置的兩側面80a、80b以及頂面80c,因此增大了整體的接觸面積並降低了接觸電阻。在這樣結構下,若施加一電壓於井連接區R1,電接觸結構42與水平/垂直鰭狀結構26a、26b間僅會產生較小的壓降,因此可以順利將電壓經由井連接摻雜區30施加至井摻雜區28。在此需注意的是,電接觸結構42由下至上可依序包括阻障層及/或黏著層,例如氮化鈦或氮化鉭,以及導電層,例如鋁、鎢或銅等高導電性材料,但不限於此。 Still as shown in Figure 6 and Figure 7. After a plurality of contact holes 40 are formed in the interlayer dielectric layer 38, an electrical contact structure 42 such as a strip contact structure may be formed in each of the contact holes 40 to directly contact and cover a portion of each of the fin structures 24. segment. One feature of the present invention is that each electrical contact structure 42 located within the well connection region R1 covers the horizontal fin structure 26a of each fin structure 24, and also covers the vertical fin structure of each fin structure 24. 26b, that is, each of the electrical contact structures 42 located within the well connection region R1 directly covers the U-shaped ends of the fin structures 24a, 24b, 24c, 24d. Specifically, each of the electrical contact structures 42 located in the well connection region R1 may directly contact the two side faces 80a, 80b and the top face 80c of the horizontal fin structure 26a and the vertical fin structure 26b, respectively, thereby increasing the overall Contact area and reduced contact resistance. Under such a structure, if a voltage is applied to the well connection region R1, only a small voltage drop is generated between the electrical contact structure 42 and the horizontal/vertical fin structures 26a, 26b, so that the voltage can be smoothly connected to the doped region via the well. 30 is applied to the well doped region 28. It should be noted that the electrical contact structure 42 may include a barrier layer and/or an adhesive layer, such as titanium nitride or tantalum nitride, and a conductive layer, such as aluminum, tungsten or copper, from bottom to top. Materials, but are not limited to this.

本發明除了上述第一較佳實施例外,另可包括其他半導體裝置結構的變化型。這些變化型之結構以及製程步驟大致類似於上述第一較佳實施例,以下僅就主要差異處加以描述,且相類似的元件與結構可以搭配參照。 The present invention may include variations of other semiconductor device configurations in addition to the first preferred embodiment described above. The structure and process steps of these variations are generally similar to the first preferred embodiment described above, and only the main differences will be described below, and similar components and structures may be referred to.

如第8圖和第9圖所示,第8圖繪示了本發明第一較佳實施例第 一變化型的俯視示意圖,且第9圖是沿著第8圖中剖線C-C’所繪示之剖面示意圖。第8圖所示第一變化型的結構大概對應於第6圖所示第一較佳實施例之結構,兩者的主要差別在於,本第一變化型的半導體裝置另包括多個遮蔽結構60,其可以用來防止磊晶層成長在鰭狀結構24的特定區域。其中,一遮蔽結構60會被設置於井連接區R1內的兩電接觸結構42間,而二遮蔽結構60會各自直接接觸井連接區R1內的多個鰭狀結構24,特別是直接接觸多個水平鰭狀結構26a,但不限於此。因此,位於井連接區R1內的各電接觸結構42可以覆蓋並直接接觸相對應的各遮蔽結構60,但不限定於此。根據其他實施例,遮蔽結構也可以被設置於井連接區與主動區的電接觸結構之間,因而與電接觸結構互相分離。因此,即便設置了遮蔽結構60,本第一變化型的半導體裝置也會由於井連接區R1內存在垂直鰭狀結構26b,降低其接觸電阻並縮減了井連接區R1的尺寸,進而提昇了半導體裝置的積集度。 As shown in FIG. 8 and FIG. 9, FIG. 8 illustrates a first preferred embodiment of the present invention. A schematic top view of a variation, and Fig. 9 is a schematic cross-sectional view taken along line C-C' of Fig. 8. The first variation of the structure shown in FIG. 8 corresponds to the structure of the first preferred embodiment shown in FIG. 6. The main difference between the two is that the semiconductor device of the first variation further includes a plurality of shielding structures 60. It can be used to prevent the epitaxial layer from growing in a specific region of the fin structure 24. Wherein, a shielding structure 60 is disposed between the two electrical contact structures 42 in the well connection region R1, and the two shielding structures 60 are each directly in contact with the plurality of fin structures 24 in the well connection region R1, especially in direct contact. The horizontal fin structures 26a are, but are not limited to. Therefore, each of the electrical contact structures 42 located in the well connection region R1 can cover and directly contact the corresponding shielding structures 60, but is not limited thereto. According to other embodiments, the shielding structure may also be disposed between the well connection region and the electrical contact structure of the active region, and thus separated from the electrical contact structure. Therefore, even if the shielding structure 60 is provided, the semiconductor device of the first variation type has a vertical fin structure 26b due to the well connection region R1, which reduces the contact resistance and reduces the size of the well connection region R1, thereby improving the semiconductor. The degree of integration of the device.

具體來說,上述第一變化型遮蔽結構60的結構以及形成的時點實質上會相同於主動區R2內閘極結構32的結構及形成的時點。舉例來說,當透過前閘極製程完成半導體裝置的閘極結構時,各遮蔽結構60也會包覆住各鰭狀結構24的部份區段,且其從下至上至少會包括一介電層62、一導電層64以及一上蓋層66,且各遮蔽結構60的側壁也會被側壁子68所覆蓋。其中,上述介電層、導電層、以及上蓋層之材料可以分別對應至上述第一較佳實施例所述之閘極介電層、閘極導電層、以及上蓋層,但不限於此。但本發明不限於此,其也可以利用後閘極製程製備閘極結構及/或遮蔽結構。 Specifically, the structure and the formation time of the first variation type shielding structure 60 are substantially the same as the structure and the formation time of the gate structure 32 in the active region R2. For example, when the gate structure of the semiconductor device is completed through the front gate process, each of the shielding structures 60 also covers a portion of each of the fin structures 24, and at least a dielectric is included from bottom to top. The layer 62, a conductive layer 64 and an upper cap layer 66, and the sidewalls of each of the shielding structures 60 are also covered by the sidewalls 68. The materials of the dielectric layer, the conductive layer, and the upper cap layer may respectively correspond to the gate dielectric layer, the gate conductive layer, and the upper cap layer described in the first preferred embodiment, but are not limited thereto. However, the present invention is not limited thereto, and it is also possible to prepare a gate structure and/or a shielding structure by using a back gate process.

除上述第一變化型之外,本發明還包括第一較佳實施例之第二變化型。如第10圖所示,第10圖繪示了本發明第一較佳實施例第二變化型的俯視示意圖。第二變化型的結構和製程步驟大致類似於第一較佳實施例的結構和製程步驟,兩者的主要差別在於,本變化型位於右側主動區R2內的第三 鰭狀結構24c僅具有兩平行排列之水平鰭狀結構26a,而不具有垂直鰭狀結構26b。其餘的第一、第二及第四鰭狀結構24a、24b、24d仍具有兩平行排列之水平鰭狀結構26a以及一垂直鰭狀結構26b。因此,位於井連接區R1右側的電接觸結構42只會直接覆蓋和第三鰭狀結構24c的兩平行排列之水平鰭狀結構26a。除了第三鰭狀結構24c不具有垂直鰭狀結構26b之外,本變化型實施例之結構或製程實質上均類似於第一較佳實施例及上述變化型之第1圖至第9圖所示之結構或製程,在此便不再贅述。 In addition to the first variation described above, the present invention also includes a second variation of the first preferred embodiment. As shown in Fig. 10, Fig. 10 is a top plan view showing a second modification of the first preferred embodiment of the present invention. The second variation of the structure and process steps is substantially similar to the structure and process steps of the first preferred embodiment, the main difference between the two being that the variant is located in the third active region R2. The fin structure 24c has only two horizontal fin structures 26a arranged in parallel without the vertical fin structure 26b. The remaining first, second and fourth fin structures 24a, 24b, 24d still have two horizontal fin structures 26a and a vertical fin structure 26b arranged in parallel. Therefore, the electrical contact structure 42 located to the right of the well connection region R1 will only directly cover the two horizontally arranged horizontal fin structures 26a of the third fin structure 24c. The structure or process of the present variation embodiment is substantially similar to the first preferred embodiment and the above-described variations of FIGS. 1 through 9 except that the third fin structure 24c does not have the vertical fin structure 26b. The structure or process of the description will not be repeated here.

除上述第一和第二變化型之外,本發明另還包括第一較佳實施例之第三變化型。如第11圖所示,第11圖繪示了本發明第一較佳實施例第三變化型的俯視示意圖。第三變化型的結構和製程步驟大致類似於第一較佳實施例的結構和製程步驟,兩者的主要差別在於,本變化型位於井連接區R1內的同一電接觸結構42同時會直接覆蓋第一至第四鰭狀結構24a、24b、24c、24d的垂直鰭狀結構26b。除了電接觸結構42會同時覆蓋第一至第四鰭狀結構24的垂直鰭狀結構26b之外,本變化型實施例之結構或製程實質上均類似於第一較佳實施例及上述變化型之第1圖至第9圖所示之結構或製程,在此便不再贅述。 In addition to the first and second variations described above, the present invention further includes a third variation of the first preferred embodiment. As shown in Fig. 11, Fig. 11 is a top plan view showing a third modification of the first preferred embodiment of the present invention. The third variation of the structure and process steps is substantially similar to the structure and process steps of the first preferred embodiment. The main difference between the two is that the same electrical contact structure 42 in the well connection region R1 is directly covered at the same time. Vertical fin structures 26b of the first to fourth fin structures 24a, 24b, 24c, 24d. The structure or process of the present variation embodiment is substantially similar to the first preferred embodiment and the above variations, except that the electrical contact structure 42 covers the vertical fin structures 26b of the first to fourth fin structures 24 at the same time. The structure or process shown in Figures 1 to 9 will not be described here.

在此需注意的是,上述之各變化型也可以根據製程需求而被相互組合。舉例來說,可以在井連接區設置有遮蔽結構之情況下,僅設置一電接觸結構於井連接區內,以同時接觸第一至第四鰭狀結構的垂直鰭狀結構,但不限於此。 It should be noted here that the above variations can also be combined with each other according to the process requirements. For example, in the case where the well connection region is provided with the shielding structure, only one electrical contact structure is disposed in the well connection region to simultaneously contact the vertical fin structures of the first to fourth fin structures, but is not limited thereto. .

為了簡潔起見,上述各實施例的水平及垂直鰭狀結構26a、26b係作為井連接區R1的接觸區域。然而,本發明之水平及垂直鰭狀結構26a、26b不僅只適用於井連接區R1,其可均等地被應用於其他適當之區域。舉例 而言,本發明之水平及垂直鰭狀結構可以被應用在電晶體元件的源/汲極區域,或是電阻器(resistor)、二極體元件、感光元件(photosensitive device)或雙極性電晶體(bipolar junction transistor,BJT)等半導體元件的適當區域中。 For the sake of brevity, the horizontal and vertical fin structures 26a, 26b of the above embodiments are used as contact areas for the well connection region R1. However, the horizontal and vertical fin structures 26a, 26b of the present invention are not only applicable to the well joint zone R1, but can be equally applied to other suitable zones. Example In summary, the horizontal and vertical fin structures of the present invention can be applied to the source/drain regions of the transistor element, or to a resistor, a diode element, a photosensitive device, or a bipolar transistor. In a suitable region of a semiconductor element such as a bipolar junction transistor (BJT).

綜上所述,根據本發明之實施例,水平鰭狀結構以及垂直鰭狀結構均會被設置於半導體裝置的井連接區內。因此位於井連接區內電接觸結構除了會覆蓋水平鰭狀結構外,其同時也會覆蓋垂直鰭狀結構,而具有較大的接觸面積和較低的接觸電阻。在這樣結構下,若施加一電壓於井連接區,電接觸結構與水平/垂直鰭狀結構間僅會產生較小的壓降,因此可以順利將電壓經由井連接摻雜區施加至井摻雜區,進而增進了半導體裝置的效能。 In summary, according to an embodiment of the present invention, both the horizontal fin structure and the vertical fin structure are disposed in the well connection region of the semiconductor device. Therefore, in addition to covering the horizontal fin structure, the electrical contact structure located in the well connection region also covers the vertical fin structure, and has a large contact area and a low contact resistance. Under such a structure, if a voltage is applied to the well connection region, only a small voltage drop is generated between the electrical contact structure and the horizontal/vertical fin structure, so that the voltage can be smoothly applied to the well doping through the well connection doping region. The area, in turn, enhances the performance of the semiconductor device.

22‧‧‧淺溝渠絕緣層 22‧‧‧Shallow trench insulation

24‧‧‧鰭狀結構 24‧‧‧Fin structure

24a‧‧‧第一鰭狀結構 24a‧‧‧First fin structure

24b‧‧‧第二鰭狀結構 24b‧‧‧second fin structure

24c‧‧‧第三鰭狀結構 24c‧‧‧ Third fin structure

24d‧‧‧第四鰭狀結構 24d‧‧‧Four fin structure

26a‧‧‧水平鰭狀結構 26a‧‧‧Horizontal fin structure

26b‧‧‧垂直鰭狀結構 26b‧‧‧Vertical fin structure

28‧‧‧井摻雜區 28‧‧‧ Well doped area

30‧‧‧井連接摻雜區 30‧‧‧ well connected doping area

32‧‧‧閘極結構 32‧‧‧ gate structure

42‧‧‧電接觸結構 42‧‧‧Electrical contact structure

100‧‧‧第一佈局圖案 100‧‧‧First layout pattern

R1‧‧‧井連接區 R1‧‧‧ well connection zone

R2‧‧‧主動區 R2‧‧‧ active area

X‧‧‧第一方向 X‧‧‧ first direction

Y‧‧‧第二方向 Y‧‧‧second direction

B-B’‧‧‧剖線 B-B’‧‧‧ cut line

Claims (20)

一種半導體裝置,包括:一基板,定義有一第一區域以及一第二區域;一第一鰭狀結構,設置於該基板上,該第一鰭狀結構包括至少一沿著一第一方向延伸之水平鰭狀結構,以及一沿著一第二方向延伸之垂直鰭狀結構,其中該水平鰭狀結構的部份區段以及該垂直鰭狀結構係被設置於該第一區域內;一電接觸結構,直接覆蓋該第一區域內的該至少一水平鰭狀結構以及該垂直鰭狀結構;以及一閘極結構,設置於該基板上,該閘極結構部份重疊於該第二區域內該至少一水平鰭狀結構。 A semiconductor device includes: a substrate defining a first region and a second region; a first fin structure disposed on the substrate, the first fin structure including at least one extending along a first direction a horizontal fin structure, and a vertical fin structure extending along a second direction, wherein a portion of the horizontal fin structure and the vertical fin structure are disposed in the first region; an electrical contact a structure directly covering the at least one horizontal fin structure and the vertical fin structure in the first region; and a gate structure disposed on the substrate, the gate structure partially overlapping the second region At least one horizontal fin structure. 如請求項第1項所述之半導體裝置,其中該第一方向垂直該第二方向。 The semiconductor device of claim 1, wherein the first direction is perpendicular to the second direction. 如請求項第1項所述之半導體裝置,其中該第一鰭狀結構係為一環狀(loop)結構。 The semiconductor device of claim 1, wherein the first fin structure is a loop structure. 如請求項第1項所述之半導體裝置,其中該第一鰭狀結構係為一具有開口的環狀結構。 The semiconductor device of claim 1, wherein the first fin structure is an annular structure having an opening. 如請求項第1項所述之半導體裝置,該第一鰭狀結構包括另一沿著該第一方向延伸之水平鰭狀結構,其中該電接觸結構會直接接觸該第一區域內的該些水平鰭狀結構以及該垂直鰭狀結構。 The semiconductor device of claim 1, wherein the first fin structure comprises another horizontal fin structure extending along the first direction, wherein the electrical contact structure directly contacts the plurality of regions in the first region A horizontal fin structure and the vertical fin structure. 如請求項第1項所述之半導體裝置,其中該水平鰭狀結構與該垂直鰭狀結構各自具有相對設置的兩側面,且該電接觸結構會直接接觸該些側面。 The semiconductor device of claim 1, wherein the horizontal fin structure and the vertical fin structure each have opposite side faces, and the electrical contact structure directly contacts the sides. 如請求項第1項所述之半導體裝置,其中該第一區域為一井連接區(well pick-up region),該第二區域為一主動區(active region)。 The semiconductor device of claim 1, wherein the first region is a well pick-up region, and the second region is an active region. 如請求項第7項所述之半導體裝置,另包括一具有第一導電型的井連接摻雜區,設置於該井連接區內。 The semiconductor device of claim 7, further comprising a well connection doping region having a first conductivity type disposed in the well connection region. 如請求項第7項所述之半導體裝置,另包括一具有第二導電型的源/汲極摻雜區,設置於該主動區內。 The semiconductor device of claim 7, further comprising a source/drain doped region having a second conductivity type disposed in the active region. 如請求項第9項所述之半導體裝置,其中該源/汲極摻雜區係設置於該水平鰭狀結構內且位於該閘極結構的一側。 The semiconductor device of claim 9, wherein the source/drain doping region is disposed within the horizontal fin structure and on one side of the gate structure. 如請求項第1項所述之半導體裝置,另包含一井連接摻雜區以及一源/汲極摻雜區,分別設置於該第一區域內以及該第二區域內,其中該井連接摻雜區具有一第一導電型,該源/汲極摻雜區具有一第二導電型,且該第一導電型相異於該第二導電型。 The semiconductor device of claim 1, further comprising a well connection doping region and a source/drain doping region respectively disposed in the first region and in the second region, wherein the well is coupled The impurity region has a first conductivity type, the source/drain doped region has a second conductivity type, and the first conductivity type is different from the second conductivity type. 如請求項第1項所述之半導體裝置,另包含一遮蔽結構,覆蓋住該水平鰭狀結構的部份區段,其中該電接觸結構會覆蓋部份該遮蔽結構。 The semiconductor device of claim 1, further comprising a shielding structure covering a portion of the horizontal fin structure, wherein the electrical contact structure covers a portion of the shielding structure. 如請求項第1項所述之半導體裝置,另包含:一第二鰭狀結構,設置於該基板上,該第二鰭狀結構包括至少一沿著該第一方向延伸之水平鰭狀結構,以及一沿著該第二方向延伸之垂直鰭狀結構,其中該些水平鰭狀結構的部份區段以及該些垂直鰭狀結構均被設置於該第一區域內。 The semiconductor device of claim 1, further comprising: a second fin structure disposed on the substrate, the second fin structure including at least one horizontal fin structure extending along the first direction, And a vertical fin structure extending along the second direction, wherein the partial segments of the horizontal fin structures and the vertical fin structures are disposed in the first region. 如請求項第13項所述之半導體裝置,其中該第一方向垂直該第二方向。 The semiconductor device of claim 13, wherein the first direction is perpendicular to the second direction. 如請求項第13項所述之半導體裝置,其中該電接觸結構會直接接觸該些水平鰭狀結構以及該些垂直鰭狀結構。 The semiconductor device of claim 13, wherein the electrical contact structure directly contacts the horizontal fin structures and the vertical fin structures. 如請求項第13項所述之半導體裝置,其中該水平鰭狀結構與該垂直鰭狀結構各自具有相對設置的兩側面,且該電接觸結構會直接接觸該些側面。 The semiconductor device of claim 13, wherein the horizontal fin structure and the vertical fin structure each have opposite side faces, and the electrical contact structure directly contacts the sides. 如請求項第16項所述之半導體裝置,另包含一井連接摻雜區以及一源/汲極摻雜區,分別設置於該第一區域內以及該第二區域內,其中該源/汲極摻雜區設置於至少一該些水平鰭狀結構內且位於該閘極結構的一側。 The semiconductor device of claim 16, further comprising a well connection doping region and a source/drain doping region respectively disposed in the first region and in the second region, wherein the source/汲The pole doped region is disposed in at least one of the horizontal fin structures and on one side of the gate structure. 如請求項第17項所述之半導體裝置,其中該井連接摻雜區具有一第一導電型,該源/汲極摻雜區具有一第二導電型,且該第一導電型相異於該第二導電型。 The semiconductor device of claim 17, wherein the well connection doped region has a first conductivity type, the source/drain doped region has a second conductivity type, and the first conductivity type is different from The second conductivity type. 一種半導體裝置的製作方法,包括:提供一基板;形成一犧牲圖案於該基板上;形成一側壁子於該犧牲圖案之四周側壁;去除該犧牲圖案;轉移該側壁子之圖案至該基板,而形成一鰭狀結構,其中該鰭狀結構包括至少一沿著一第一方向延伸之水平鰭狀結構以及沿著一第二方向延伸之一垂直鰭狀結構;形成一閘極結構,與部份該水平鰭狀結構重疊;形成二源/汲極摻雜區,各自位於該閘極結構的各側;以及 形成一電接觸結構,直接覆蓋該至少一水平鰭狀結構以及該垂直鰭狀結構。 A method of fabricating a semiconductor device, comprising: providing a substrate; forming a sacrificial pattern on the substrate; forming a sidewall on a sidewall of the sacrificial pattern; removing the sacrificial pattern; transferring the pattern of the sidewall to the substrate; Forming a fin structure, wherein the fin structure includes at least one horizontal fin structure extending along a first direction and a vertical fin structure extending along a second direction; forming a gate structure, and a portion The horizontal fin structures overlap; forming two source/drain doped regions, each located on each side of the gate structure; An electrical contact structure is formed to directly cover the at least one horizontal fin structure and the vertical fin structure. 如請求項第19項所述之半導體裝置的製作方法,其中在形成該電接觸結構之前,該垂直鰭狀結構仍會存在。 The method of fabricating a semiconductor device according to claim 19, wherein the vertical fin structure is still present before the electrical contact structure is formed.
TW102117265A 2013-05-15 2013-05-15 Semiconductor device and fabrication method thereof TWI563663B (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
TW102117265A TWI563663B (en) 2013-05-15 2013-05-15 Semiconductor device and fabrication method thereof

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
TW102117265A TWI563663B (en) 2013-05-15 2013-05-15 Semiconductor device and fabrication method thereof

Publications (2)

Publication Number Publication Date
TW201444086A true TW201444086A (en) 2014-11-16
TWI563663B TWI563663B (en) 2016-12-21

Family

ID=52423425

Family Applications (1)

Application Number Title Priority Date Filing Date
TW102117265A TWI563663B (en) 2013-05-15 2013-05-15 Semiconductor device and fabrication method thereof

Country Status (1)

Country Link
TW (1) TWI563663B (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI651777B (en) * 2016-07-06 2019-02-21 格羅方德半導體公司 Method and apparatus for placing a gate contact inside an active region of a semiconductor

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008098553A (en) * 2006-10-16 2008-04-24 Elpida Memory Inc Semiconductor device and method of manufacturing the same
US7646046B2 (en) * 2006-11-14 2010-01-12 Infineon Technologies Ag Field effect transistor with a fin structure
US8343877B2 (en) * 2009-11-09 2013-01-01 International Business Machines Corporation Angle ion implant to re-shape sidewall image transfer patterns

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI651777B (en) * 2016-07-06 2019-02-21 格羅方德半導體公司 Method and apparatus for placing a gate contact inside an active region of a semiconductor

Also Published As

Publication number Publication date
TWI563663B (en) 2016-12-21

Similar Documents

Publication Publication Date Title
US9190497B2 (en) Method for fabricating semiconductor device with loop-shaped fin
TWI556441B (en) Field effect transistor structure and method for forming the same
TWI524464B (en) Finfet device and method for manufacturing the same
TWI416634B (en) Method of forming an integrated circuit structure
KR101374489B1 (en) Methods of manufacturing semiconductor devices and transistors
US9269815B2 (en) FinFET semiconductor device with a recessed liner that defines a fin height of the FinFet device
US8835262B2 (en) Methods of forming bulk FinFET devices by performing a recessing process on liner materials to define different fin heights and FinFET devices with such recessed liner materials
TWI662702B (en) Semiconductor device, finfet device, and method of fabricating semiconductor device
US20140367795A1 (en) Methods of forming different finfet devices having different fin heights and an integrated circuit product containing such devices
CN103474397A (en) Method of making a FINFET device
TWI678732B (en) Method of forming fin structure
TW201714306A (en) Metal-oxide-semiconductor transistor and method of forming gate layout
TW201442121A (en) Method to form finFET/trigate devices on bulk semiconductor wafers
TWI629790B (en) Semiconductor device and method for fabricating the same
TW201926430A (en) Method for forming semiconductor device structure
TWI671819B (en) Semiconductor structure and fabrication method thereof
TW201806158A (en) FinFET device on silicon on insulator and method of forming the same
CN106158748B (en) Semiconductor element and manufacturing method thereof
TW202137572A (en) Integrated chip
TWI672767B (en) Passive device structure and methods of making thereof
TW201732937A (en) Semiconductor device and method for fabricating the same
TWI627726B (en) Integrated circuit device and method for forming electro-static discharge device
US20210074832A1 (en) Manufacturing method of semiconductor structure
TW201444086A (en) Semiconductor device and fabrication method thereof
TWI721056B (en) Semiconductor device