TW201437397A - Physical vapor deposition system - Google Patents

Physical vapor deposition system Download PDF

Info

Publication number
TW201437397A
TW201437397A TW103104279A TW103104279A TW201437397A TW 201437397 A TW201437397 A TW 201437397A TW 103104279 A TW103104279 A TW 103104279A TW 103104279 A TW103104279 A TW 103104279A TW 201437397 A TW201437397 A TW 201437397A
Authority
TW
Taiwan
Prior art keywords
target
substrate
physical vapor
vapor deposition
sheets
Prior art date
Application number
TW103104279A
Other languages
Chinese (zh)
Inventor
Ralf Hofmann
Majeed A Foad
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of TW201437397A publication Critical patent/TW201437397A/en

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/50Substrate holders

Landscapes

  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physical Vapour Deposition (AREA)
  • Physical Deposition Of Substances That Are Components Of Semiconductor Devices (AREA)

Abstract

Apparatus for processing substrates are provided herein. In some embodiments, a physical vapor deposition chamber may include a chamber body having a first portion to retain a target comprising material to be deposited on a substrate, and a scanning substrate support disposed within the chamber body to support the substrate opposite the target during processing, wherein the scanning substrate support is configured to move the substrate laterally in the chamber body during a deposition process with respect to the target.

Description

物理蒸氣沉積系統 Physical vapor deposition system

本發明的實施例一般係關於基板處理設備。 Embodiments of the invention generally relate to substrate processing equipment.

傳統的物理蒸氣沉積處理(PVD)通常使用磁控管來限制靶材附近的電漿,靶材具有將從靶材濺射的材料,且該材料隨後沉積在基板上。但是,藉由使用磁控管,變化的電力密度會形成橫越於靶材的表面,導致靶材的不均勻沖蝕及/或高的熱應力,導致靶材的不均勻損耗與不均勻沉積。靶材的不均勻損耗會減短靶材的有效壽命,因此需要較頻繁地維護處理腔室並且減低了生產力。另外,當在靶材後面使用磁控管時,靶材材料會使需要用於在靶材表面處產生與限制電漿的某些或全部磁場分流。 Conventional physical vapor deposition processes (PVD) typically use a magnetron to limit the plasma in the vicinity of the target, the target has a material that will be sputtered from the target, and the material is subsequently deposited on the substrate. However, by using a magnetron, the varying power density can form across the surface of the target, resulting in uneven erosion of the target and/or high thermal stress, resulting in uneven loss and uneven deposition of the target. . Uneven loss of the target reduces the useful life of the target, thus requiring more frequent maintenance of the processing chamber and reduced productivity. Additionally, when a magnetron is used behind the target, the target material will negate the need to split some or all of the magnetic field at the target surface to create and limit the plasma.

另外,新裝置結構與新材料的引進對於沉積處理施加了前所未有、與日俱增的挑戰,特別是結合了增加的晶圓尺寸。這特別明顯的是在小記憶體裝置上,例如磁性RAM(MRAM),它需要很薄的磁性層的複雜堆疊的沉積,其中需要次奈米的膜厚度均勻性。這些材料的傳統濺射具有挑戰性,因為事實上磁性靶材材料會使磁控管所產生的磁場部分 分流。因為僅可使用較薄的靶材,這明顯影響了生產力。另外,靶材表面上的沖蝕分佈在靶材的生命週期中會改變,因為隨著靶材變薄(漸縮效應),磁場會局部強化,導致晶圓對晶圓(WTW,wafer-to-wafer)與晶圓內(WIW,within-wafer)膜厚度均勻性的漂移。針對此問題的目前方法包括長拋濺射源(long-throw sputtering sources)。但是,這些濺射源有貧乏的靶材利用率問題,因為很大部分的濺射材料都損耗在腔室屏蔽部上,使它們對於300/450mm的晶圓尺寸來說非常不實際。 In addition, the introduction of new device structures and new materials has created an unprecedented and ever-increasing challenge for deposition processing, especially in combination with increased wafer size. This is particularly evident on small memory devices, such as magnetic RAM (MRAM), which require the deposition of a complex stack of very thin magnetic layers where sub-nano film thickness uniformity is required. Traditional sputtering of these materials is challenging because, in fact, the magnetic target material will cause the magnetic field generated by the magnetron Diversion. This is a significant impact on productivity because only thinner targets can be used. In addition, the erosion distribution on the surface of the target changes during the lifetime of the target, as the magnetic field is locally strengthened as the target becomes thinner (decreasing effect), resulting in wafer-to-wafer (WTW, wafer-to -wafer) drift with wafer thickness uniformity within the wafer (WIW, within-wafer). Current methods for this problem include long-throw sputtering sources. However, these sputtering sources have a problem of poor target utilization because a large portion of the sputter material is lost on the chamber shield, making them very impractical for a 300/450 mm wafer size.

當沉積二元或三元的複合物時,產生其他的挑戰,因為通常會遭遇到其中一個元素的優先濺射。通常需要多方面的條件且限制了生產力。通常,所需的材料合成物難以生產,且因為靶材的沖蝕,所沉積的膜的組成會漂移。 When depositing a binary or ternary composite, other challenges arise because preferential sputtering of one of the elements is typically encountered. Often multiple conditions are required and productivity is limited. In general, the desired material composition is difficult to produce, and the composition of the deposited film may drift due to erosion of the target.

因此,發明人提供改良的物理蒸氣沉積設備,用於沉積材料於基板頂部上。 Accordingly, the inventors have provided improved physical vapor deposition apparatus for depositing materials on top of a substrate.

本文提供用於處理基板的設備。在某些實施例中,一種物理蒸氣沉積腔室可包括:一腔室主體,該腔室主體具有一第一部分,以固定一靶材,該靶材包括將要沉積於一基板上的材料;以及一掃描式基板支座,該掃描式基板支座設置於該腔室主體內,以在處理期間支撐相對於該靶材的該基板,其中該掃描式基板支座係配置來在一沉積處理期間相對於該靶材在該腔室主體中橫向地移動該基板。 Apparatus for processing a substrate is provided herein. In some embodiments, a physical vapor deposition chamber can include: a chamber body having a first portion to secure a target, the target comprising a material to be deposited on a substrate; a scanning substrate holder disposed within the chamber body to support the substrate relative to the target during processing, wherein the scanning substrate holder is configured to be disposed during a deposition process The substrate is moved laterally in the chamber body relative to the target.

在某些實施例中,一種物理蒸氣沉積腔室可包括: 一腔室主體,該腔室主體具有一處理容積與一第一部分,該處理容積界定於該腔室主體內,且該第一部分固定一靶材,該靶材包括將要沉積於一基板上的材料,其中該靶材包括複數個靶材片,且其中該等複數個靶材片中的每一靶材片包括一不同的材料;一可移動的孔,該可移動的孔設置於該等複數個靶材片與該基板之間,其中該可移動的孔具有一開口,該開口將該等複數個靶材片中的一靶材片的一第一表面曝露至該基板的一表面;以及一基板支座,該基板支座設置於該腔室主體內,以在處理期間支撐相對於該靶材的該基板。 In certain embodiments, a physical vapor deposition chamber can include: a chamber body having a processing volume and a first portion, the processing volume being defined within the chamber body, and the first portion securing a target comprising a material to be deposited on a substrate Wherein the target comprises a plurality of target sheets, and wherein each of the plurality of target sheets comprises a different material; a movable aperture, the movable aperture being disposed in the plurality of Between the target sheet and the substrate, wherein the movable hole has an opening that exposes a first surface of a target sheet of the plurality of target sheets to a surface of the substrate; A substrate holder disposed within the chamber body to support the substrate relative to the target during processing.

在某些實施例中,一種方法,用於使用一靶材而在一基板上形成多層不同材料的一堆疊,該靶材包括複數個靶材片,其中該等複數個靶材片中的每一靶材片為一不同的材料,該方法包括:在該靶材與由一掃描式基板支座支撐的該基板之間形成一電漿;移動一孔,以將該等複數個靶材片的一第一靶材片的一第一表面曝露至該基板的一沉積表面;施加一偏壓電力至該第一靶材片,以開始沉積該第一靶材片的一第一材料至該基板的該沉積表面上;相對於該靶材橫向地移動該基板支座,以均勻地沉積該第一材料至該基板的該沉積表面上;移動該孔,以將該等複數個靶材片的一第二靶材片的一第二表面曝露至該基板的該沉積表面;施加一偏壓電力至該第二靶材片,以開始沉積該第二靶材片的一第二材料至已沉積於該基板上的該第一材料上;以及相對於該靶材橫向地移動該基板支座,以均勻地沉積該第二材料至已沉積於該基板上的該第一材料上。 In some embodiments, a method for forming a stack of multiple layers of different materials on a substrate using a target, the target comprising a plurality of target sheets, wherein each of the plurality of target sheets A target sheet is a different material, the method comprising: forming a plasma between the target and the substrate supported by a scanning substrate holder; moving a hole to the plurality of target sheets Exposing a first surface of a first target sheet to a deposition surface of the substrate; applying a bias power to the first target sheet to start depositing a first material of the first target sheet to On the deposition surface of the substrate; laterally moving the substrate support relative to the target to uniformly deposit the first material onto the deposition surface of the substrate; moving the hole to multiply the plurality of target sheets Exposing a second surface of a second target sheet to the deposition surface of the substrate; applying a bias power to the second target sheet to start depositing a second material of the second target sheet to Deposited on the first material on the substrate; and relative to the target Radially moving the substrate support to uniformly depositing the second material to have been deposited on the first material on the substrate.

本發明之其他與進一步實施例敘述於下。 Other and further embodiments of the invention are described below.

100‧‧‧處理腔室 100‧‧‧Processing chamber

102‧‧‧導管 102‧‧‧ catheter

104‧‧‧靶材 104‧‧‧ Target

106‧‧‧電漿 106‧‧‧ Plasma

108a-d‧‧‧磁鐵 108a-d‧‧‧ magnet

112‧‧‧基板 112‧‧‧Substrate

114‧‧‧內部容積 114‧‧‧Internal volume

116‧‧‧腔室主體 116‧‧‧ chamber body

117‧‧‧側壁 117‧‧‧ side wall

118‧‧‧基板支座 118‧‧‧Substrate support

120‧‧‧處理容積 120‧‧‧Processing volume

121‧‧‧主軸 121‧‧‧ Spindle

122‧‧‧開孔 122‧‧‧Opening

123‧‧‧電極 123‧‧‧electrode

124‧‧‧偏壓電源 124‧‧‧ bias power supply

126‧‧‧匹配網路 126‧‧‧matching network

128‧‧‧開孔 128‧‧‧ openings

130‧‧‧流量閥 130‧‧‧Flow valve

132‧‧‧控制器 132‧‧‧ Controller

134‧‧‧中央處理單元(CPU) 134‧‧‧Central Processing Unit (CPU)

136‧‧‧記憶體 136‧‧‧ memory

138‧‧‧支援電路 138‧‧‧Support circuit

140‧‧‧排氣系統 140‧‧‧Exhaust system

142‧‧‧真空泵 142‧‧‧vacuum pump

144‧‧‧蓋件 144‧‧‧Cleaning pieces

145‧‧‧導管 145‧‧‧ catheter

146‧‧‧RF電源 146‧‧‧RF power supply

148‧‧‧DC電源 148‧‧‧DC power supply

150‧‧‧表面 150‧‧‧ surface

152‧‧‧中心 152‧‧‧ Center

154‧‧‧端部 154‧‧‧End

160‧‧‧屏蔽部 160‧‧ ‧Shielding Department

162‧‧‧開孔 162‧‧‧ openings

164‧‧‧電源 164‧‧‧Power supply

202‧‧‧天線 202‧‧‧Antenna

302‧‧‧磁鐵 302‧‧‧ Magnet

304‧‧‧磁鐵 304‧‧‧ magnet

306‧‧‧電漿 306‧‧‧ Plasma

308、310、312‧‧‧電源 308, 310, 312‧‧‧ power supplies

314、316‧‧‧電源 314, 316‧‧‧ power supply

318、320‧‧‧偏轉線圈 318, 320‧‧‧ deflection coil

322‧‧‧第一對 322‧‧‧ first pair

324‧‧‧第二對 324‧‧‧ second pair

325‧‧‧第二側部 325‧‧‧ second side

326‧‧‧第三側部 326‧‧‧ Third side

327‧‧‧第一側部 327‧‧‧ first side

328‧‧‧第四側部 328‧‧‧Fourth Side

402‧‧‧第一厚度 402‧‧‧First thickness

403‧‧‧距離 403‧‧‧distance

404‧‧‧距離 404‧‧‧distance

406‧‧‧端部 406‧‧‧End

408‧‧‧中心 408‧‧‧ Center

410‧‧‧距離 410‧‧‧ distance

412‧‧‧距離 412‧‧‧ distance

414‧‧‧第二厚度 414‧‧‧second thickness

500‧‧‧STT-MRAM堆疊 500‧‧‧STT-MRAM stacking

602‧‧‧導管 602‧‧‧ catheter

604‧‧‧靶材 604‧‧‧ Target

606‧‧‧電漿 606‧‧‧ Plasma

608a-b‧‧‧磁鐵 608a-b‧‧‧ magnet

612‧‧‧基板 612‧‧‧Substrate

618‧‧‧掃描式基板支座 618‧‧‧Scanning substrate support

660‧‧‧屏蔽部 660‧‧ ‧Shielding Department

662‧‧‧開孔 662‧‧‧ openings

670‧‧‧電源 670‧‧‧Power supply

672‧‧‧方向 672‧‧‧ Direction

700‧‧‧處理腔室 700‧‧‧Processing chamber

702‧‧‧導管 702‧‧‧ catheter

704‧‧‧靶材 704‧‧‧ Target

706‧‧‧電漿 706‧‧‧ Plasma

708a-b‧‧‧磁鐵 708a-b‧‧‧ magnet

712‧‧‧基板 712‧‧‧Substrate

716‧‧‧腔室主體 716‧‧‧ Chamber body

718‧‧‧掃描式基板支座 718‧‧‧Scanning substrate support

778‧‧‧靶材裝置 778‧‧‧ target device

780‧‧‧滾筒 780‧‧‧Roller

782‧‧‧滾筒軸 782‧‧‧Roller shaft

786‧‧‧方向 786‧‧‧ directions

802‧‧‧導管 802‧‧‧ catheter

804a-c‧‧‧靶材片 804a-c‧‧‧target film

806‧‧‧電漿 806‧‧‧ Plasma

808a-b‧‧‧磁鐵 808a-b‧‧‧ Magnet

812‧‧‧基板 812‧‧‧Substrate

818‧‧‧基板支座 818‧‧‧Substrate support

860‧‧‧屏蔽部 860‧‧‧Shielding Department

862‧‧‧屏蔽開孔 862‧‧‧Shielding opening

866‧‧‧可移動的孔 866‧‧‧ movable holes

868‧‧‧開口 868‧‧‧ openings

870‧‧‧致動器 870‧‧‧Actuator

872‧‧‧方向 872‧‧‧ Direction

874‧‧‧方向 874‧‧‧ directions

876a-c‧‧‧偏壓電源 876a-c‧‧‧ bias power supply

藉由參照所附圖式中繪示之本發明的例示實施例,可瞭解在下面更詳細討論且簡短總結於上之本發明的實施例。但是,注意到,所附圖式只例示本發明之一般實施例且因此不視為限制其範圍,因為本發明可容許其他等效實施例。 Embodiments of the present invention, which are discussed in more detail below and briefly summarized above, may be understood by reference to the exemplary embodiments of the invention illustrated in the drawings. It is to be understood, however, that the appended claims

第1圖根據本發明的某些實施例,繪示物理蒸氣沉積腔室,該物理蒸氣沉積腔室與用於提供電漿至處理腔室的設備一起使用,該處理腔室具有靶材設置於其中。 1 is a diagram showing a physical vapor deposition chamber for use with a device for providing plasma to a processing chamber, the processing chamber having a target disposed on, in accordance with some embodiments of the present invention among them.

第2A圖至第2B圖根據本發明的某些實施例,繪示用於提供電漿至處理腔室的設備。 2A through 2B illustrate an apparatus for providing plasma to a processing chamber, in accordance with some embodiments of the present invention.

第3A圖至第3B圖根據本發明的某些實施例,繪示用於提供電漿至處理腔室的設備。 3A-3B illustrate an apparatus for providing plasma to a processing chamber, in accordance with some embodiments of the present invention.

第4圖根據本發明的某些實施例,繪示一靶材,該靶材適於與用於提供電漿至處理腔室的設備一起使用。 Figure 4 illustrates a target suitable for use with equipment for providing plasma to a processing chamber, in accordance with certain embodiments of the present invention.

第5圖繪示範例的自旋轉移力矩磁性隨機存取記憶體(STT-MRAM,Spin Transfer Torque Magnetic RAM)堆疊的橫剖面,STT-MRAM堆疊可根據本發明的某些實施例來處理。 FIG. 5 depicts a cross-section of an exemplary spin transfer torque magnetic random access memory (STT-MRAM) stack, which may be processed in accordance with certain embodiments of the present invention.

第6A圖至第6B圖根據本發明的某些實施例,分別繪示包括掃描式基板支座的設備的示意頂部透視圖與側部視圖,該掃描式基板支座用於使用在處理腔室中。 6A-6B illustrate schematic top perspective and side views, respectively, of an apparatus including a scanning substrate holder for use in a processing chamber, in accordance with some embodiments of the present invention in.

第7A圖至第7B圖根據本發明的某些實施例,分別繪示包括掃描式基板支座的另一實施例的設備的示意前視圖與側部視圖,該掃描式基板支座用於使用在處理腔室中。 7A through 7B are schematic front and side views, respectively, of an apparatus including another embodiment of a scanning substrate holder for use in accordance with some embodiments of the present invention. In the processing chamber.

第8A圖至第8B圖根據本發明的某些實施例,分別繪示包括複數個靶材片與可移動的孔之設備的示意頂部透視圖與側部視圖,該等複數個靶材片與可移動的孔用於使用在處理腔室中。 8A-8B are schematic top perspective and side views, respectively, of an apparatus including a plurality of target sheets and movable apertures, the plurality of target sheets and portions, in accordance with some embodiments of the present invention A movable hole is used in the processing chamber.

為了促進瞭解,已經在任何可能的地方使用相同的元件符號來表示圖式中共同的相同元件。圖式未依照尺寸繪製,且可以為了清楚加以簡化。可瞭解到,一實施例的元件與特徵可有利地併入在其他實施例中,而不用另外詳述。 To promote understanding, the same element symbols have been used wherever possible to refer to the same elements in the drawings. The drawings are not drawn to dimensions and may be simplified for clarity. It will be appreciated that elements and features of an embodiment may be beneficially incorporated in other embodiments without further recitation.

本發明的實施例提供:使用物理蒸氣沉積(PVD)處理(例如,濺射),將材料沉積於基板頂部上的設備。相較於傳統的基板支座與傳統的靶材,本發明設備的實施例可有利地提供靶材材料的更均勻沉積,藉此允許靶材材料從靶材濺射並且均勻地沉積於基板頂部上,且減少已濺射的靶材材料重新沉積於靶材表面上,因此改良了所沉積層的缺陷性能。 Embodiments of the present invention provide an apparatus for depositing material on top of a substrate using physical vapor deposition (PVD) processing (e.g., sputtering). Embodiments of the apparatus of the present invention may advantageously provide for a more uniform deposition of target material than conventional substrate holders and conventional targets, thereby allowing target material to be sputtered from the target and uniformly deposited on top of the substrate And reducing the deposited material of the sputtered material onto the surface of the target, thus improving the defect properties of the deposited layer.

第1圖根據本發明的某些實施例,繪示物理蒸氣沉積(PVD)腔室的示意、橫剖面視圖。PVD腔室可為適於促進磁性材料沉積RAM(MRAM)的製造之任何種類的PVD腔室,例如從所欲尺寸的基板製造,所欲尺寸例如像是圓形晶圓(例如,200或300mm的半導體基板)、方形平板(例如,用於顯示器、太陽能、發光二極體(LED)、與其他相似的應用),或類似者。合適的PVD腔室的範例包括ALPS® Plus與SIP ENCORE® PVD處理腔室,兩者都可商業上從加州的聖 克拉拉的應用材料公司取得。來自應用材料公司或其他製造商的其他處理腔室也可受益於本文所揭示的本發明設備。 1 is a schematic, cross-sectional view of a physical vapor deposition (PVD) chamber, in accordance with some embodiments of the present invention. The PVD chamber can be any type of PVD chamber suitable for facilitating the fabrication of magnetic material deposition RAM (MRAM), for example, from a substrate of the desired size, such as a circular wafer (eg, 200 or 300 mm). Semiconductor substrate), square plate (for example, for display, solar, light emitting diode (LED), similar applications), or the like. Examples of suitable PVD chambers include ALPS ® Plus and SIP ENCORE ® PVD processing chambers, both of which are commercially available from Applied Materials, Inc. of Santa Clara, California. Other processing chambers from Applied Materials or other manufacturers may also benefit from the apparatus of the invention disclosed herein.

在某些實施例中,處理腔室100通常可包括腔室主體116,腔室主體116界定了內部容積114,內部容積114可包括處理容積120。處理容積120例如可界定於基板支座118(基板支座118用於接收設置於處理腔室100內的基板112)與濺射源(例如,設置成相對於基板支座118的靶材104)之間。配置來形成電漿106的導管102(下面更完整地敘述)透過腔室主體116中的開孔122而耦接於處理容積120。雖然處理腔室100係圖示在基板與靶材係在水平位置中被支撐與處理的定向中,但是在某些實施例中,處理腔室可配置成在垂直位置中支撐以及處理基板與靶材,如同第7A圖與第7B圖所示,在下面討論。 In certain embodiments, the processing chamber 100 can generally include a chamber body 116 that defines an interior volume 114 that can include a processing volume 120. The processing volume 120 can be defined, for example, on a substrate support 118 (the substrate support 118 for receiving the substrate 112 disposed within the processing chamber 100) and a sputtering source (eg, the target 104 disposed relative to the substrate support 118) between. A conduit 102 (described more fully below) configured to form the plasma 106 is coupled to the processing volume 120 through an opening 122 in the chamber body 116. While the processing chamber 100 is illustrated in an orientation in which the substrate and target are supported and processed in a horizontal position, in some embodiments, the processing chamber can be configured to support and process the substrate and target in a vertical position. The material, as shown in Figures 7A and 7B, is discussed below.

在某些實施例中,基板支座118可包括一機構,該機構固定或支撐基板112於基板支座118的表面上,例如靜電夾盤、真空夾盤、基板固定夾具、或類似者。在某些實施例中,基板支座118可包括電極123,電極123耦接於一或更多個電源,例如像是偏壓電源124,如同第1圖所示。在某些實施例中,偏壓電源124可透過一或更多個匹配網路(圖示一個匹配網路126)而耦接於電極123。偏壓的基板係用於控制基板表面附近的離子能量及/或種的流量。替代地或組合地,在某些實施例中,一或更多個電源可包括DC或脈衝式DC電源。 In some embodiments, the substrate support 118 can include a mechanism that secures or supports the substrate 112 on the surface of the substrate support 118, such as an electrostatic chuck, a vacuum chuck, a substrate holding fixture, or the like. In some embodiments, the substrate support 118 can include an electrode 123 coupled to one or more power sources, such as, for example, a bias power source 124, as shown in FIG. In some embodiments, the bias power supply 124 can be coupled to the electrode 123 via one or more matching networks (illustrating a matching network 126). The biased substrate is used to control the flow of ion energy and/or species near the surface of the substrate. Alternatively or in combination, in some embodiments, one or more power sources may include a DC or pulsed DC power source.

另外,在某些實施例中,基板支座118可包括用於 控制基板溫度的機構(例如,加熱及/或冷卻裝置,未圖示)。 Additionally, in some embodiments, the substrate support 118 can include A mechanism for controlling the temperature of the substrate (for example, a heating and/or cooling device, not shown).

基板112可透過腔室主體116的壁部中的開孔128而進入處理腔室100。開孔128可透過流量閥130而選擇性地密封,或者透過用於透過開孔128而選擇性地提供對於腔室內部的存取的其他機構而選擇性地密封。在某些實施例中,基板支座118可耦接於升舉機構(未圖示),升舉機構可控制基板支座118的位置在下部位置(如同所示,適於透過開孔128而轉移基板進與出腔室)與可選擇的上部位置(適於用於處理)之間。處理位置可經選擇,以最大化特定處理的處理均勻性。當在至少一升高的處理位置中時,基板支座118可設置於開孔128之上,以提供對稱的處理區域。在某些實施例中,基板支座118可相對於靶材104在處理腔室116中橫向地移動(例如,掃描)基板112。例如,基板支座118可相對於靶材移動基板112,以控制從靶材104濺射的材料沉積至基板112的表面上。 The substrate 112 can pass through the opening 128 in the wall of the chamber body 116 into the processing chamber 100. The opening 128 can be selectively sealed by the flow valve 130 or selectively sealed by other means for selectively providing access to the interior of the chamber through the opening 128. In some embodiments, the substrate support 118 can be coupled to a lift mechanism (not shown) that can control the position of the substrate support 118 in a lower position (as shown, adapted to pass through the opening 128) Transfer substrate into and out of the chamber) and selectable upper position (suitable for processing). Processing locations can be selected to maximize processing uniformity for a particular process. When in at least one elevated processing position, substrate holder 118 can be disposed over opening 128 to provide a symmetrical processing area. In some embodiments, the substrate support 118 can laterally move (eg, scan) the substrate 112 relative to the target 104 in the processing chamber 116. For example, the substrate support 118 can move the substrate 112 relative to the target to control deposition of material sputtered from the target 104 onto the surface of the substrate 112.

在某些實施例中,處理腔室100可包括排氣系統140,用於排空處理腔室100的內部容積114內的氣體及/或維持處理腔室100的內部容積114內的所欲壓力。排氣系統140通常可包括真空泵142,真空泵142透過導管145耦接於處理腔室100。在某些實施例中,排氣系統140可包括其他元件(第1圖未圖示),以促進排空或維持處理腔室中的所欲壓力,例如像是幫浦氣室、閥、或前管線。 In certain embodiments, the processing chamber 100 can include an exhaust system 140 for evacuating gas within the interior volume 114 of the processing chamber 100 and/or maintaining desired pressure within the interior volume 114 of the processing chamber 100. . The exhaust system 140 can generally include a vacuum pump 142 coupled to the processing chamber 100 via a conduit 145. In certain embodiments, the exhaust system 140 may include other components (not shown in FIG. 1) to facilitate evacuation or maintenance of desired pressure in the processing chamber, such as, for example, a pump chamber, a valve, or Front pipeline.

在某些實施例中,可提供一或更多個屏蔽部160例如於靶材104與基板112之間,且屏蔽部160可界定開孔 162,開孔162界定基板的沉積區域,且屏蔽部160可減少處理腔室主體116的內部表面上的非所欲沉積。屏蔽部160通常保護處理腔室主體116的內部表面免於非所欲地聚集沉積材料。屏蔽部116可用任何合適的方式安裝於處理腔室100的所欲區域中。在某些實施例中,屏蔽部160包括開孔(未圖示),以允許電漿106被引入至處理腔室的沉積區域中。 In some embodiments, one or more shields 160 can be provided, for example, between the target 104 and the substrate 112, and the shield 160 can define an opening 162, the aperture 162 defines a deposition area of the substrate, and the shield 160 can reduce undesired deposition on the interior surface of the processing chamber body 116. The shield 160 generally protects the interior surface of the process chamber body 116 from undesired accumulation of deposited material. The shield 116 can be mounted in the desired area of the processing chamber 100 in any suitable manner. In certain embodiments, the shield 160 includes an aperture (not shown) to allow the plasma 106 to be introduced into the deposition area of the processing chamber.

在某些實施例中,屏蔽部160可電性偏壓,例如透過電源164。將屏蔽部160偏壓可有利地使屏蔽部160上的沉積材料更密,藉此減少粒子的產生,這進一步有利地協助減少基板缺陷。這些缺陷通常由脫離腔室屏蔽部的粒子(因為以低角度入射所沉積的材料的不良黏著性)所導致。藉由主動偏壓屏蔽部160於濺射臨界值之上,屏蔽部160上的材料可週期性地加密(例如,藉由氬離子轟炸或其他類型的加密方法),以增加所沉積的材料的黏著性並且減少脫離。另外,偏壓於濺射臨界值之上也會移除材料,且可用於清除過多的材料。 In some embodiments, the shield 160 can be electrically biased, such as through the power source 164. Biasing the shield 160 can advantageously make the deposited material on the shield 160 denser, thereby reducing particle generation, which further advantageously assists in reducing substrate defects. These defects are typically caused by particles that are detached from the chamber shield (due to poor adhesion of the deposited material at low angles of incidence). By actively biasing the shield 160 above the sputtering threshold, the material on the shield 160 can be periodically encrypted (eg, by argon ion bombardment or other type of encryption) to increase the deposited material. Adhesive and reduce detachment. In addition, biasing the material above the sputtering threshold also removes material and can be used to remove excess material.

控制器132可提供且耦接於處理腔室100的各種元件,以控制各種元件的操作。控制器132包括中央處理單元(CPU,central processing unit)134、記憶體136、與支援電路138。控制器132可直接控制處理腔室100,或者透過與特定處理腔室及/或支援系統元件相關的電腦(或控制器)來控制。控制器132可為任何形式的通用目的電腦處理器的一種,可用於控制各種腔室與子處理器的工業機具中。控制器132的記憶體(或電腦可讀取媒介)136可為一或更多種隨時可取得 的記憶體,例如隨機存取記憶體(RAM,random access memory)、唯讀記憶體(ROM,read only memory)、軟碟、硬碟、光學儲存媒介(例如,光碟或DVD)、快閃記憶體裝置、或任何其他形式的數位儲存器,本地或遠端的。支援電路138耦接於CPU 134,用於以傳統的方式支援處理器。這些電路包括快取、電源供應器、時脈電路、輸入/輸出電路與子系統,以及類似者。 Controller 132 can provide and couple various components of processing chamber 100 to control the operation of the various components. The controller 132 includes a central processing unit (CPU) 134, a memory 136, and a support circuit 138. The controller 132 can directly control the processing chamber 100 or be controlled by a computer (or controller) associated with a particular processing chamber and/or support system component. Controller 132 can be any type of general purpose computer processor that can be used in industrial machines that control a variety of chambers and sub-processors. The memory (or computer readable medium) 136 of the controller 132 can be readily available for one or more Memory, such as random access memory (RAM), read only memory (ROM, read only memory), floppy disk, hard disk, optical storage media (eg, CD or DVD), flash memory Body device, or any other form of digital storage, local or remote. The support circuit 138 is coupled to the CPU 134 for supporting the processor in a conventional manner. These circuits include caches, power supplies, clock circuits, input/output circuits and subsystems, and the like.

靶材104通常包括在濺射期間將要沉積於基板112上的一或更多種材料。例如,在某些實施例中,靶材104可包括介電質材料(例如,AlO2、SiO2或類似者)、金屬材料(銅、鎢、或類似者)、陶瓷材料、磁性材料(例如,Co、Ni或類似者)、或類似者之一或更多者。靶材104可耦接於處理腔室的表面,例如像是側壁或蓋件144。在某些實施例中,背板(未圖示)可耦接於靶材104,例如以改良靶材104的結構穩定性。背板可包括導電材料(例如,銅-鋅、銅-鉻、或與靶材104相同的材料),使得RF及/或DC電力可透過背板而耦合至靶材104。或者,背板可為非導電的並且可包括導電元件(未圖示),例如,饋送結構、電性饋孔(feedthrough)或類似者,用於將RF及/或DC電力耦合至靶材104。 Target 104 typically includes one or more materials to be deposited on substrate 112 during sputtering. For example, in certain embodiments, the target 104 can include a dielectric material (eg, AlO 2 , SiO 2 , or the like), a metallic material (copper, tungsten, or the like), a ceramic material, a magnetic material (eg, , Co, Ni or the like), or one or more of the like. The target 104 can be coupled to a surface of the processing chamber, such as, for example, a sidewall or cover 144. In some embodiments, a backing plate (not shown) can be coupled to the target 104, for example to improve the structural stability of the target 104. The backing plate can include a conductive material (eg, copper-zinc, copper-chromium, or the same material as the target 104) such that RF and/or DC power can be coupled to the target 104 through the backing plate. Alternatively, the backing plate can be non-conductive and can include conductive elements (not shown), such as feed structures, electrical feedthroughs, or the like, for coupling RF and/or DC power to the target 104. .

在某些實施例中,靶材104可包括實質上同質的材料。在某些實施例中,靶材104可包括複數個靶材片的陣列與可移動的孔(如同第8A圖與第8B圖所示,且更詳細敘述於下)。每一靶材片可包括不同的材料,藉由以偏壓電源適當地偏壓個別的靶材片並且定位可移動的孔來曝露所欲的靶 材,可以將該等不同的材料相繼地濺射(如同第8A圖與第8B圖所示,且更詳細敘述於下)。 In certain embodiments, the target 104 can comprise a substantially homogeneous material. In certain embodiments, the target 104 can include an array of a plurality of target sheets and movable apertures (as shown in Figures 8A and 8B, and described in more detail below). Each target sheet can comprise a different material, exposing the desired target by appropriately biasing the individual target sheets with a biasing power source and positioning the movable aperture The different materials may be sputtered one after the other (as shown in Figures 8A and 8B, and described in more detail below).

靶材104可為任何形狀或尺寸,適於在所欲的處理中沉積靶材材料於基板112上。發明人已經觀察到,在使用平面靶材104的傳統濺射處理中,靶材104的沖蝕會不均勻。具體地,靶材104的中心152附近的區域會比靶材104的端部154附近的區域具有較高的沖蝕率。沖蝕率的不同會導致靶材材料的低利用率、增加所濺射的靶材材料的重新沉積以及在產生的沉積層中的缺陷。因此,在某些實施例中,靶材104可包括非平面的形狀,例如像是凸形,如同第4圖所示。藉由提供具有非平面形狀的靶材104,靶材104的多個部分與電漿106之間的距離可以改變,藉此改變橫越靶材104的沖蝕率。另外,靶材104的多個部分與基板112之間的距離也可以改變,藉此改變橫越靶材104的沉積率。 The target 104 can be of any shape or size suitable for depositing target material onto the substrate 112 in a desired process. The inventors have observed that in conventional sputtering processes using planar targets 104, the erosion of target 104 may be uneven. In particular, the area near the center 152 of the target 104 may have a higher erosion rate than the area near the end 154 of the target 104. Differences in erosion rates can result in low utilization of the target material, increased redeposition of the sputtered target material, and defects in the resulting deposited layer. Thus, in certain embodiments, the target 104 can comprise a non-planar shape, such as, for example, a convex shape, as shown in FIG. By providing the target 104 having a non-planar shape, the distance between portions of the target 104 and the plasma 106 can be varied, thereby changing the erosion rate across the target 104. Additionally, the distance between portions of the target 104 and the substrate 112 can also be varied, thereby changing the deposition rate across the target 104.

例如,在某些實施例中,靶材104的中心408附近之靶材104至電漿106的距離403與靶材104至基板112的距離410會大於靶材104的端部406附近之靶材104至電漿106的距離404與靶材104至基板112的距離412。在此種實施例中,靶材104的端部406附近之靶材104的沖蝕率會大於靶材104的中心408附近的沖蝕率,因此在處理期間提供靶材材料的均勻沖蝕。另外,靶材104的端部406附近之靶材104材料的沉積率會大於靶材104的中心408附近之沉積率,因此在處理期間提供基板112頂部上的靶材104材料的更均勻沉積。 For example, in some embodiments, the distance 403 of the target 104 to the plasma 106 near the center 408 of the target 104 and the distance 410 from the target 104 to the substrate 112 may be greater than the target near the end 406 of the target 104. The distance 404 from the plasma 106 to the distance 404 from the target 104 to the substrate 112 is 412. In such an embodiment, the erosion rate of the target 104 near the end 406 of the target 104 may be greater than the erosion rate near the center 408 of the target 104, thus providing uniform erosion of the target material during processing. Additionally, the deposition rate of the material of the target 104 near the end 406 of the target 104 may be greater than the deposition rate near the center 408 of the target 104, thus providing a more uniform deposition of the material of the target 104 on top of the substrate 112 during processing.

在某些實施例中,靶材104厚度可以改變,以另外補償上述之靶材材料的不均勻沖蝕。例如,在某些實施例中,靶材104可包括靶材104的端部406附近的第一厚度402與靶材104的中心408附近之第二厚度414。在此種實施例中,第一厚度402可為大約1mm至大約6mm,且第二厚度414可為大約2mm至大約10mm。 In some embodiments, the thickness of the target 104 can be varied to additionally compensate for the uneven erosion of the target material described above. For example, in certain embodiments, the target 104 can include a first thickness 402 near the end 406 of the target 104 and a second thickness 414 near the center 408 of the target 104. In such an embodiment, the first thickness 402 can be from about 1 mm to about 6 mm, and the second thickness 414 can be from about 2 mm to about 10 mm.

返回參見第1圖,在某些實施例中,一或更多個電源(所示的RF電源146與DC電源148)可耦接於處理腔室100,以供應RF及/或DC電力給靶材104。例如,DC電源148可用於供應負電壓(或偏壓)給靶材104。在某些實施例中,RF電源146所供應的RF能量的頻率範圍可從大約2MHz至大約60MHz,或者例如,可使用非限制頻率例如2MHz、13.56MHz、27.12MHz、或60MHz。在某些實施例中,可提供複數個RF電源(亦即,二或更多個),以用複數個上述頻率來提供RF能量。 Referring back to FIG. 1, in some embodiments, one or more power sources (shown RF power source 146 and DC power source 148) can be coupled to processing chamber 100 to supply RF and/or DC power to the target. Material 104. For example, DC power source 148 can be used to supply a negative voltage (or bias) to target 104. In some embodiments, the frequency of the RF energy supplied by the RF power source 146 can range from about 2 MHz to about 60 MHz, or for example, an unrestricted frequency such as 2 MHz, 13.56 MHz, 27.12 MHz, or 60 MHz can be used. In some embodiments, a plurality of RF power sources (i.e., two or more) may be provided to provide RF energy using a plurality of the above frequencies.

發明人已經觀察到,在傳統的PVD(例如,濺射)處理中,某些材料(例如,上述的靶材104材料)的濺射率會受限於靶材材料的熱傳導性。發明人已經另外觀察到,雖然濺射率正比於供應給靶材104的電力密度(例如,RF電源146與DC電源148所供應的),若靶材104的表面150的溫度超過某些限制,則某些靶材材料會破裂,導致可達成的沉積率會受限、減少生產量與減少靶材104的有效壽命。另外,在使用磁控管的傳統PVD處理中,磁控管會產生橫越靶材104的表面150之改變的電力密度,這導致靶材104的不均勻沖 蝕及/或高的熱應力,導致靶材的不均勻損耗與不均勻沉積,這另外減短靶材104的有效壽命。因此,發明人已經發現到,藉由產生橫越靶材104的表面150之均質的電漿而未使用磁控管,則可達成橫越靶材104的表面150之更均勻的電力密度,藉此在沉積期間減少靶材104上的熱應力並且產生靶材104的更均勻沖蝕。例如,在某些實施例中,電漿106可形成於耦接於腔室主體116的遠端電漿源(例如,導管102)中,並且電漿106可析取至靶材104附近的處理腔室100的內部容積114中。遠端電漿源的範例可以在美國專利申請序號第13/069,205號中找到,該申請案標題為「DIELECTRIC DEPOSITION USING A REMOTE PLASMA SOURCE」、申請於2011年3月22日、且是由Ralf Hofmann等發明人所申請。 The inventors have observed that in conventional PVD (eg, sputtering) processes, the sputtering rate of certain materials (eg, the target 104 material described above) may be limited by the thermal conductivity of the target material. The inventors have additionally observed that while the sputtering rate is proportional to the power density supplied to the target 104 (eg, supplied by the RF power source 146 and the DC power source 148), if the temperature of the surface 150 of the target 104 exceeds certain limits, Some target materials can then rupture, resulting in achievable deposition rates that are limited, reduced throughput, and reduced useful life of the target 104. Additionally, in conventional PVD processing using magnetrons, the magnetron creates a varying power density across the surface 150 of the target 104, which results in uneven puncturing of the target 104. Corrosion and/or high thermal stresses result in uneven and uneven deposition of the target, which additionally reduces the useful life of the target 104. Accordingly, the inventors have discovered that by using a homogeneous plasma across the surface 150 of the target 104 without the use of a magnetron, a more uniform power density across the surface 150 of the target 104 can be achieved. This reduces thermal stress on the target 104 during deposition and produces a more uniform erosion of the target 104. For example, in some embodiments, the plasma 106 can be formed in a remote plasma source (eg, conduit 102) that is coupled to the chamber body 116, and the plasma 106 can be extracted to the vicinity of the target 104. The interior volume 114 of the chamber 100 is in the interior. An example of a remote plasma source can be found in U.S. Patent Application Serial No. 13/069,205, entitled "DIELECTRIC DEPOSITION USING A REMOTE PLASMA SOURCE", filed on March 22, 2011, and by Ralf Hofmann Such as the inventor's application.

在某些實施例中,導管102可透過腔室主體116中的開孔122而耦接於處理腔室100的處理容積114。導管102可設置於任何位置中,適於在相對於靶材104的所欲位置中產生電漿106。例如,在某些實施例中,導管102可耦接於處理腔室100的側壁117並且設置於靶材104與基板支座118之間,如同第1圖所示。在此種實施例中,導管102的主軸121的平面可實質上平行於靶材104。藉由以此種方式來定位導管102,導管102可提供電漿106至靶材104附近的處理容積120,其中電漿106具有橫越靶材104的表面150之均勻的電漿區域。 In some embodiments, the conduit 102 can be coupled to the processing volume 114 of the processing chamber 100 through the opening 122 in the chamber body 116. The conduit 102 can be disposed in any position suitable for creating a plasma 106 in a desired position relative to the target 104. For example, in some embodiments, the conduit 102 can be coupled to the sidewall 117 of the processing chamber 100 and disposed between the target 104 and the substrate support 118, as shown in FIG. In such an embodiment, the plane of the major axis 121 of the catheter 102 can be substantially parallel to the target 104. By positioning the conduit 102 in this manner, the conduit 102 can provide a plasma 106 to the processing volume 120 near the target 104, wherein the plasma 106 has a uniform plasma region across the surface 150 of the target 104.

導管102可由任何適合的材料製造。例如,在某些實施例中,導管102可由石英、氧化鋁(Al2O3)、玻璃合成 物(例如,低熱膨脹的矽酸硼玻璃,例如PYREX®)、或類似者來製造。導管102可包括任何形狀與尺寸,適於產生具有所欲形狀與電漿密度分佈的電漿106。例如,在某些實施例中,導管102可包括圓形橫剖面或矩形橫剖面。 The catheter 102 can be fabricated from any suitable material. For example, in certain embodiments, the conduit 102 can be fabricated from quartz, alumina (Al 2 O 3 ), a glass composite (eg, low thermal expansion borosilicate glass, such as PYREX®), or the like. The conduit 102 can comprise any shape and size suitable for producing a plasma 106 having a desired shape and a plasma density profile. For example, in certain embodiments, the conduit 102 can include a circular cross section or a rectangular cross section.

另外,發明人已經觀察到,藉由使用形成於耦接於腔室主體116的遠端電漿源(例如,導管102)中的電漿106,濺射率係由從導管102析取的離子數量來決定,而所濺射材料的能量則相關於靶材上所施加的電壓。因此,在與本發明一致的實施例中,濺射率(例如,離子產生)與所濺射材料靶材偏壓的能量(靶材偏壓)係大不相關。這有利地促成用於沉積處理的實質上更寬的處理窗。 Additionally, the inventors have observed that by using the plasma 106 formed in the distal plasma source (e.g., conduit 102) coupled to the chamber body 116, the sputtering rate is determined by the ions extracted from the conduit 102. The amount is determined, and the energy of the sputtered material is related to the voltage applied to the target. Thus, in embodiments consistent with the present invention, the sputtering rate (e.g., ion generation) is largely independent of the energy (target bias) of the target of the sputtered material target. This advantageously facilitates a substantially wider processing window for the deposition process.

在某些實施例中,氣體供應源120可耦接於導管102,以提供一或更多個處理氣體至導管102的內部。一或更多個處理氣體可包括適於在處理腔室100中執行所欲處理的任何氣體,例如像是PVD處理。例如,在某些實施例中,處理氣體可包括氬(Ar)、氦(He)、氮氣(N2)、或類似者。在某些實施例中,氮氣(N2)或氧氣(O2)可提供來用於反應性的基板處理,但是替代地或組合地,此種反應氣體可提供於基板附近。 In certain embodiments, the gas supply source 120 can be coupled to the conduit 102 to provide one or more process gases to the interior of the conduit 102. The one or more process gases may include any gas suitable for performing the desired treatment in the processing chamber 100, such as, for example, a PVD process. For example, in certain embodiments, the process gas can include argon (Ar), helium (He), nitrogen (N 2 ), or the like. In certain embodiments, nitrogen (N 2 ) or oxygen (O 2 ) may be provided for reactive substrate processing, but alternatively or in combination, such reactive gases may be provided adjacent to the substrate.

在某些實施例中,電源(例如,RF電源110)可耦接於導管102,以提供足夠的電力至處理氣體來形成電漿106。電源可用任何所欲的頻率提供任何數量的電力,來形成電漿106。例如,在某些實施例中,電源可以產生高達大約3000W,或者在某些實施例中,用大約2MHz的頻率及/或大 約13.56MHz或更高的頻率(例如,27MHz及/或60MHz)產生高達大約5000W。 In some embodiments, a power source (eg, RF power source 110) can be coupled to the conduit 102 to provide sufficient power to the process gas to form the plasma 106. The power source can provide any amount of power at any desired frequency to form the plasma 106. For example, in some embodiments, the power supply can generate up to about 3000 W, or in some embodiments, with a frequency of about 2 MHz and/or large A frequency of about 13.56 MHz or higher (eg, 27 MHz and/or 60 MHz) produces up to about 5000 W.

在某些實施例中,電源可透過感應線圈元件(例如像是,天線202)來提供電力至處理氣體,如同第2A-B圖所示。在此種實施例中,天線202可用任何方式設置於導管102附近,以適於提供足夠的電力來形成電漿106。例如,在某些實施例中,天線202可在導管102的周圍捲繞,例如如同第2A圖所示。或者,在某些實施例中,天線202可設置於導管102的一或更多個側部上(例如,頂部表面204)並且配置成圓形或遞迴的圖案,例如如同第2B圖所示。 In some embodiments, the power source can provide power to the process gas through an inductive coil element (e.g., antenna 202), as shown in Figures 2A-B. In such an embodiment, the antenna 202 can be disposed adjacent the conduit 102 in any manner to provide sufficient power to form the plasma 106. For example, in some embodiments, the antenna 202 can be wrapped around the catheter 102, such as shown in FIG. 2A. Alternatively, in some embodiments, the antenna 202 can be disposed on one or more sides of the catheter 102 (eg, the top surface 204) and configured in a circular or recursive pattern, such as shown in FIG. 2B. .

返回參見第1圖,在某些實施例中,一或更多個磁鐵(圖示兩個磁鐵108a、108b)可設置於處理腔室100附近,以促進從導管102析取電漿106。一或更多個磁鐵可為任何類型的磁鐵,例如像是永久磁鐵、電磁鐵、或類似者。在某些實施例中,可使用超過一組的磁鐵。例如,在某些實施例中,第一對322磁鐵108a,d可設置於處理腔室100的第一側部327的附近,且第二對324磁鐵108b,c可設置於處理腔室100的第二側部325的附近,如同第3A圖所示。在磁鐵108a,b,c,d為電磁鐵的實施例中,電源306、308、310、312可耦接於各個磁鐵108a,b,c,d,以供應電流來形成且控制磁場的大小,而促進從導管析取電漿106。電源306、308、310、312可為任何類型的電源,適於提供電流至磁鐵108a,b,c,d,例如像是DC電源。在某些實施例中,磁鐵108a,b與磁鐵108c,d可各自為圍繞導管的單一環形磁鐵。 Referring back to FIG. 1, in some embodiments, one or more magnets (two magnets 108a, 108b are shown) may be disposed adjacent the processing chamber 100 to facilitate the extraction of the plasma 106 from the conduit 102. The one or more magnets can be any type of magnet, such as, for example, a permanent magnet, an electromagnet, or the like. In some embodiments, more than one set of magnets can be used. For example, in some embodiments, the first pair 322 of magnets 108a,d can be disposed adjacent the first side 327 of the processing chamber 100, and the second pair 324 of magnets 108b,c can be disposed in the processing chamber 100. The vicinity of the second side portion 325 is as shown in Fig. 3A. In embodiments where the magnets 108a, b, c, d are electromagnets, the power sources 306, 308, 310, 312 can be coupled to the respective magnets 108a, b, c, d to supply current to form and control the magnitude of the magnetic field, It promotes the extraction of the plasma 106 from the conduit. The power sources 306, 308, 310, 312 can be any type of power source adapted to provide current to the magnets 108a, b, c, d, such as, for example, a DC power source. In some embodiments, the magnets 108a,b and the magnets 108c,d can each be a single annular magnet surrounding the conduit.

在某些實施例中,一或更多個額外的磁性元件可設置於處理腔室102的周圍,以促進將電漿106形成為所欲形狀。例如,在某些實施例中,複數個額外磁鐵(圖示了第一額外磁鐵302與第二額外磁鐵304)可設置於處理腔室100的周圍,如同第3A圖所示。複數個額外磁鐵可為任何類型的磁鐵,例如像是永久磁鐵或電磁鐵。在複數個額外磁鐵為電磁鐵的實施例中,電源314、316可耦接於各個額外磁鐵302、304,以供應電流來形成且控制磁場的大小,而促進將電漿106形成為所欲形狀。電源314、316可為任何類型的電源,適於提供電流至磁鐵302、304,例如像是DC電源。 In some embodiments, one or more additional magnetic elements can be disposed around the processing chamber 102 to facilitate forming the plasma 106 into a desired shape. For example, in some embodiments, a plurality of additional magnets (illustrating the first additional magnet 302 and the second additional magnet 304) can be disposed about the processing chamber 100, as shown in FIG. 3A. The plurality of additional magnets can be any type of magnet, such as a permanent magnet or an electromagnet. In embodiments where the plurality of additional magnets are electromagnets, the power supplies 314, 316 can be coupled to respective additional magnets 302, 304 to supply current to form and control the magnitude of the magnetic field to facilitate forming the plasma 106 into a desired shape. . The power supplies 314, 316 can be any type of power source adapted to provide current to the magnets 302, 304, such as, for example, a DC power source.

額外磁鐵302、304可用任何配置方式定位於處理腔室100的周圍,以將電漿106形成為所欲形狀。例如,在某些實施例中,第一額外磁鐵302可設置於第一對322磁鐵的第一磁鐵(例如,磁鐵108d)與第二對324磁鐵的第一磁鐵(例如,磁鐵108c)之間、靠近處理腔室100的第三側部326,且第二額外磁鐵304可設置於第一對322磁鐵的第二磁鐵(例如,磁鐵108a)與第二對324磁鐵的第二磁鐵(例如,磁鐵108b)之間、靠近處理腔室100的第四側部328。雖然圖式僅繪示兩個額外磁鐵302、304,任何數量的額外磁鐵都可用任何配置方式設置於處理腔室102的周圍,以適於將電漿106形成為所欲形狀。 The additional magnets 302, 304 can be positioned around the processing chamber 100 in any configuration to form the plasma 106 into a desired shape. For example, in some embodiments, the first additional magnet 302 can be disposed between a first magnet (eg, magnet 108d) of the first pair 322 magnet and a first magnet (eg, magnet 108c) of the second pair 324 magnet Close to the third side portion 326 of the processing chamber 100, and the second additional magnet 304 may be disposed on the second magnet of the first pair 322 magnet (eg, the magnet 108a) and the second magnet of the second pair 324 magnet (eg, The magnets 108b) are adjacent to the fourth side 328 of the processing chamber 100. Although the figures depict only two additional magnets 302, 304, any number of additional magnets can be placed around the processing chamber 102 in any configuration to accommodate the plasma 106 in a desired shape.

替代地,或組合地,在某些實施例中,一或更多個額外磁鐵元件可包括一或更多個偏轉線圈(圖示兩個偏轉線圈318、320)。偏轉線圈318、320可包括任何材料,適於形 成磁場於處理腔室100內,例如像是鐵與其他磁性合金(例如,磁性鋼)、或類似者。偏轉線圈318、320可用任何配置方式定位於處理腔室100的周圍,以適於將電漿106形成為所欲形狀。例如,在某些實施例中,第一偏轉線圈(例如,偏轉線圈318)可設置於第一對322磁鐵的第一磁鐵(例如,磁鐵108d)與第二對324磁鐵的第一磁鐵(例如,磁鐵108c)之間、靠近處理腔室100的第三側部326,且第二偏轉線圈(例如,偏轉線圈320)可設置於第一對322磁鐵的第二磁鐵(例如,磁鐵108a)與第二對324磁鐵的第二磁鐵(例如,磁鐵108b)之間、靠近處理腔室100的第四側部328。雖然圖式僅繪示兩個偏轉線圈318、320,任何數量的偏轉線圈都可用任何配置方式設置於處理腔室102的周圍,以適於將電漿106形成為所欲形狀。 Alternatively, or in combination, in some embodiments, one or more additional magnet elements may include one or more deflection coils (two deflection coils 318, 320 are illustrated). The deflection coils 318, 320 may comprise any material suitable for the shape The magnetic field is within the processing chamber 100, such as, for example, iron and other magnetic alloys (e.g., magnetic steel), or the like. The deflection yokes 318, 320 can be positioned around the processing chamber 100 in any configuration to be adapted to form the plasma 106 into a desired shape. For example, in some embodiments, a first deflection coil (eg, deflection coil 318) can be disposed on a first pair 322 of a first magnet (eg, magnet 108d) and a second pair 324 of a first magnet (eg, The magnets 108c) are adjacent to the third side portion 326 of the processing chamber 100, and the second deflection coil (eg, the deflection coil 320) can be disposed on the first pair 322 of the magnets of the second magnet (eg, the magnet 108a) A second pair 324 of magnets (eg, magnets 108b) of the second pair of 324 magnets are adjacent to the fourth side 328 of the processing chamber 100. Although only two deflection coils 318, 320 are illustrated, any number of deflection coils can be placed around the processing chamber 102 in any configuration to accommodate the plasma 106 in a desired shape.

在操作上,複數個額外磁鐵302、304或偏轉線圈318、320產生方向垂直於導管102的主軸121的磁場。複數個額外磁鐵302、304或偏轉線圈318、320所形成的磁場將電漿306以垂直於導管102的主軸121的方向散佈,以形成所欲形狀,藉此提供靶材104與基板112的更均勻覆蓋。所欲形狀可為需要提供任何類型的基板有均勻覆蓋的任何形狀。例如,在某些實施例中,電漿106可具有實質上圓形的橫剖面,以促進提供均勻的電漿106覆蓋橫越圓形基板112,如同第3A-B圖所示。 In operation, the plurality of additional magnets 302, 304 or deflection coils 318, 320 create a magnetic field that is perpendicular to the major axis 121 of the catheter 102. The magnetic field formed by the plurality of additional magnets 302, 304 or deflection coils 318, 320 spreads the plasma 306 in a direction perpendicular to the major axis 121 of the catheter 102 to form the desired shape, thereby providing more of the target 104 and the substrate 112. Evenly covered. The desired shape can be any shape that requires uniform coverage of any type of substrate. For example, in some embodiments, the plasma 106 can have a substantially circular cross-section to facilitate providing uniform plasma 106 coverage across the circular substrate 112, as shown in Figures 3A-B.

第5圖繪示範例的自旋轉移力矩磁性隨機存取記憶體(STT-MRAM,Spin Transfer Torque Magnetic RAM)堆疊500 的橫剖面,STT-MRAM堆疊500可由與本發明一致的實施例來處理。使用的各種磁性材料(例如,CoFeB與類似者)對於基板上的膜厚度均勻性有嚴格的要求(例如,橫越300mm與450mm的晶圓要+/- 0.1nm)。另外,非常特殊的合成物的二元與三元複合物係用於產生此種範例的堆疊,如同第5圖所示。本文呈現之與本發明一致的實施例可有利地處理基板,以產生第5圖所示的範例STT-MRAM堆疊。注意到,第5圖所示的STT-MRAM堆疊僅是可由與本發明一致的實施例來處理之不同類型的記憶體或其他類型的裝置或結構的一個範例。 FIG. 5 depicts an example of a spin transfer torque magnetic random access memory (STT-MRAM, Spin Transfer Torque Magnetic RAM) stack 500. The cross-section, STT-MRAM stack 500 can be processed by embodiments consistent with the present invention. The various magnetic materials used (e.g., CoFeB and the like) have stringent requirements for film thickness uniformity on the substrate (e.g., +/- 0.1 nm across wafers of 300 mm and 450 mm). In addition, binary and ternary composites of very specific compositions are used to create such an example stack, as shown in Figure 5. Embodiments presented herein consistent with the present invention can advantageously process a substrate to produce the example STT-MRAM stack shown in FIG. It is noted that the STT-MRAM stack shown in FIG. 5 is merely one example of a different type of memory or other type of device or structure that can be processed by embodiments consistent with the present invention.

參見第6A圖與第6B圖,繪示與本發明一致的進一步實施例。相關於第6A圖與第6B圖所述的實施例可用於處理腔室100中,並且聯合上面相關於第1圖所述的元件一起使用。第6A圖與第6B圖包括掃描式(亦即,移動式)基板支座618,掃描式基板支座618相對於靶材604在方向672中橫向地移動基板612。掃描式基板支座618可包括一機構,該機構固定或支撐基板612於掃描式基板支座618的表面上,如同上面相關於第1圖所述的。掃描式基板支座618可支撐具有各種幾何形狀橫剖面的基板,包括(但不限於)圓形、橢圓形、方形、矩形、與類似者。一或更多個磁鐵(圖示兩個磁鐵608a、608b)可用於促進從導管602析取電漿606,如同上面相關於第1圖所述的。在第6A圖與第6B圖繪示的範例實施例中,靶材604包括透過電源670偏壓的材料。第6B圖包括選擇性的屏蔽部660,屏蔽部660具有開孔662。 第6A圖包括導管602,導管602配置來形成電漿606。藉由使用掃描式基板支座618在所產生的電漿606之下橫向地移動基板612,可達成將來自靶材604的材料更均勻地沉積在基板612上。 Referring to Figures 6A and 6B, further embodiments consistent with the present invention are illustrated. The embodiments described in relation to Figures 6A and 6B can be used in the processing chamber 100 and in conjunction with the elements described above in relation to Figure 1. FIGS. 6A and 6B include a scanning (ie, mobile) substrate support 618 that laterally moves the substrate 612 in a direction 672 relative to the target 604. The scanning substrate holder 618 can include a mechanism that secures or supports the substrate 612 on the surface of the scanning substrate support 618 as described above in relation to FIG. Scanning substrate support 618 can support substrates having various geometric cross-sections including, but not limited to, circular, elliptical, square, rectangular, and the like. One or more magnets (two magnets 608a, 608b are shown) may be used to facilitate the extraction of the plasma 606 from the conduit 602, as described above in relation to Figure 1. In the exemplary embodiment depicted in FIGS. 6A and 6B, the target 604 includes a material that is biased by a power source 670. Section 6B includes an optional shield 660 having an aperture 662. Section 6A includes a conduit 602 that is configured to form a plasma 606. By using the scanning substrate holder 618 to laterally move the substrate 612 under the generated plasma 606, a more uniform deposition of material from the target 604 onto the substrate 612 can be achieved.

第7A圖與第7B圖根據本發明的某些實施例,繪示設置於垂直的處理腔室700中的掃描式基板支座718。掃描式基板支座718固定或支撐基板712於掃描式基板支座718的支撐表面上,如同上面相關於第1圖所述的。基板712係垂直地固持並且在相對於靶材裝置的位置中,靶材裝置也設置於垂直的定向中(例如,具有主要處理表面係實質上垂直的並且平行於基板的表面)。掃描式基板支座718可相對於靶材裝置778的靶材704在方向786中橫向地移動。在某些實施例中,掃描式基板支座718可透過致動器784而橫向地移動。掃描式基板支座718可沿著複數個滾筒780移動及/或由複數個滾筒780支撐,滾筒780繞著滾筒軸782旋轉。在其他實施例中,掃描式基板支座718可為可移動地耦接於軌道、機器人手臂、或其他類型的輸送器,以協助將掃描式基板支座橫向地移動進處理腔室主體716中並且在處理腔室主體716內橫向地移動。第7A圖包括導管702,導管702配置來形成電漿706,如同上面相關於第1圖所述的。一或更多個磁鐵(圖示兩個磁鐵708a、708b)可用於促進從導管702析取電漿706,如同在本文的其他實施例中所述的。 7A and 7B illustrate a scanning substrate holder 718 disposed in a vertical processing chamber 700, in accordance with some embodiments of the present invention. The scanning substrate holder 718 secures or supports the substrate 712 on the support surface of the scanning substrate support 718 as described above in relation to FIG. The substrate 712 is held vertically and in a position relative to the target device, the target device is also disposed in a vertical orientation (eg, having a surface that is substantially perpendicular to the primary processing surface and parallel to the substrate). Scanning substrate support 718 can move laterally in direction 786 relative to target 704 of target device 778. In some embodiments, the scanning substrate holder 718 can be moved laterally through the actuator 784. Scanning substrate support 718 can be moved along a plurality of rollers 780 and/or supported by a plurality of rollers 780 that rotate about roller axis 782. In other embodiments, the scanning substrate holder 718 can be movably coupled to a track, robotic arm, or other type of conveyor to assist in laterally moving the scanning substrate holder into the processing chamber body 716. And moving laterally within the processing chamber body 716. Section 7A includes a conduit 702 that is configured to form a plasma 706, as described above in relation to Figure 1. One or more magnets (two magnets 708a, 708b are shown) may be used to facilitate the extraction of plasma 706 from conduit 702, as described in other embodiments herein.

參見第8A圖與第8B圖,繪示與本發明一致的進一步實施例。相關於第8A圖與第8B圖所述的實施例可用於處 理腔室100中,並且聯合上面相關於第1圖所述的元件一起使用。第8A圖與第8B圖包括靶材陣列與可移動的孔866,靶材陣列包括靶材片804a-c,且可移動的孔866設置於靶材陣列與處理腔室的處理容積之間。在某些實施例中,靶材片804a-c彼此包括不同的材料,使得藉由以偏壓電源876a-c來適當地偏壓個別的靶材片,材料可以被一起濺射或相繼濺射。在其他實施例中,靶材片804a-c可耦接於單一電源(未圖示),使得各個靶材片804a-c同時被偏壓。在某些實施例中,複數個靶材片804a-c的各個靶材片的濺射係發生於不同的偏壓電源。 Referring to Figures 8A and 8B, further embodiments consistent with the present invention are illustrated. The embodiments described in relation to Figures 8A and 8B can be used The chamber 100 is used in conjunction with the elements described above in relation to Figure 1. 8A and 8B include a target array and movable apertures 866, the target array includes target sheets 804a-c, and movable apertures 866 are disposed between the target array and the processing volume of the processing chamber. In certain embodiments, the target sheets 804a-c comprise different materials from one another such that by appropriately biasing the individual target sheets with biasing power supplies 876a-c, the materials can be sputtered together or sequentially sputtered . In other embodiments, target sheets 804a-c can be coupled to a single power source (not shown) such that each target sheet 804a-c is simultaneously biased. In some embodiments, the sputtering of each of the target sheets of the plurality of target sheets 804a-c occurs at a different bias power source.

可移動的孔866可有利地僅曝露將要濺射的靶材材料。覆蓋住不要被濺射的鄰近靶材區可以防止這些靶材材料上的重新沉積,且因此防止交互汙染。在某些實施例中,二或更多個靶材材料可被曝露並且個別地供電,以受到濺射。這有利地促成化合式濺射(例如,同時濺射在基板表面上化合的材料),而不受限於合金靶材,例如優先的濺射或多層堆疊的沉積。 The movable aperture 866 can advantageously expose only the target material to be sputtered. Covering adjacent target regions that are not to be sputtered can prevent redeposition on these target materials and thus prevent cross-contamination. In certain embodiments, two or more target materials may be exposed and individually powered to be sputtered. This advantageously facilitates compound sputtering (e.g., simultaneous sputtering of materials that are combined on the surface of the substrate) without being limited to alloy targets, such as preferential sputtering or deposition of multilayer stacks.

在某些實施例中,可移動的孔866包括開口868,開口868小於屏蔽部860的屏蔽開孔862。可移動的孔866可耦接於致動器870,致動器870在方向874中橫向地移動可移動的孔866。可移動的孔866的開口868的位置可受到控制,以選擇性地曝露至少一(或者在某些實施例中,僅一個)靶材片的表面至基板的表面(例如,至處理腔室的處理容積)。該孔的開口的尺寸可實質上等於各個靶材片的寬度, 使得該開口可以曝露一個靶材片表面,同時屏蔽住基板812免於其他靶材片。亦即,可移動的孔866將僅曝露目前正在濺射材料的靶材片(亦即,在第8A圖至第8B圖繪示的實施例中,804a、804b或804c的一者)。利用可移動的孔866覆蓋住鄰近的靶材片可以有利地防止這些靶材片上的重新沉積,且因此防止交互汙染。 In some embodiments, the movable aperture 866 includes an opening 868 that is smaller than the shield opening 862 of the shield 860. The movable aperture 866 can be coupled to the actuator 870, which moves the movable aperture 866 laterally in direction 874. The position of the opening 868 of the movable aperture 866 can be controlled to selectively expose at least one (or in some embodiments, only one) of the surface of the target sheet to the surface of the substrate (eg, to the processing chamber) Processing volume). The size of the opening of the aperture can be substantially equal to the width of each target sheet. This opening allows the surface of one of the target sheets to be exposed while shielding the substrate 812 from other target sheets. That is, the movable aperture 866 will only expose the target sheet that is currently being sputtered (i.e., in one of the embodiments illustrated in Figures 8A-8B, 804a, 804b or 804c). Covering adjacent target sheets with movable apertures 866 can advantageously prevent redeposition on these target sheets and thus prevent cross-contamination.

雖然第8A圖與第8B圖繪示三個範例的靶材片,使用的靶材片的數量可以多於或少於三個。各個靶材片的厚度通常為大約1mm至大約15mm,但是可使用較大的厚度。另外,在與本發明一致的實施例中,用於靶材片的材料可為介電質材料(例如,AlO2、SiO2、或類似者)、金屬材料(銅、鎢、或類似者)、陶瓷材料、磁性材料(例如,Co、Ni或類似者)、或類似者之一或更多者的組合。 Although Figures 8A and 8B illustrate three exemplary target sheets, the number of target sheets used may be more or less than three. The thickness of each target sheet is typically from about 1 mm to about 15 mm, although larger thicknesses can be used. In addition, in embodiments consistent with the present invention, the material for the target sheet may be a dielectric material (for example, AlO 2 , SiO 2 , or the like), a metal material (copper, tungsten, or the like). A combination of ceramic materials, magnetic materials (eg, Co, Ni, or the like), or one or more of the like.

第8A圖與第8B圖包括導管802,導管802配置來形成電漿806,如同本文在本發明的實施例中所述的。繪示出基板支座818,基板支座818可選擇性地配置來在方向872中橫向地移動。基板支座可固定或支撐基板812於基板支座818的支撐表面上,如同上面相關於第1圖所述的。一或更多個磁鐵(圖示兩個磁鐵808a、808b)可用於促進從導管802析取電漿806,如同上面相關於第1圖所述的。 8A and 8B include a conduit 802 configured to form a plasma 806 as described herein in an embodiment of the invention. A substrate support 818 is depicted that can be selectively configured to move laterally in direction 872. The substrate holder can secure or support the substrate 812 on the support surface of the substrate support 818, as described above in relation to Figure 1. One or more magnets (two magnets 808a, 808b are shown) may be used to facilitate the extraction of the plasma 806 from the conduit 802, as described above in relation to Figure 1.

因此,本文已經提供:使用物理蒸氣沉積(PVD)處理,將材料沉積於基板頂部上的設備。相較於傳統的塑形電漿場與傳統的基板支座,本發明設備可有利地提供靶材的更均勻電漿覆蓋,藉此允許靶材材料從靶材濺射並且均勻地 沉積於基板頂部上,且減少已濺射的靶材材料重新沉積於靶材表面上,因此改良了所沉積層的缺陷性能。 Accordingly, it has been provided herein to apparatus for depositing materials on top of a substrate using physical vapor deposition (PVD) processing. Compared to conventional shaped plasma fields and conventional substrate holders, the apparatus of the present invention advantageously provides a more uniform plasma coating of the target, thereby allowing the target material to be sputtered from the target and uniformly Deposited on top of the substrate and reducing the redeposition of the sputtered target material onto the surface of the target, thus improving the defect properties of the deposited layer.

雖然前述是關於本發明之實施例,本發明之其他與進一步實施例可被設想出而無偏離其基本範圍。 While the foregoing is a description of the embodiments of the present invention, further and further embodiments of the invention may be

100‧‧‧處理腔室 100‧‧‧Processing chamber

102‧‧‧導管 102‧‧‧ catheter

104‧‧‧靶材 104‧‧‧ Target

106‧‧‧電漿 106‧‧‧ Plasma

108a-d‧‧‧磁鐵 108a-d‧‧‧ magnet

112‧‧‧基板 112‧‧‧Substrate

114‧‧‧內部容積 114‧‧‧Internal volume

116‧‧‧腔室主體 116‧‧‧ chamber body

117‧‧‧側壁 117‧‧‧ side wall

118‧‧‧基板支座 118‧‧‧Substrate support

120‧‧‧處理容積 120‧‧‧Processing volume

121‧‧‧主軸 121‧‧‧ Spindle

122‧‧‧開孔 122‧‧‧Opening

123‧‧‧電極 123‧‧‧electrode

124‧‧‧偏壓電源 124‧‧‧ bias power supply

126‧‧‧匹配網路 126‧‧‧matching network

128‧‧‧開孔 128‧‧‧ openings

130‧‧‧流量閥 130‧‧‧Flow valve

132‧‧‧控制器 132‧‧‧ Controller

134‧‧‧中央處理單元(CPU) 134‧‧‧Central Processing Unit (CPU)

136‧‧‧記憶體 136‧‧‧ memory

138‧‧‧支援電路 138‧‧‧Support circuit

140‧‧‧排氣系統 140‧‧‧Exhaust system

142‧‧‧真空泵 142‧‧‧vacuum pump

144‧‧‧蓋件 144‧‧‧Cleaning pieces

145‧‧‧導管 145‧‧‧ catheter

146‧‧‧RF電源 146‧‧‧RF power supply

148‧‧‧DC電源 148‧‧‧DC power supply

150‧‧‧表面 150‧‧‧ surface

152‧‧‧中心 152‧‧‧ Center

154‧‧‧端部 154‧‧‧End

160‧‧‧屏蔽部 160‧‧ ‧Shielding Department

162‧‧‧開孔 162‧‧‧ openings

164‧‧‧電源 164‧‧‧Power supply

Claims (20)

一種物理蒸氣沉積腔室,包括:一腔室主體,該腔室主體具有一處理容積與一第一部分,該處理容積界定於該腔室主體內,且該第一部分固定一靶材,該靶材包括將要沉積於一基板上的材料;及一掃描式基板支座,該掃描式基板支座設置於該腔室主體內,以在處理期間支撐相對於該靶材的該基板,其中該掃描式基板支座係配置來在一沉積處理期間相對於該靶材在該腔室主體中橫向地移動該基板。 A physical vapor deposition chamber includes: a chamber body having a processing volume and a first portion, the processing volume being defined within the chamber body, and the first portion securing a target, the target Included as a material to be deposited on a substrate; and a scanning substrate holder disposed within the chamber body to support the substrate relative to the target during processing, wherein the scanning The substrate holder is configured to laterally move the substrate in the chamber body relative to the target during a deposition process. 如請求項1所述之物理蒸氣沉積腔室,進一步包括:一導管,該導管耦接於該腔室主體的該處理容積,其中當該靶材存在時,該導管的一主軸的一平面實質上平行於該靶材,且該導管的該主軸的該平面設置於該靶材與該基板支座之間;一氣體供應源,該氣體供應源耦接於該導管,以供應一處理氣體至該導管;及一電源,該電源耦接於該導管,以耦合足夠的電力至該處理氣體來形成一電漿。 The physical vapor deposition chamber of claim 1, further comprising: a conduit coupled to the processing volume of the chamber body, wherein a plane of a major axis of the conduit is present when the target is present Parallel to the target, and the plane of the main axis of the conduit is disposed between the target and the substrate holder; a gas supply source coupled to the conduit to supply a processing gas to The conduit; and a power source coupled to the conduit to couple sufficient power to the process gas to form a plasma. 如請求項1所述之物理蒸氣沉積腔室,其中該掃描式基板支座係配置來沿著該基板的一底部表面水平地支撐該基板,使得將要沉積於該基板的一頂部表面上之來自該靶材的材料的沉積係發生於一實質上垂直的方向中。 The physical vapor deposition chamber of claim 1, wherein the scanning substrate holder is configured to horizontally support the substrate along a bottom surface of the substrate such that it is to be deposited on a top surface of the substrate The deposition of the material of the target occurs in a substantially vertical direction. 如請求項1所述之物理蒸氣沉積腔室,其中該掃描式基板支座係配置來相對於該靶材垂直地支撐該基板,使得將要沉積於該基板上之來自該靶材的材料的沉積係發生於一實質上水平的方向中。 The physical vapor deposition chamber of claim 1, wherein the scanning substrate holder is configured to vertically support the substrate relative to the target such that deposition of material from the target to be deposited on the substrate The system occurs in a substantially horizontal direction. 如請求項1至4之任一項所述之物理蒸氣沉積腔室,其中該掃描式基板支座耦接於一致動器,該致動器係配置來在基板處理期間相對於該靶材橫向地移動該掃描式基板支座。 The physical vapor deposition chamber of any one of claims 1 to 4, wherein the scanning substrate holder is coupled to an actuator configured to laterally relative to the target during substrate processing The scanning substrate holder is moved. 如請求項1至4之任一項所述之物理蒸氣沉積腔室,其中該掃描式基板支座平行於該靶材橫向地移動,使得來自該靶材的材料均勻地沉積於該基板之上,而不需使用一磁控管。 The physical vapor deposition chamber of any one of claims 1 to 4, wherein the scanning substrate holder moves laterally parallel to the target such that material from the target is uniformly deposited on the substrate Without the need for a magnetron. 如請求項1至4之任一項所述之物理蒸氣沉積腔室,其中該掃描式基板支座藉由下述至少一者而被可移動地支撐:(a)一或更多個滾筒,(b)一或更多個軸承,或(c)一或更多個致動器手臂。 The physical vapor deposition chamber of any one of claims 1 to 4, wherein the scanning substrate holder is movably supported by at least one of: (a) one or more rollers, (b) one or more bearings, or (c) one or more actuator arms. 如請求項1至4之任一項所述之物理蒸氣沉積腔室,其中該靶材包括複數個靶材片,且其中該等複數個靶材片中的每一靶材片包括一不同的材料。 The physical vapor deposition chamber of any one of claims 1 to 4, wherein the target comprises a plurality of target sheets, and wherein each of the plurality of target sheets comprises a different one material. 如請求項8所述之物理蒸氣沉積腔室,其中該等複數個 靶材片的每一靶材片電耦合於至少一電源,使得每一靶材片可以獨立地電性偏壓。 The physical vapor deposition chamber of claim 8, wherein the plurality of Each target sheet of the target sheet is electrically coupled to at least one power source such that each target sheet can be electrically biased independently. 如請求項8所述之物理蒸氣沉積腔室,其中該等複數個靶材片電耦合於相同的電源,使得每一靶材片同時地被電性偏壓。 The physical vapor deposition chamber of claim 8, wherein the plurality of target sheets are electrically coupled to the same power source such that each target sheet is simultaneously electrically biased. 如請求項10所述之物理蒸氣沉積腔室,其中該等複數個靶材片的每一靶材片的濺射係發生於一不同的偏壓電力。 The physical vapor deposition chamber of claim 10, wherein the sputtering system of each of the plurality of target sheets occurs at a different bias power. 如請求項8所述之物理蒸氣沉積腔室,其中該等複數個靶材片的一厚度為大約1mm至大約15mm。 The physical vapor deposition chamber of claim 8, wherein the plurality of target sheets have a thickness of from about 1 mm to about 15 mm. 如請求項8所述之物理蒸氣沉積腔室,進一步包括:一可移動的孔,該可移動的孔設置於該等複數個靶材片與該基板之間,其中該可移動的孔具有一開口,該開口將該等複數個靶材片中的一靶材片的一第一表面曝露至該基板的一表面。 The physical vapor deposition chamber of claim 8, further comprising: a movable hole disposed between the plurality of target sheets and the substrate, wherein the movable hole has a An opening that exposes a first surface of a target sheet of the plurality of target sheets to a surface of the substrate. 如請求項13所述之物理蒸氣沉積腔室,其中該等複數個靶材片中的每一靶材片具有一實質上相等的寬度,且其中該可移動的孔的該開口的尺寸實質上等於該等複數個靶材片中的一單一靶材片的該寬度。 The physical vapor deposition chamber of claim 13, wherein each of the plurality of target sheets has a substantially equal width, and wherein the size of the opening of the movable aperture is substantially Equal to the width of a single target sheet of the plurality of target sheets. 如請求項13所述之物理蒸氣沉積腔室,其中該可移動的孔保護未曝露的靶材片免於來自一已曝露的靶材片的材料的沉積。 The physical vapor deposition chamber of claim 13, wherein the movable aperture protects the unexposed target sheet from deposition of material from an exposed target sheet. 如請求項13所述之物理蒸氣沉積腔室,其中該可移動的孔耦接於一致動器,該致動器將該孔移動至一所欲位置。 The physical vapor deposition chamber of claim 13, wherein the movable aperture is coupled to an actuator that moves the aperture to a desired position. 如請求項1至4之任一項所述之物理蒸氣沉積腔室,進一步包括:一靶材,該靶材固定於該腔室主體的該第一部分中,其中該靶材為凹形,其中該凹陷部分面向該基板支座。 The physical vapor deposition chamber of any one of claims 1 to 4, further comprising: a target fixed in the first portion of the chamber body, wherein the target is concave, wherein The recessed portion faces the substrate holder. 一種物理蒸氣沉積腔室,包括:一腔室主體,該腔室主體具有一處理容積與一第一部分,該處理容積界定於該腔室主體內,且該第一部分固定一靶材,該靶材包括將要沉積於一基板上的材料,其中該靶材包括複數個靶材片,且其中該等複數個靶材片中的每一靶材片包括一不同的材料;一可移動的孔,該可移動的孔設置於該等複數個靶材片與該基板之間,其中該可移動的孔具有一開口,該開口將該等複數個靶材片中的一靶材片的一第一表面曝露至該基板的一表面;及一基板支座,該基板支座設置於該腔室主體內,以在處理期間支撐相對於該靶材的該基板。 A physical vapor deposition chamber includes: a chamber body having a processing volume and a first portion, the processing volume being defined within the chamber body, and the first portion securing a target, the target The material includes a plurality of target sheets, and wherein each of the plurality of target sheets comprises a different material; a movable hole, the a movable hole disposed between the plurality of target sheets and the substrate, wherein the movable hole has an opening that is a first surface of a target sheet of the plurality of target sheets Exposing to a surface of the substrate; and a substrate holder disposed within the chamber body to support the substrate relative to the target during processing. 如請求項18所述之物理蒸氣沉積腔室,其中該基板支座為一掃描式基板支座,該掃描式基板支座在一沉積處理期間相對於該靶材在該腔室主體中橫向地移動該基板。 The physical vapor deposition chamber of claim 18, wherein the substrate holder is a scanning substrate holder that is laterally lateral to the target body relative to the target during a deposition process The substrate is moved. 一種方法,用於使用一靶材而在一基板上形成多層不同材料的一堆疊,該靶材包括複數個靶材片,其中該等複數個靶材片中的每一靶材片包括一不同的材料,該方法包括:在該靶材與由一掃描式基板支座支撐的該基板之間形成一電漿;移動一孔,以將該等複數個靶材片的一第一靶材片的一第一表面曝露至該基板的一沉積表面;施加一偏壓電力至該第一靶材片,以開始沉積該第一靶材片的一第一材料至該基板的該沉積表面上;相對於該靶材橫向地移動該基板支座,以均勻地沉積該第一材料至該基板的該沉積表面上;移動該孔,以將該等複數個靶材片的一第二靶材片的一第二表面曝露至該基板的該沉積表面;施加一偏壓電力至該第二靶材片,以開始沉積該第二靶材片的一第二材料至已沉積於該基板上的該第一材料上;及相對於該靶材橫向地移動該基板支座,以均勻地沉積該第二材料至已沉積於該基板上的該第一材料上。 A method for forming a stack of a plurality of different materials on a substrate using a target, the target comprising a plurality of target sheets, wherein each of the plurality of target sheets comprises a different one a method comprising: forming a plasma between the target and the substrate supported by a scanning substrate holder; moving a hole to form a first target sheet of the plurality of target sheets Exposing a first surface to a deposition surface of the substrate; applying a bias power to the first target sheet to start depositing a first material of the first target sheet onto the deposition surface of the substrate; Moving the substrate holder laterally relative to the target to uniformly deposit the first material onto the deposition surface of the substrate; moving the hole to form a second target sheet of the plurality of target sheets Exposing a second surface to the deposition surface of the substrate; applying a bias power to the second target sheet to begin depositing a second material of the second target sheet onto the substrate that has been deposited on the substrate On the first material; and laterally moving the substrate relative to the target Block to uniformly depositing the second material to have been deposited on the first material on the substrate.
TW103104279A 2013-03-06 2014-02-10 Physical vapor deposition system TW201437397A (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US201361773485P 2013-03-06 2013-03-06

Publications (1)

Publication Number Publication Date
TW201437397A true TW201437397A (en) 2014-10-01

Family

ID=51491764

Family Applications (1)

Application Number Title Priority Date Filing Date
TW103104279A TW201437397A (en) 2013-03-06 2014-02-10 Physical vapor deposition system

Country Status (2)

Country Link
TW (1) TW201437397A (en)
WO (1) WO2014137552A1 (en)

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05234893A (en) * 1992-02-18 1993-09-10 Tokyo Electron Ltd Sputtering method
KR101235288B1 (en) * 2005-11-14 2013-02-21 연세대학교 산학협력단 Method of manufacturing vertical inorganic alignment layer and liquid crystal display apparatus having the same
JP2008056546A (en) * 2006-09-01 2008-03-13 Ihi Corp Production device and production method for carbon structure
KR101101742B1 (en) * 2008-12-05 2012-01-05 주식회사 도루코 Method for depositing thin film of razor blade for razor
JP5503905B2 (en) * 2009-06-18 2014-05-28 株式会社アルバック Sputtering apparatus and sputtering method

Also Published As

Publication number Publication date
WO2014137552A1 (en) 2014-09-12

Similar Documents

Publication Publication Date Title
TWI774283B (en) Plasma source assembly, processing chamber, and method to generate pie shaped treatment
JP5249328B2 (en) Thin film deposition method
JP5309150B2 (en) Sputtering apparatus and method of manufacturing field effect transistor
KR20130035924A (en) Magnetron sputtering apparatus and method
WO2011002058A1 (en) Method for depositing thin film
JP5834944B2 (en) Magnetron sputtering apparatus and film forming method
TWI793218B (en) Processing chamber and method for geometrically selective deposition of dielectric films utilizing low frequency bias
JP2011179120A (en) Apparatus and method of physical vapor deposition with multi-point clamp
KR101344085B1 (en) Film-forming method and film-forming apparatus
JP2011179119A (en) Apparatus and method of physical vapor deposition with heat diffuser
US8617363B2 (en) Magnetron sputtering apparatus
US20130101749A1 (en) Method and Apparatus for Enhanced Film Uniformity
JP4762187B2 (en) Magnetron sputtering apparatus and method for manufacturing semiconductor device
JP2011202190A (en) Sputtering apparatus and sputtering method
JP5334984B2 (en) Sputtering apparatus, thin film forming method, and field effect transistor manufacturing method
JP2010248576A (en) Magnetron sputtering apparatus
US20140174921A1 (en) Multi-Piece Target and Magnetron to Prevent Sputtering of Target Backing Materials
TW201437397A (en) Physical vapor deposition system
JP5558020B2 (en) Deposition method
TWI842771B (en) Method to deposit a compound layer
JP2019529706A (en) One oxide metal deposition chamber
TWI571521B (en) A method of supporting a workpiece during physical vapour deposition
JP2020176304A (en) Sputtering apparatus
US20170275762A1 (en) Polygon deposition sources with high materials utilization and increased time between chamber cleanings
TW201235497A (en) Sputtering method