TW201401380A - Semiconductor device and fabricating method thereof - Google Patents

Semiconductor device and fabricating method thereof Download PDF

Info

Publication number
TW201401380A
TW201401380A TW101121724A TW101121724A TW201401380A TW 201401380 A TW201401380 A TW 201401380A TW 101121724 A TW101121724 A TW 101121724A TW 101121724 A TW101121724 A TW 101121724A TW 201401380 A TW201401380 A TW 201401380A
Authority
TW
Taiwan
Prior art keywords
substrate
dielectric layer
interlayer dielectric
semiconductor device
disposed
Prior art date
Application number
TW101121724A
Other languages
Chinese (zh)
Other versions
TWI546866B (en
Inventor
Chien-Li Kuo
Yung-Chang Lin
Original Assignee
United Microelectronics Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by United Microelectronics Corp filed Critical United Microelectronics Corp
Priority to TW101121724A priority Critical patent/TWI546866B/en
Publication of TW201401380A publication Critical patent/TW201401380A/en
Application granted granted Critical
Publication of TWI546866B publication Critical patent/TWI546866B/en

Links

Landscapes

  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

A semiconductor device includes a substrate; an inter layer dielectric disposed on the substrate; a through silicon via (TSV), penetrating the substrate and parts of the dielectric layer. In addition, a plurality of shallow trench isolation (STI) is disposed in the substrate, and a shield ring is disposed in the dielectric layer surrounding the TSV on the STI. During the process of forming the TSV, the contact ring can protect adjacent components from metal contamination.

Description

半導體元件與製作方法 Semiconductor component and manufacturing method

本發明提供一種半導體元件,特別是一種具有矽穿孔與接觸環的半導體元件。 The present invention provides a semiconductor component, and more particularly to a semiconductor component having a turn-by-turn and contact ring.

在現代的資訊社會中,由積體電路所構成的微處理機系統早已被普遍運用於生活的各個層面,例如自動控制之家電用品、行動通訊設備、個人電腦等,都有積體電路之蹤跡。而隨著科技的日益精進,以及人類社會對於電子產品的各種想像,使得積體電路也往更多元、更精密、更小型的方向發展。 In the modern information society, the microprocessor system consisting of integrated circuits has been widely used in all aspects of life, such as automatic control of household appliances, mobile communication devices, personal computers, etc., all of which have traces of integrated circuits. . With the increasing advancement of technology and the imagination of human society for electronic products, the integrated circuit has also developed in the direction of more yuan, more precision and smaller.

一般所謂積體電路,是透過習知半導體製程中所生產的晶粒(die)而形成。製造晶粒的過程,係由生產一晶圓(wafer)開始:首先,在一片晶圓上區分出多個區域,並在每個區域上,透過各種半導體製程如沈積、微影、蝕刻或平坦化步驟,以形成各種所需之電路路線,接著,再對晶圓上的各個區域進行切割而成各個晶粒,並加以封裝成晶片(chip),最後再將晶片電連至一電路板,如一印刷電路板(printed circuit board,PCB),使晶片與印刷電路板的接腳(pin)電性連結後,便可執行各種程式化之處理。 Generally, an integrated circuit is formed by a die produced in a conventional semiconductor process. The process of fabricating a die begins with the production of a wafer: first, a plurality of regions are distinguished on a wafer, and in each region, through various semiconductor processes such as deposition, lithography, etching, or flattening. The steps to form various required circuit paths, and then, the respective regions on the wafer are cut into individual chips, packaged into chips, and finally the wafer is electrically connected to a circuit board. Such as a printed circuit board (PCB), after the chip is electrically connected to the pins of the printed circuit board, a variety of stylized processing can be performed.

為了提高晶片功能與效能,增加積集度以便在有限空間下能容納更多半導體元件,相關廠商開發出許多半導體晶片的堆疊技術,包括了覆晶封裝(Flip-Chip)技術、多晶片封裝(Multi-chip Package,MCP)技術、封裝堆疊(Package on Package,PoP)技術、封裝內藏封裝體(Package in Package,PiP)技術等,都可以藉由晶粒或封裝體之間彼此的堆疊來增加單位體積內半導體元件的積集度。而在上述各種封裝架構下,近年來又發展一種稱為矽穿孔(Through silicon via,TSV)之技術,可促進在封裝體中各晶粒彼此之間的內部連結(interconnect),以將堆疊效率進一步往上提升。 In order to improve the function and performance of the wafer and increase the degree of integration to accommodate more semiconductor components in a limited space, the related manufacturers have developed a number of semiconductor wafer stacking technologies, including flip chip technology (Flip-Chip) technology, multi-chip package ( Multi-chip Package (MCP) technology, package on package (PoP) technology, package in package (PiP) technology, etc., can be stacked by die or package. Increase the degree of integration of semiconductor components per unit volume. Under the above various package architectures, a technology called Through Silicon Via (TSV) has been developed in recent years to promote internal interconnection of the crystal grains in the package to improve stacking efficiency. Further advancement.

矽穿孔原理是在晶圓中以蝕刻或雷射的方式形成貫穿晶圓的通孔(Via),再將導電材料如銅、多晶矽、鎢等填入通孔,最後則將晶圓或晶粒薄化並加以堆疊、結合(Bonding),而成為3D立體之晶粒堆疊結構。由於應用矽穿孔技術之各晶片內部線路之連結路徑最短,相較於其他堆疊技術,可使晶片間的傳輸速度更快、雜訊更小、效能更佳,是目前遠景看好的技術之一。 The principle of boring is to form a through-wafer via in the wafer by etching or laser, and then fill a via hole with a conductive material such as copper, polysilicon, tungsten, etc., and finally wafer or die. Thinned and stacked, Bonded, and become a 3D solid crystal grain stack structure. Since the connection path of the internal lines of each chip is the shortest, the transmission speed between the wafers is faster, the noise is smaller, and the performance is better, which is one of the currently promising technologies.

然而,目前矽穿孔與其他元件整合上,仍有許多技術問題待克服,其中之一為製作矽穿孔的過程中,以雷射或其他方式形成的通口可能會直接曝露金屬連接墊,而對位於矽 穿孔周圍其他元件造成金屬污染的問題。 However, at present, there are still many technical problems to be overcome in the integration of the perforation with other components. One of them is that in the process of making the perforation, the port formed by laser or other means may directly expose the metal connection pad, but Located in 矽 Other components around the perforations cause metal contamination problems.

根據本發明的一較佳實施例,本發明提供一種半導體元件,包含有一基底,一層間介電層,設置於該基底上,一矽穿孔電極,貫穿該基底以及部分該層間介電層,以及一接觸環,設置於該層間介電層中的該矽穿孔周圍。 According to a preferred embodiment of the present invention, a semiconductor device includes a substrate, an interlayer dielectric layer disposed on the substrate, a via electrode, a through-substrate and a portion of the interlayer dielectric layer, and A contact ring is disposed around the turns of the turn in the interlayer dielectric layer.

根據本發明的另一較佳實施例,本發明提供一種半導體元件,包含有一基底,一層間介電層,設置於該基底上,一矽穿孔電極,貫穿該基底以及部分該層間介電層,以及一襯墊層位於該矽穿孔電極內,且僅位於該基底中。 According to another preferred embodiment of the present invention, a semiconductor device includes a substrate, an interlayer dielectric layer disposed on the substrate, a via electrode, a through-substrate, and a portion of the interlayer dielectric layer. And a liner layer is located within the crucible perforated electrode and is located only in the substrate.

根據本發明的另一較佳實施例,本發明提供一種製作半導體元件的方法,包含以下步驟:提供一基底,基底有一正面與一背面,接著形成一層間介電層於該基底的正面上,以及形成一金屬線路於該層間介電層表面,然後於該基底的該背面上,形成一開口貫穿該基底並曝露該層間介電層,接著形成一襯墊層於該開口內部,經由該開口蝕刻該襯墊層以及該層間介電層,以形成一矽穿孔,並曝露該金屬線路,而後形成一阻障層,覆蓋該矽穿孔內部,最後形成一導電層於該矽穿孔內。 In accordance with another preferred embodiment of the present invention, a method of fabricating a semiconductor device includes the steps of providing a substrate having a front side and a back side, and then forming an interlevel dielectric layer on the front side of the substrate, And forming a metal line on the surface of the interlayer dielectric layer, and then forming an opening through the substrate and exposing the interlayer dielectric layer on the back surface of the substrate, and then forming a liner layer inside the opening, through the opening The liner layer and the interlayer dielectric layer are etched to form a via hole, and the metal trace is exposed, and then a barrier layer is formed to cover the interior of the via hole, and finally a conductive layer is formed in the via hole.

本發明的半導體元件在矽穿孔電極周圍具有接觸環以及 襯墊層,故能有效保護矽穿孔周圍電路在矽穿孔形成過程中受到金屬汙染之問題。 The semiconductor device of the present invention has a contact ring around the perforated electrode and The liner layer can effectively protect the circuit around the perforation from metal contamination during the formation of the perforation.

為使熟習本發明所屬技術領域之一般技藝者能更進一步了解本發明,下文特列舉本發明之較佳實施例,並配合所附圖式,詳細說明本發明的構成內容及所欲達成之功效。 The present invention will be further understood by those of ordinary skill in the art to which the present invention pertains. .

為了方便說明,本發明之各圖式僅為示意以更容易了解本發明,其詳細的比例可依照設計的需求進行調整。在文中所描述對於圖形中相對元件之上下關係,在本領域之人皆應能理解其係指物件之相對位置而言,因此皆可以翻轉而呈現相同之構件,此皆應同屬本說明書所揭露之範圍,在此容先敘明。 For the convenience of description, the drawings of the present invention are only for the purpose of understanding the present invention, and the detailed proportions thereof can be adjusted according to the design requirements. As described in the text for the relative relationship between the relative elements in the figure, it should be understood by those skilled in the art that it refers to the relative position of the object, and therefore can be flipped to present the same member, which should belong to the same specification. The scope of the disclosure is hereby stated.

請先參考第1~5圖,第1~5圖繪示了本發明之第一較佳實施例之半導體元件的製程示意圖。如第1圖所示,首先,提供一基底10,例如是矽基底(silicon substrate)、磊晶矽(epitaxial silicon substrate)、矽鍺半導體基底(silicon germanium substrate)、碳化矽基底(silicon carbide substrate)或絕緣層上覆矽基底(silicon-on-insulator,SOI)等,基底10具有一正面12與一背面14,接著,形成所需的各種離子井(N-well or P-well)(圖未示)以及複數個淺溝渠隔離(shallow trench isolation)16於基底10中。 Please refer to FIGS. 1~5 first, and FIGS. 1~5 are schematic diagrams showing the manufacturing process of the semiconductor device of the first preferred embodiment of the present invention. As shown in FIG. 1, first, a substrate 10 is provided, such as a silicon substrate, an epitaxial silicon substrate, a silicon germanium substrate, or a silicon carbide substrate. Or a silicon-on-insulator (SOI) or the like on the insulating layer, the substrate 10 has a front surface 12 and a back surface 14, and then forms various ion wells (N-well or P-well) required (Fig. And a plurality of shallow trench isolations 16 in the substrate 10.

接著如第2圖所示,形成至少一閘極結構18於基底10上,並以離子佈植等方法形成源/汲極區域20於閘極結構18的兩側基底10中。閘極結構18可為多晶矽閘極(polysilicon gate)、金屬閘極(metal gate)或是虛置閘極(dummy gate)等,而形成上述閘極結構18與源/汲極區域20、甚或再於源/汲極區域20表面上形成自對準金屬矽化物(salicide)(圖未示)的方法,皆為本領域常見技術,在此不再贅述。然後覆蓋一層間介電層22於閘極結構18與正面12上,並接續再進行一金屬內連線製程,以於層間介電層22上製備複數層金屬層間介電層(inter metal dielectric,IMD)(圖未示)以及設置於各金屬層間介電層中所需的金屬線路(圖未示)。為了方便說明起見,第2圖僅繪示形成一對應於後續製作之矽穿孔(TSV)的金屬線路24於層間介電層22表面,而省略其他的金屬線路與各金屬層間介電層,且該金屬線路24底面可直接接觸後續形成之矽穿孔(TSV)並藉由其上方金屬層間介電層(IMD)中的金屬線路(圖未示)與其他元件分別電性連接。此外,層間介電層22與基底10之間還可選擇性形成有一接觸蝕刻停止層(CESL)(圖未示)覆蓋閘極結構18與源/汲極區域20。 Next, as shown in FIG. 2, at least one gate structure 18 is formed on the substrate 10, and the source/drain regions 20 are formed in the substrate 10 on both sides of the gate structure 18 by ion implantation or the like. The gate structure 18 can be a polysilicon gate, a metal gate or a dummy gate, etc., to form the gate structure 18 and the source/drain region 20, or even A method of forming a self-aligned metal salicide (not shown) on the surface of the source/drain region 20 is common in the art and will not be described herein. Then, an interlayer dielectric layer 22 is overlying the gate structure 18 and the front surface 12, and then a metal interconnect process is further performed to prepare a plurality of inter-metal dielectric layers on the interlayer dielectric layer 22. IMD) (not shown) and the metal lines (not shown) required to be placed in the dielectric layers between the metal layers. For convenience of description, FIG. 2 only shows that a metal line 24 corresponding to a subsequently formed turns (TSV) is formed on the surface of the interlayer dielectric layer 22, and other metal lines and dielectric layers between the metal layers are omitted. The bottom surface of the metal line 24 can directly contact the subsequently formed via via (TSV) and be electrically connected to other components by metal lines (not shown) in the upper inter-metal dielectric layer (IMD). In addition, a contact etch stop layer (CESL) (not shown) may be selectively formed between the interlayer dielectric layer 22 and the substrate 10 to cover the gate structure 18 and the source/drain regions 20.

其中,值得注意的是,本發明在形成金屬線路24之前,會先進行一接觸插塞製程,以形成複數個接觸插塞28,並可於此接觸插塞製程中同時形成一接觸環26於層間介電層22 中。其中,接觸插塞28係分別位於閘極結構18與源/汲極區域20上,用來電性連接層間介電層22上方之金屬層間介電層(IMD)中的金屬線路(圖未示);而接觸環26則係位於淺溝渠隔離16上,較佳為一環繞但不接觸矽穿孔(TSV)的環狀結構,故內徑大於矽穿孔(TSV)的外徑並小於金屬線路24的寬度,且接觸環26係與金屬線路24直接接觸,因此接觸環26與金屬線路24電性連接並等電位,以達到較佳屏蔽作用。本實施例中,金屬線路24、接觸環26與接觸插塞28之材料可選自導電性良好的金屬,如銅、鋁、鎢、鈦、氮化鈦、鉭以及氮化鉭所組成的群組,但不限於此。 It should be noted that, before forming the metal line 24, the present invention first performs a contact plug process to form a plurality of contact plugs 28, and simultaneously forms a contact ring 26 in the contact plug process. Interlayer dielectric layer 22 in. The contact plugs 28 are respectively disposed on the gate structure 18 and the source/drain regions 20 for electrically connecting the metal lines in the inter-metal dielectric layer (IMD) above the interlayer dielectric layer 22 (not shown). The contact ring 26 is located on the shallow trench isolation 16, preferably a ring structure that surrounds but does not contact the through hole (TSV), so the inner diameter is larger than the outer diameter of the through hole (TSV) and smaller than the metal line 24. The width, and the contact ring 26 is in direct contact with the metal line 24, so that the contact ring 26 is electrically connected to the metal line 24 and equipotentially for better shielding. In this embodiment, the material of the metal line 24, the contact ring 26 and the contact plug 28 may be selected from a group of conductive metals such as copper, aluminum, tungsten, titanium, titanium nitride, tantalum and tantalum nitride. Group, but not limited to this.

在完成基底10正面12上之金屬內連線製程以及設置於金屬層間介電層上的銲墊(bonding pad)製程之後。由基底10背面14來薄化基底10,接著如第3圖所示,利用微影暨蝕刻方式,於基底10背面14形成至少一開口30以定義出矽穿孔(TSV)的位置。開口30貫穿基底10與淺溝渠隔離16,且開口30的底部停留在層間介電層22的底面上。其中,蝕刻製程並不限於使用乾式蝕刻或濕式蝕刻或上述之組合,乾蝕刻條件可以為CF4、O2與Ar,濕蝕刻條件可以是稀釋氫氟酸等。形成開口30後,沉積一襯墊層32於背面14與開口30中,覆蓋開口30的側壁以及底部,襯墊層32材料例如為氮化矽(SiN)或氧化矽(SiO2)等單一材料層或複合結構層,但不限於此。值得注意的是,由於開口30底部僅停留在層間 介電層22表面,因此此處形成於開口30內部的襯墊層32,也不會位於層間介電層22中,僅會位於基底10中。 After the metal interconnection process on the front side 12 of the substrate 10 and the bonding pad process on the dielectric layer between the metal layers are completed. The substrate 10 is thinned from the back side 14 of the substrate 10, and then, as shown in FIG. 3, at least one opening 30 is formed on the back surface 14 of the substrate 10 by lithography and etching to define the position of the ruthenium perforation (TSV). The opening 30 extends through the substrate 10 and the shallow trench isolation 16 and the bottom of the opening 30 rests on the bottom surface of the interlayer dielectric layer 22. The etching process is not limited to the use of dry etching or wet etching or a combination thereof, and the dry etching conditions may be CF 4 , O 2 and Ar, and the wet etching conditions may be diluted hydrofluoric acid or the like. After the opening 30 is formed, a liner layer 32 is deposited in the back surface 14 and the opening 30 to cover the sidewalls and the bottom of the opening 30. The material of the liner layer 32 is, for example, a single material such as tantalum nitride (SiN) or yttrium oxide (SiO 2 ). Layer or composite structure layer, but is not limited thereto. It should be noted that since the bottom of the opening 30 only stays on the surface of the interlayer dielectric layer 22, the pad layer 32 formed inside the opening 30 is not located in the interlayer dielectric layer 22, and is only located in the substrate 10. .

之後對開口30再次進一蝕刻步驟,如第4圖所示,以形成一矽穿孔34,且矽穿孔34的底部停留在金屬線路24的底面上。其中,此次蝕刻步驟僅需由開口30貫穿襯墊層32與層間介電層22,因此蝕刻時間較短也較好控制,相對提高蝕刻的均勻性。然後沉積一阻障層36於矽穿孔34內部,覆蓋矽穿孔34內部的側壁以及底部,且阻障層36實質上與金屬線路24接觸。而阻障層36可選自鈦、氮化鈦、鉭以及氮化鉭所組成的群組,但不限於此。 An opening step is then performed on the opening 30 again, as shown in FIG. 4, to form a turn aperture 34, and the bottom of the turn 34 is resting on the bottom surface of the metal line 24. The etching step only needs to pass through the pad layer 32 and the interlayer dielectric layer 22 through the opening 30. Therefore, the etching time is shorter and the control is better, and the uniformity of etching is relatively improved. A barrier layer 36 is then deposited over the interior of the via 34 to cover the sidewalls and bottom of the interior of the via 34, and the barrier layer 36 is substantially in contact with the metal line 24. The barrier layer 36 may be selected from the group consisting of titanium, titanium nitride, tantalum, and tantalum nitride, but is not limited thereto.

如第5圖所示,形成一導電層38於阻障層36的表面,並填滿矽穿孔34以形成矽穿孔電極40。其中,導電層38可選自導電性良好的金屬,而其形成方法,以銅為例,可在沉積阻障層36之後,即先形成一銅之晶種層(圖未示),然後進行一晶背凸塊的黃光製程以形成一圖案化的光阻層(圖未示),接著在電鍍銅之後,去除圖案化的光阻層,即完成本發明之具有矽穿孔電極的半導體元件1。因此,本發明的半導體元件1包含有一基底10,複數個淺溝渠隔離16於基底10中,一層間介電層22設置於基底10上,一矽穿孔電極40貫穿基底10、淺溝渠隔離16以及層間介電層22,一接觸環26,設置於層間介電層22中的矽穿孔電極40周圍,且設置於 該淺溝渠隔離16上,以及一襯墊層32僅位於矽穿孔電極40周圍的基底10中,換句話說,襯墊層32不位於層間介電層22之中。 As shown in FIG. 5, a conductive layer 38 is formed on the surface of the barrier layer 36 and filled with the via holes 34 to form the via electrodes 40. The conductive layer 38 may be selected from a metal having good conductivity, and a method for forming the same. In the case of copper, a copper seed layer (not shown) may be formed after depositing the barrier layer 36, and then A yellow light process of a back bump is formed to form a patterned photoresist layer (not shown), and then after plating the copper, the patterned photoresist layer is removed, that is, the semiconductor device having the germanium perforated electrode of the present invention is completed. 1. Therefore, the semiconductor device 1 of the present invention comprises a substrate 10, a plurality of shallow trench isolations 16 in the substrate 10, an interlayer dielectric layer 22 disposed on the substrate 10, a via electrode 40 extending through the substrate 10, shallow trench isolation 16 and An interlayer dielectric layer 22, a contact ring 26 disposed around the germanium via electrode 40 in the interlayer dielectric layer 22, and disposed on the interlayer dielectric layer 22 The shallow trench isolation 16 and a liner layer 32 are only located in the substrate 10 around the perforated electrode 40, in other words, the liner layer 32 is not located in the interlayer dielectric layer 22.

承上說明,本發明的特徵之一在於先由晶背蝕刻基底10以形成開口30,且開口30的底部停留在層間介電層22上,非直接曝露金屬線路24,接著於開口30底部與側壁形成襯墊層32之後才藉由一短時間的蝕刻步驟蝕穿層間介電層22形成矽穿孔34曝露金屬線路24。如此一來,可避免蝕刻過程中,開口30直接曝露金屬線路24,導致金屬線路24的成分擴散造成金屬污染(metal contamination),進而影響到周圍的閘極結構18或其他元件。此時的層間介電層22可作為一保護層覆蓋金屬線路24,避免金屬污染影響其他元件。此外,本發明亦可避免直接一次蝕刻基底10、淺溝渠隔離16以及層間介電層22,恐蝕穿或破壞金屬線路24的問題。 One of the features of the present invention is that the substrate 10 is first etched back to form the opening 30, and the bottom of the opening 30 rests on the interlayer dielectric layer 22, not directly exposing the metal line 24, and then to the bottom of the opening 30. After the sidewalls are formed with the liner layer 32, the inter-layer dielectric layer 22 is etched through the inter-layer dielectric layer 22 to form the germanium vias 34 to expose the metal lines 24. In this way, the opening 30 can be prevented from directly exposing the metal line 24 during the etching process, causing the metal component 24 to diffuse to cause metal contamination, thereby affecting the surrounding gate structure 18 or other components. At this time, the interlayer dielectric layer 22 can cover the metal line 24 as a protective layer to prevent metal contamination from affecting other components. In addition, the present invention can also avoid the problem of directly etching the substrate 10, the shallow trench isolation 16 and the interlayer dielectric layer 22, thereby eroding or destroying the metal wiring 24.

另一個本發明的特徵在於接觸環26,本發明在形成金屬線路24之前,會先於層間介電層22中形成一環繞在矽穿孔電極40周圍的接觸環26。如此一來,當經由開口30蝕穿層間介電層22形成矽穿孔34時,接觸環26便可有效防止曝露的金屬線路24造成金屬污染,進而影響到周圍的閘極結構18或其他元件。此外,一般而言矽穿孔電極40連接各種半導體元件如電晶體、記憶體、電感、電阻等,而可執行各種程式化之處理。由於矽穿孔電極40作為電力接腳,當外 部電源通過時,會產生強大的電磁干擾(electromagnetic interference,EMI),而對位於矽穿孔電極40附近的半導體元件如閘極結構18產生干擾雜訊。因此本發明之接觸環26接觸環係設置於矽穿孔電極40之外圍,尤其是設置於半導體元件如閘極結構18所在的層間介電層22中,以徹底改善此問題。如此一來,接觸環26對於所包圍之矽穿孔電極40或金屬線路24所流通之大量電流,便能夠有效屏蔽其耦合雜訊之產生。上述接觸環26之材質,可選自於由銅、鋁、鎢、鈦、氮化鈦、鉭以及氮化鉭所組成的群組,端視產品結構設計與半導體製程之整合的相容性而定,但不以上述為限。 Another feature of the present invention resides in contact ring 26, which forms a contact ring 26 around the perforated electrode 40 prior to forming the metal line 24 prior to forming the metal line 24. As a result, when the inter-layer dielectric layer 22 is etched through the opening 30 to form the crucible vias 34, the contact ring 26 can effectively prevent metal contamination of the exposed metal lines 24, thereby affecting the surrounding gate structure 18 or other components. Further, in general, the perforated electrode 40 is connected to various semiconductor elements such as a transistor, a memory, an inductor, a resistor, etc., and various kinds of stylized processes can be performed. Since the perforated electrode 40 acts as a power pin, when When the power source passes, strong electromagnetic interference (EMI) is generated, and interference is generated to a semiconductor element such as the gate structure 18 located near the 矽-perforated electrode 40. Therefore, the contact ring 26 contact ring of the present invention is disposed on the periphery of the ruthenium perforated electrode 40, particularly in the interlayer dielectric layer 22 in which the semiconductor element such as the gate structure 18 is located, to completely improve the problem. In this way, the contact ring 26 can effectively shield the generation of the coupling noise from the large amount of current flowing through the surrounded perforated electrode 40 or the metal line 24. The material of the contact ring 26 may be selected from the group consisting of copper, aluminum, tungsten, titanium, titanium nitride, tantalum and tantalum nitride, and the compatibility between the product structure design and the semiconductor process is considered. But not limited to the above.

下文將針對本發明之半導體元件及其製作方法的不同實施態樣進行說明,且為簡化說明,以下說明主要針對各實施例不同之處進行詳述,而不再對相同之處作重覆贅述。此外,本發明之各實施例中相同之元件係以相同之標號進行標示,以利於各實施例間互相對照。 The different embodiments of the present invention and the method for fabricating the same are described below, and for the sake of simplification of the description, the following description mainly focuses on the differences of the embodiments, and the details are not repeated. . In addition, the same elements in the embodiments of the present invention are denoted by the same reference numerals to facilitate the comparison between the embodiments.

如第6圖所示,其繪示本發明第二較佳實施例的結構示意圖,與本發明第一較佳實施例相同,半導體元件2包含有一基底10,複數個淺溝渠隔離16於基底10中,一層間介電層22設置於基底10上,一矽穿孔電極40貫穿基底10以及層間介電層22,以及一襯墊層32僅位於基底10內,且環繞矽穿孔電極40。本實施例與本發明第一較佳實施例不同之處在於原先 的接觸環與金屬線路結合,為一體成型結構,也就是接觸環42,雖然上述第一較佳實施例中,係先形成接觸環後,才形成金屬線路,但本實施例則是將接觸環與金屬線路同時製作,使得接觸環與金屬線路結合成為一體成型結構。除此之外,各接觸插塞28與接觸環42也可於同一步驟製作,或是在不同步驟中分別完成。若是接觸插塞28與接觸環42一起製作,則可以進一步簡化製程。此外,本實施例中的接觸環42與接觸插塞28材料可選自導電性良好的金屬,如銅、鋁、鎢、鈦、氮化鈦、鉭以及氮化鉭所組成的群組,但不限於此。除了接觸環外,其餘各部件之特徵、材料特性以及製作方法與上述第一較佳實施例相似,故在此並不再贅述。 As shown in FIG. 6, a schematic structural view of a second preferred embodiment of the present invention is shown. As in the first preferred embodiment of the present invention, the semiconductor device 2 includes a substrate 10, and a plurality of shallow trenches are isolated 16 from the substrate 10. The interlayer dielectric layer 22 is disposed on the substrate 10, a via electrode 40 is penetrated through the substrate 10 and the interlayer dielectric layer 22, and a liner layer 32 is located only in the substrate 10 and surrounds the via electrode 40. The difference between this embodiment and the first preferred embodiment of the present invention is that the original The contact ring is combined with the metal circuit to form a unitary structure, that is, the contact ring 42. Although in the first preferred embodiment, the metal line is formed after the contact ring is formed first, the contact ring is in this embodiment. Simultaneously fabricated with the metal circuit, the contact ring and the metal circuit are combined into an integrally formed structure. In addition, the contact plugs 28 and the contact rings 42 can also be fabricated in the same step or separately in different steps. If the contact plug 28 is made with the contact ring 42, the process can be further simplified. In addition, the material of the contact ring 42 and the contact plug 28 in this embodiment may be selected from the group consisting of a metal having good conductivity, such as copper, aluminum, tungsten, titanium, titanium nitride, tantalum, and tantalum nitride, but Not limited to this. The features, material characteristics, and manufacturing methods of the remaining components are similar to those of the first preferred embodiment described above except for the contact ring, and thus will not be described herein.

本發明中,為加強接觸環26之屏蔽效果,接觸環26更可連接一信號接地(圖未示)或浮接。此信號接地可連接至最穩定的接地端,例如安裝有半導體封裝件的系統板(圖未示)的接地或晶片組級接地,以便更有效率地避免雜訊。再者,與系統板的接地之間還可再另行設置一高頻濾波器以選擇性地避免及移除高頻雜音。 In the present invention, in order to enhance the shielding effect of the contact ring 26, the contact ring 26 can be connected to a signal ground (not shown) or floating. This signal ground can be connected to the most stable ground, such as the ground or chip group level grounding of a system board (not shown) mounted with a semiconductor package to more efficiently avoid noise. Furthermore, a high frequency filter can be additionally provided between the ground of the system board to selectively avoid and remove high frequency noise.

前述各較佳實施例均僅為本發明之實施態樣,本發明所揭露的步驟與接觸環可應用在各種前矽穿孔(Via-first)製程、中矽穿孔(Via-Middle)製程或後矽穿孔(Via-last)製程等TSV製程中,有效整合於現行之半導體製程。 The foregoing preferred embodiments are only embodiments of the present invention. The steps and contact rings disclosed in the present invention can be applied to various Via-first processes, Via-Middle processes, or In the TSV process such as the Via-last process, it is effectively integrated into the current semiconductor process.

綜上所述,本發明所提供一具有矽穿孔電極的半導體元件,其中在矽穿孔電極周圍的層間介電層中分布有一接觸環,在矽穿孔周圍的基底中則分布有襯墊層,此接觸環與襯墊層同樣可有效保護矽穿孔周圍電路在矽穿孔形成過程中受到金屬汙染之問題。 In summary, the present invention provides a semiconductor device having a ruthenium perforated electrode, wherein a contact ring is distributed in the interlayer dielectric layer around the ruthenium perforated electrode, and a lining layer is distributed in the substrate around the ruthenium perforation. The contact ring and the liner layer can also effectively protect the circuit around the perforation from metal contamination during the formation of the perforation.

以上所述僅為本發明之較佳實施例,凡依本發明申請專利範圍所做之均等變化與修飾,皆應屬本發明之涵蓋範圍。 The above are only the preferred embodiments of the present invention, and all changes and modifications made to the scope of the present invention should be within the scope of the present invention.

1‧‧‧半導體元件 1‧‧‧Semiconductor components

2‧‧‧半導體元件 2‧‧‧Semiconductor components

3‧‧‧半導體元件 3‧‧‧Semiconductor components

10‧‧‧基底 10‧‧‧Base

12‧‧‧正面 12‧‧‧ positive

14‧‧‧背面 14‧‧‧ Back

16‧‧‧淺溝渠隔離 16‧‧‧Shallow trench isolation

18‧‧‧閘極結構 18‧‧‧ gate structure

20‧‧‧源/汲極區域 20‧‧‧Source/bungee area

22‧‧‧層間介電層 22‧‧‧Interlayer dielectric layer

24‧‧‧金屬線路 24‧‧‧Metal lines

26‧‧‧接觸環 26‧‧‧Contact ring

28‧‧‧接觸 28‧‧‧Contact

30‧‧‧開口 30‧‧‧ openings

32‧‧‧襯墊層 32‧‧‧ liner

34‧‧‧矽穿孔 34‧‧‧矽Perforated

36‧‧‧阻障層 36‧‧‧Barrier layer

38‧‧‧導電層 38‧‧‧ Conductive layer

40‧‧‧矽穿孔電極 40‧‧‧矽perforated electrode

42‧‧‧接觸環 42‧‧‧Contact Ring

44‧‧‧虛置閘極 44‧‧‧Virtual gate

第1~5圖繪製本發明第一較佳實施例的半導體元件的製程示意圖。 1 to 5 are views showing a process of manufacturing the semiconductor device of the first preferred embodiment of the present invention.

第6圖繪製本發明第二較佳實施例的半導體元件結構示意圖。 Fig. 6 is a view showing the structure of a semiconductor element of a second preferred embodiment of the present invention.

1‧‧‧半導體元件 1‧‧‧Semiconductor components

10‧‧‧基底 10‧‧‧Base

12‧‧‧正面 12‧‧‧ positive

14‧‧‧背面 14‧‧‧ Back

16‧‧‧淺溝渠隔離 16‧‧‧Shallow trench isolation

18‧‧‧閘極結構 18‧‧‧ gate structure

20‧‧‧源/汲極區域 20‧‧‧Source/bungee area

22‧‧‧層間介電層 22‧‧‧Interlayer dielectric layer

24‧‧‧金屬線路 24‧‧‧Metal lines

26‧‧‧接觸環 26‧‧‧Contact ring

28‧‧‧接觸插塞 28‧‧‧Contact plug

32‧‧‧襯墊層 32‧‧‧ liner

36‧‧‧阻障層 36‧‧‧Barrier layer

38‧‧‧導電層 38‧‧‧ Conductive layer

40‧‧‧矽穿孔電極 40‧‧‧矽perforated electrode

Claims (20)

一種半導體元件,包含:一基底;一層間介電層,設置於該基底上;一矽穿孔電極,貫穿該基底以及該層間介電層;以及一接觸環,設置於該層間介電層中並位於該矽穿孔電極周圍。 A semiconductor device comprising: a substrate; an interlayer dielectric layer disposed on the substrate; a via electrode extending through the substrate and the interlayer dielectric layer; and a contact ring disposed in the interlayer dielectric layer Located around the perforated electrode of the crucible. 如申請專利範圍第1項之半導體元件,另包含一金屬線路設置於該層間介電層表面,且該矽穿孔電極接觸該金屬線路。 The semiconductor device of claim 1, further comprising a metal line disposed on the surface of the interlayer dielectric layer, wherein the germanium via electrode contacts the metal line. 如申請專利範圍第2項之半導體元件,其中該接觸環與該金屬線路等電位。 The semiconductor component of claim 2, wherein the contact ring is equipotential to the metal line. 如申請專利範圍第2項之半導體元件,另包含一阻障層位於該矽穿孔電極內,且該阻障層與該金屬線路實質上接觸。 The semiconductor component of claim 2, further comprising a barrier layer in the via-perforated electrode, and the barrier layer is in substantial contact with the metal trace. 如申請專利範圍第1項之半導體元件,另包含一襯墊層位於該矽穿孔電極內,且僅位於該基底中。 A semiconductor component according to claim 1, further comprising a liner layer located in the crucible via electrode and located only in the substrate. 如申請專利範圍第1項之半導體元件,另包含一閘極結構設置於該基底上,該閘極結構包含金屬閘極、多晶矽閘極或是虛置閘極(dummy gate)。 The semiconductor device of claim 1, further comprising a gate structure disposed on the substrate, the gate structure comprising a metal gate, a polysilicon gate or a dummy gate. 如申請專利範圍第1項之半導體元件,更包括至少一淺溝渠隔離設置於該基底中,其中該接觸環設置於該淺溝渠隔離上。 The semiconductor component of claim 1, further comprising at least one shallow trench isolation disposed in the substrate, wherein the contact ring is disposed on the shallow trench isolation. 一種半導體元件,包含:一基底;一層間介電層,設置於該基底上;一矽穿孔電極,貫穿該基底以及該層間介電層;以及一襯墊層位於該矽穿孔電極內,且僅位於該基底中。 A semiconductor device comprising: a substrate; an interlevel dielectric layer disposed on the substrate; a via electrode extending through the substrate and the interlayer dielectric layer; and a liner layer disposed within the crucible via electrode and only Located in the substrate. 如申請專利範圍第8項之半導體元件,另包含一接觸環,設置於該層間介電層中的該矽穿孔電極周圍,以及複數個淺溝渠隔離,設置於該基底中,其中該接觸環設置於該淺溝渠隔離上。 The semiconductor device of claim 8 , further comprising a contact ring disposed around the 矽-perforated electrode in the interlayer dielectric layer, and a plurality of shallow trenches are disposed in the substrate, wherein the contact ring is disposed Isolated on the shallow trench. 如申請專利範圍第8項之半導體元件,另包含一金屬線路設置於該層間介電層表面,且該矽穿孔電極接觸該金屬線路。 The semiconductor component of claim 8 further comprising a metal line disposed on the surface of the interlayer dielectric layer, and the germanium via electrode contacts the metal line. 如申請專利範圍第10項之半導體元件,其中該接觸環與該金屬線路等電位。 The semiconductor device of claim 10, wherein the contact ring is equipotential to the metal line. 如申請專利範圍第10項之半導體元件,另包含一阻障層位於該矽穿孔電極內,且該阻障層與該金屬線路實質上接觸。 The semiconductor component of claim 10, further comprising a barrier layer in the via-perforated electrode, and the barrier layer is in substantial contact with the metal trace. 如申請專利範圍第8項之半導體元件,另包含一閘極結構 設置於該基底上,該閘極結構包含金屬閘極、多晶矽閘極或是虛置閘極(dummy gate)。 The semiconductor component of claim 8 of the patent application, further comprising a gate structure The gate structure comprises a metal gate, a polysilicon gate or a dummy gate. 一種製作半導體元件的方法,包含以下步驟:提供一基底,其上有一正面與一背面;形成一層間介電層於該基底的該正面上;形成一金屬線路於該層間介電層表面;於該基底的該背面上,形成一開口貫穿該基底並曝露該層間介電層;形成一襯墊層於該開口內部;經由該開口蝕刻該襯墊層以及該層間介電層,以形成一矽穿孔,並曝露該金屬線路;形成一阻障層,覆蓋該矽穿孔內部;以及形成一導電層於該阻障層上。 A method of fabricating a semiconductor device, comprising the steps of: providing a substrate having a front surface and a back surface; forming an interlayer dielectric layer on the front surface of the substrate; forming a metal line on the surface of the interlayer dielectric layer; Forming an opening through the substrate and exposing the interlayer dielectric layer on the back surface of the substrate; forming a liner layer inside the opening; etching the liner layer and the interlayer dielectric layer through the opening to form a stack Perforating and exposing the metal line; forming a barrier layer covering the interior of the crucible, and forming a conductive layer on the barrier layer. 如申請專利範圍第14項之半導體元件製作方法,更包含形成一接觸環,位於該矽穿孔周圍的該層間介電層中。 The method of fabricating a semiconductor device according to claim 14, further comprising forming a contact ring in the interlayer dielectric layer around the via hole. 如申請專利範圍第15項之半導體元件製作方法,更包含形成複數個淺溝渠隔離位於該基底中,且該接觸環位於該淺溝渠隔離上。 The method for fabricating a semiconductor device according to claim 15 further comprises forming a plurality of shallow trench isolations in the substrate, and the contact ring is located on the shallow trench isolation. 如申請專利範圍第15項之半導體元件製作方法,其中該 接觸環與該金屬線路等電位。 A method of fabricating a semiconductor device according to claim 15 wherein the The contact ring is equipotential to the metal line. 如申請專利範圍第15項之半導體元件製作方法,更包含形成複數個接觸插塞於該層間介電層中,且該接觸環該與各接觸插塞係由同一步驟製作。 The method of fabricating a semiconductor device according to claim 15 further comprises forming a plurality of contact plugs in the interlayer dielectric layer, and the contact ring is formed in the same step as each contact plug. 如申請專利範圍第15項之半導體元件製作方法,更包含形成複數個接觸插塞於該層間介電層,且該接觸環與該接觸插塞係由不同步驟製作。 The method of fabricating a semiconductor device of claim 15, further comprising forming a plurality of contact plugs in the interlayer dielectric layer, and the contact ring and the contact plug are formed by different steps. 如申請專利範圍第14項之半導體元件製作方法,更包含形成至少一閘極結構,且該閘極包括金屬閘極、多晶矽閘極或是虛置閘極(dummy gate)。 The semiconductor device manufacturing method of claim 14, further comprising forming at least one gate structure, and the gate comprises a metal gate, a polysilicon gate or a dummy gate.
TW101121724A 2012-06-18 2012-06-18 Semiconductor device and fabricating method thereof TWI546866B (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
TW101121724A TWI546866B (en) 2012-06-18 2012-06-18 Semiconductor device and fabricating method thereof

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
TW101121724A TWI546866B (en) 2012-06-18 2012-06-18 Semiconductor device and fabricating method thereof

Publications (2)

Publication Number Publication Date
TW201401380A true TW201401380A (en) 2014-01-01
TWI546866B TWI546866B (en) 2016-08-21

Family

ID=50345136

Family Applications (1)

Application Number Title Priority Date Filing Date
TW101121724A TWI546866B (en) 2012-06-18 2012-06-18 Semiconductor device and fabricating method thereof

Country Status (1)

Country Link
TW (1) TWI546866B (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110634896A (en) * 2018-06-21 2019-12-31 半导体组件工业公司 Backside illuminated image sensor

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110634896A (en) * 2018-06-21 2019-12-31 半导体组件工业公司 Backside illuminated image sensor
TWI827624B (en) * 2018-06-21 2024-01-01 美商半導體組件工業公司 Backside illumination image sensors

Also Published As

Publication number Publication date
TWI546866B (en) 2016-08-21

Similar Documents

Publication Publication Date Title
US10199273B2 (en) Method for forming semiconductor device with through silicon via
KR101412828B1 (en) Through-substrate vias and methods for forming same
US9847255B2 (en) TSV formation processes using TSV-last approach
TWI534967B (en) Semiconductor package with through silicon via interconnect and method for fabricating the same
US9870980B2 (en) Semiconductor package with through silicon via interconnect
US8519515B2 (en) TSV structure and method for forming the same
US8421238B2 (en) Stacked semiconductor device with through via
US8836085B2 (en) Cost-effective TSV formation
KR20130053338A (en) Integrated circuit device having through silicon via structure
US20150303108A1 (en) Method for forming semiconductor device
JP2014107304A (en) Semiconductor device and manufacturing method of the same
KR20130107591A (en) Semiconductor devices and methods of manufacturing a semiconductor device
KR20170023643A (en) Semiconductor device and method of manufacturing the same
KR20220010852A (en) Semiconductor device and method of manufacturing the semiconductor device
KR20210028801A (en) Semiconductor device
US9184113B1 (en) Methods of forming coaxial feedthroughs for 3D integrated circuits
CN103515302B (en) Semiconductor element and preparation method
TWI546866B (en) Semiconductor device and fabricating method thereof
TW201401507A (en) Semiconductor device and fabricating method thereof
JP5751131B2 (en) Semiconductor device and manufacturing method thereof
TWI518861B (en) Tsv structure and method for forming the same
TWI447881B (en) Through-silicon via structure and method for making the same
CN115497929A (en) Semiconductor package and method of manufacturing the same
TWI459507B (en) Method for fabricating through-silicon via structure
TW201445671A (en) Method of forming isolating structure and TSV