TW201316512A - MOS device and method of manufacturing the same - Google Patents

MOS device and method of manufacturing the same Download PDF

Info

Publication number
TW201316512A
TW201316512A TW100136172A TW100136172A TW201316512A TW 201316512 A TW201316512 A TW 201316512A TW 100136172 A TW100136172 A TW 100136172A TW 100136172 A TW100136172 A TW 100136172A TW 201316512 A TW201316512 A TW 201316512A
Authority
TW
Taiwan
Prior art keywords
region
implant
implant region
conductivity type
well
Prior art date
Application number
TW100136172A
Other languages
Chinese (zh)
Other versions
TWI495104B (en
Inventor
Chien-Chung Chen
Ming-Tung Lee
Shin-Chin Lien
Shyi-Yuan Wu
Original Assignee
Macronix Int Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Macronix Int Co Ltd filed Critical Macronix Int Co Ltd
Priority to TW100136172A priority Critical patent/TWI495104B/en
Publication of TW201316512A publication Critical patent/TW201316512A/en
Application granted granted Critical
Publication of TWI495104B publication Critical patent/TWI495104B/en

Links

Abstract

A semiconductor device and method of forming the semiconductor device are disclosed, where the semiconductor device includes additional implant regions in the source and drain areas of the device for improving Ron-sp and BVD characteristics of the device. The device includes a gate electrode formed over a channel region that separates first and second implant regions in the device substrate. The first implant region has a first conductivity type, and the second implant region has a second conductivity type. A source diffusion region is formed in the first implant region, and a drain diffusion region is formed in the second implant region.

Description

金屬氧化半導體元件及其製造方法Metal oxide semiconductor device and method of manufacturing same

本發明是有關於一種半導體技術,且特別是有關於一種金屬氧化物半導體(Metal Oxide Semiconductor,MOS)元件與製造金屬氧化物半導體元件的方法。The present invention relates to a semiconductor technology, and more particularly to a metal oxide semiconductor (MOS) device and a method of fabricating a metal oxide semiconductor device.

金屬氧化半導體元件,例如是電晶體及相似結構的記憶胞一般已知具有如第1圖所繪示的構造。在第1圖中所繪示的金屬氧化物半導體元件為N型金屬氧化物半導體元件,稱作NMOS元件100。NMOS元件100形成於半導體基板102上,半導體基板102例如是矽晶圓。P井區(P-well)104形成於基板102上,視為NMOS元件的本體及主動區。P井區104可藉由熟知的植入製程形成,例如是硼(B)離子的植入,作為P型雜質。NMOS元件100包括擴散區106及108,擴散區106及108可分別作為源極與汲極。NMOS元件100包括閘極結構,閘極結構包括閘極氧化層110及多晶矽閘極112。閘極氧化層110一般透過熱氧化製程形成於基板102之上表面,接著透過沉積製程沉積多晶矽為閘極112。閘極氧化層110與閘極112可接著透過圖案化氧化層與多晶矽層而形成,例如是使用微影蝕刻製程。在某些實施例中,閘極結構可在擴散區106及108之前形成,使閘極可用於協助擴散區106及108的對準。Metal oxide semiconductor devices, such as transistors and memory cells of similar structure, are generally known to have the configuration as shown in FIG. The metal oxide semiconductor device illustrated in FIG. 1 is an N-type metal oxide semiconductor device and is referred to as an NMOS device 100. The NMOS device 100 is formed on a semiconductor substrate 102, which is, for example, a germanium wafer. A P-well 104 is formed on the substrate 102 and is considered to be the body and active region of the NMOS device. The P well region 104 can be formed by a well-known implantation process, such as implantation of boron (B) ions, as a P-type impurity. NMOS device 100 includes diffusion regions 106 and 108, which may serve as source and drain, respectively. The NMOS device 100 includes a gate structure including a gate oxide layer 110 and a polysilicon gate 112. The gate oxide layer 110 is generally formed on the upper surface of the substrate 102 by a thermal oxidation process, and then the polysilicon is deposited as a gate 112 through a deposition process. The gate oxide layer 110 and the gate 112 can then be formed by patterning the oxide layer and the polysilicon layer, for example using a photolithography process. In some embodiments, the gate structure can be formed prior to the diffusion regions 106 and 108 such that the gate can be used to assist in the alignment of the diffusion regions 106 and 108.

接著,形成層間介電(interlevel dielectric,ILD)結構116,用以電性絕緣NMOS元件100的各種結構。施行熟知的後段製程(back-end-of-line,BEOL),將包括貫孔與導電線路的製造,導電線路包括源極互連線118、汲極互連線120與閘極互連線122。Next, an interlevel dielectric (ILD) structure 116 is formed to electrically insulate various structures of the NMOS device 100. Performing a well-known back-end-of-line (BEOL) will include the fabrication of vias and conductive traces including source interconnects 118, drain interconnects 120 and gate interconnects 122. .

對例如是NMOS元件100的元件而言,設計目標常需要同時存在高電壓與低電壓限制。這些同時存在的目標常常是矛盾的。舉例來說,伴隨高接面崩潰(junction breakdown)特性與高衝穿(punch-through)特性之高電壓電晶體可預期的傳送相對高的電壓。然而,為了有效地從汲極至源極通過高電壓而沒有明顯的壓降,電晶體較佳地應該具有低通道電阻。這些矛盾的高電壓需求有時會在使用具有長通道長度的電晶體中遇到。然而,隨著科技趨勢,更短的通道是期望的,因此增加了堆疊高電壓電晶體的困難度,高電壓電晶體例如是具有合適的導通電阻(on-resistance)及崩潰電壓(break-down voltage,BVD)程度的NMOS元件100。For components such as NMOS device 100, design goals often require both high voltage and low voltage limits. These simultaneous goals are often contradictory. For example, high voltage transistors with high junction breakdown characteristics and high punch-through characteristics can be expected to deliver relatively high voltages. However, in order to effectively pass a high voltage from the drain to the source without significant voltage drop, the transistor should preferably have a low channel resistance. These contradictory high voltage requirements are sometimes encountered in the use of transistors with long channel lengths. However, with the trend of technology, shorter channels are desirable, thus increasing the difficulty of stacking high voltage transistors, such as having appropriate on-resistance and break-down. Voltage, BVD) NMOS element 100.

本發明係有關於一種半導體元件,包括第一導電型的井、閘極電極、第一植入區、第二植入區、源極擴散區以及汲極擴散區。第一導電型的井形成於基板中,閘極電極形成於井之上。第一植入區形成於井中且自閘極電極下方延伸,具有第一導電型。第二植入區形成於井中且自閘極電極下方延伸,具有第二導電型。第二植入區透過閘極電極下的通道區與第一植入區分離。源極擴散區形成於第一植入區中,具有第二導電型。汲極擴散區形成於第二植入區中,具有第二導電型,且相較於第二植入區具有較高的摻雜濃度。The present invention relates to a semiconductor device including a well of a first conductivity type, a gate electrode, a first implant region, a second implant region, a source diffusion region, and a drain diffusion region. A well of the first conductivity type is formed in the substrate, and a gate electrode is formed on the well. A first implant region is formed in the well and extends below the gate electrode and has a first conductivity type. A second implant region is formed in the well and extends below the gate electrode and has a second conductivity type. The second implant region is separated from the first implant region by a channel region under the gate electrode. A source diffusion region is formed in the first implant region and has a second conductivity type. The drain diffusion region is formed in the second implant region, has a second conductivity type, and has a higher doping concentration than the second implant region.

於一實施例中,更包括第三植入區,第三植入區介於源極擴散區與第一植入區之間。In one embodiment, a third implant region is further included, the third implant region being interposed between the source diffusion region and the first implant region.

於一實施例中,第三植入區具有與源極擴散區相同之第二導電型。In one embodiment, the third implant region has a second conductivity type that is the same as the source diffusion region.

於一實施例中,第三植入區相較於源極擴散區具有較低的摻雜濃度。In one embodiment, the third implant region has a lower doping concentration than the source diffusion region.

於一實施例中,更包括第四植入區,第四植入區介於汲極擴散區與第二植入區之間。In one embodiment, a fourth implant region is further included, and the fourth implant region is interposed between the drain diffusion region and the second implant region.

於一實施例中,第四植入區具有與汲極擴散區相同之第二導電型。In one embodiment, the fourth implant region has a second conductivity type that is the same as the drain diffusion region.

於一實施例中,第四植入區相較於源極擴散區具有較低的摻雜濃度。In one embodiment, the fourth implant region has a lower doping concentration than the source diffusion region.

於一實施例中,第四植入區相較於第二植入區具有較高的摻雜濃度。In one embodiment, the fourth implanted region has a higher doping concentration than the second implanted region.

於一實施例中,第二植入區相較於汲極擴散區具有較低的摻雜濃度。In one embodiment, the second implant region has a lower doping concentration than the drain diffusion region.

於一實施例中,第一導電型為P型,且第二導電型為N型。In one embodiment, the first conductivity type is a P type, and the second conductivity type is an N type.

於一實施例中,第一導電型為N型,且第二導電型為P型。In one embodiment, the first conductivity type is an N type and the second conductivity type is a P type.

本發明係有關於一種製造半導體元件的方法,包括形成第一導電型之井於基板中,形成閘極電極於井之上,形成第一植入區於井中,第一值入區自閘極電極下方延伸,第一植入區具有第一導電型,形成第二植入區於井中,第二植入區自閘極電極下方延伸,第二植入區具有第二導電型,且第二植入區透過閘極電極下方之通道區與第一植入區分離,形成源極擴散區於第一植入區中,源極擴散區具有第二導電型,以及形成汲極擴散區於第二植入區中,汲極擴散區具有第二導電型,且相較於第二植入區具有較高的摻雜濃度。The present invention relates to a method of fabricating a semiconductor device, comprising forming a well of a first conductivity type in a substrate, forming a gate electrode over the well, forming a first implant region in the well, the first value entry region being below the gate electrode Extending, the first implanted region has a first conductivity type, the second implanted region is formed in the well, the second implanted region extends from below the gate electrode, the second implanted region has a second conductivity type, and the second implant The region is separated from the first implant region by a channel region under the gate electrode to form a source diffusion region in the first implant region, the source diffusion region has a second conductivity type, and a drain diffusion region is formed in the second implant region In the in-region, the drain diffusion region has a second conductivity type and has a higher doping concentration than the second implant region.

於一實施例中,更包括形成第三植入區於第一植入區中,其中源極擴散區的形成包括形成源極擴散區,使第三植入區介於源極擴散區與第一植入區。In an embodiment, the method further includes forming a third implant region in the first implant region, wherein forming the source diffusion region includes forming a source diffusion region, so that the third implant region is interposed between the source diffusion region and the first implant region An implanted area.

於一實施例中,第三植入區具有與源極擴散區相同之第二導電型。In one embodiment, the third implant region has a second conductivity type that is the same as the source diffusion region.

於一實施例中,第三植入區相較於源極擴散區具有較低的摻雜濃度。In one embodiment, the third implant region has a lower doping concentration than the source diffusion region.

於一實施例中,更包括形成第四植入區於第二植入區中,其中汲極擴散區的形成包括形成汲極擴散區,使第四植入區介於汲極擴散區與第二植入區之間。In an embodiment, the method further includes forming a fourth implant region in the second implant region, wherein the forming of the drain diffusion region includes forming a drain diffusion region, and the fourth implant region is interposed between the drain diffusion region and the first implant region Between the two implanted areas.

於一實施例中,第四植入區具有與汲極擴散區相同之第二導電型。In one embodiment, the fourth implant region has a second conductivity type that is the same as the drain diffusion region.

於一實施例中,第四植入區相較於源極擴散區具有較低的摻雜濃度。In one embodiment, the fourth implant region has a lower doping concentration than the source diffusion region.

於一實施例中,第四植入區相較於第二植入區具有較高的摻雜濃度。In one embodiment, the fourth implanted region has a higher doping concentration than the second implanted region.

於一實施例中,第二植入區相較於汲極擴散區具有較低的摻雜濃度。In one embodiment, the second implant region has a lower doping concentration than the drain diffusion region.

於一實施例中,第一導電型為P型,且第二導電型為N型。In one embodiment, the first conductivity type is a P type, and the second conductivity type is an N type.

於一實施例中,第一導電型為N型,且第二導電型為P型。In one embodiment, the first conductivity type is an N type and the second conductivity type is a P type.

為了對本發明之上述及其他方面有更佳的瞭解,下文特舉較佳實施例,並配合所附圖式,作詳細說明如下:In order to better understand the above and other aspects of the present invention, the preferred embodiments are described below, and in conjunction with the drawings, the detailed description is as follows:

第2圖繪示NMOS元件200的剖面圖,NMOS元件200允許在短通道元件中改良崩潰電壓(BVD)及特定導通電阻(specific on-resistance,Ron-sp)。NMOS元件200包括在元件源極附近的抗擊植入區(anti-punch implant region),稱為HVPW 202。NMOS元件200也包括在元件汲極附近非常輕摻雜區,稱為N--區204。HVPW 202與N--區204藉由NMOS元件200的通道區彼此分離,通道區在閘極電極216下方延伸。這兩區域的添加有助於改良元件特性。更具體地說,HVPW 202改良了崩潰電壓,也改良了關閉狀態源極至汲極的漏電流(off-state drain-to-source leakage current,Ioff)。HVPW 202也可允許臨界電壓(Vt)的調整。N--區204改良了元件導通電阻及元件崩潰電壓。即便以NMOS元件為一範例性實施例,其他可選擇的實施例也能用以施行。舉例來說,習知技術人員能察知把導電型(例如:N型或P型材料)交換,用以達成P型金屬氧化半導體(PMOS)元件。2 is a cross-sectional view of NMOS device 200 that allows for improved breakdown voltage (BVD) and specific on-resistance (Ron-sp) in short channel components. NMOS device 200 includes an anti-punch implant region, referred to as HVPW 202, near the source of the device. NMOS device 200 also includes a very lightly doped region near the drain of the device, referred to as N-region 204. The HVPW 202 and the N--region 204 are separated from each other by the channel region of the NMOS device 200, and the channel region extends below the gate electrode 216. The addition of these two regions helps to improve component characteristics. More specifically, HVPW 202 improves the breakdown voltage and also improves the off-state drain-to-source leakage current (Ioff). The HVPW 202 can also allow adjustment of the threshold voltage (Vt). N--zone 204 improves component on-resistance and component breakdown voltage. Even though the NMOS device is an exemplary embodiment, other alternative embodiments can be implemented. For example, one skilled in the art will be aware of the exchange of conductive types (eg, N-type or P-type materials) for achieving P-type metal oxide semiconductor (PMOS) devices.

現在更詳細地描述NMOS元件200。第3圖繪示NMOS元件200在製造過程中的中間結構。第3圖中繪示的中間結構包括半導體基板206。半導體基板206可為矽晶圓或多種已知的半導體基板其中任一。The NMOS device 200 will now be described in more detail. Figure 3 illustrates the intermediate structure of the NMOS device 200 during fabrication. The intermediate structure illustrated in FIG. 3 includes a semiconductor substrate 206. The semiconductor substrate 206 can be either a germanium wafer or any of a variety of known semiconductor substrates.

關於元件之絕緣,NMOS元件200包括深N井區(deep N-well)208形成於半導體基板206中,接著P井區(P-well)210形成於深N井區208中。深N井區208與P井區210可利用習知的遮罩與離子植入技術來形成。其他的絕緣結構可包括場氧化(field oxide,FOX)層212,場氧化層212可利用遮罩及熱氧化技術來形成。舉例來說,氧化定義(oxide definition,OD)氮化物遮罩可用以定義場氧化層212的區域,接著熱氧化製程可用來形成場氧化層212。雖然可能有改變,但場氧化層212可具有範圍4000至7000埃的厚度,範例性地大約為5000埃。Regarding the insulation of the components, the NMOS device 200 includes a deep N-well 208 formed in the semiconductor substrate 206, followed by a P-well 210 formed in the deep N well region 208. The deep N well region 208 and the P well region 210 can be formed using conventional masking and ion implantation techniques. Other insulating structures may include a field oxide (FOX) layer 212, which may be formed using masking and thermal oxidation techniques. For example, an oxide definition (OD) nitride mask can be used to define a region of the field oxide layer 212, which can then be used to form the field oxide layer 212. Although there may be variations, the field oxide layer 212 may have a thickness in the range of 4000 to 7000 angstroms, exemplarily about 5000 angstroms.

NMOS元件200包括閘極氧化層214,閘極氧化層214置於閘極電極216與P井區210之間。NMOS元件200也可包括臨界電壓植入區218,臨界電壓植入區218位於閘極氧化層214之下,且在HVPW 202與N--區204之間延伸。閘極氧化層214、閘極電極216及臨界電壓植入區218可用習知的製程來形成。舉例來說,臨界電壓植入可利用習知製程來形成,此製程包括犧牲氧化物(sacrificial oxide,SAC-OX)的使用,接著以熱氧化製程在基板206上形成氧化層。多晶矽沉積可用以在氧化層上形成多晶矽層,接著多晶矽層與氧化層可選擇性地依據習知的微影蝕刻製程蝕刻,以從氧化層形成閘極氧化層214並從多晶矽層上形成閘極電極216。NMOS device 200 includes a gate oxide layer 214 with a gate oxide layer 214 disposed between gate electrode 216 and P well region 210. NMOS device 200 can also include a threshold voltage implant region 218 that is located below gate oxide layer 214 and that extends between HVPW 202 and N--region 204. Gate oxide layer 214, gate electrode 216, and threshold voltage implant region 218 can be formed using conventional processes. For example, threshold voltage implantation can be formed using conventional processes including the use of sacrificial oxide (SAC-OX) followed by a thermal oxidation process to form an oxide layer on substrate 206. Polycrystalline germanium deposition may be used to form a polysilicon layer on the oxide layer, and then the polysilicon layer and the oxide layer may be selectively etched according to a conventional lithography process to form a gate oxide layer 214 from the oxide layer and a gate from the polysilicon layer. Electrode 216.

接著,在第3圖所繪示的位置形成N--區204。在植入N--區204前,形成N--光阻遮罩220。接著,利用一井植入,植入導電雜質至P井區210中,例如使用磷(P)或砷(As)離子,及在一實施例中使用一傾斜角度,此傾斜角度介於20度至60度的範圍,舉例來說大約45度的傾斜角度植入。同樣地,閘極電極216也可用以部分遮罩植入製程,允許N--區204的自對準。在N--區204形成後,利用灰化製程(ashing process)移除N--光阻遮罩220。Next, an N--region 204 is formed at the position shown in FIG. An N-type photoresist mask 220 is formed prior to implantation of the N-region 204. Next, using a well implant, implant conductive impurities into the P well region 210, such as using phosphorus (P) or arsenic (As) ions, and in one embodiment using an oblique angle of 20 degrees. To a range of 60 degrees, for example, an oblique angle of about 45 degrees is implanted. Likewise, the gate electrode 216 can also be used to partially mask the implant process, allowing self-alignment of the N--regions 204. After the N-region 204 is formed, the N-type photoresist mask 220 is removed using an ashing process.

接著參照第4圖,繪示NMOS元件200在製造過程中的另一中間結構。在第4圖中所繪示之位置形成HVPW 202。在植入HVPW 202前,形成HVPW光阻遮罩222。接著,利用井植入製程,將導電雜質植入P井區210中,例如使用硼(B)離子,及大約7度的傾斜角度植入。同樣地,閘極電極216也可用以部分遮罩植入製程,允許HVPW 202的自對準。在形成HVPW 202後,利用灰化製程移除HVPW光阻遮罩222。Referring next to Fig. 4, another intermediate structure of the NMOS device 200 in the manufacturing process is illustrated. The HVPW 202 is formed at the position depicted in FIG. Prior to implantation of the HVPW 202, an HVPW photoresist mask 222 is formed. Next, conductive implants are implanted into the P well region 210 using a well implant process, such as using boron (B) ions, and implanting at an oblique angle of about 7 degrees. Likewise, the gate electrode 216 can also be used to partially mask the implant process, allowing self-alignment of the HVPW 202. After forming the HVPW 202, the HVPW photoresist mask 222 is removed using an ashing process.

接著參照第5圖,繪示NMOS元件200在製造過程中的另一中間結構。額外的抗擊區形成在第5圖中所繪示之位置。額外的抗擊區包括源極側之N-區226與汲極側之N-區228。在植入N-區226與228前,形成N-光阻遮罩224。接著,利用井植入,將導電雜質植入P井區210中,例如使用磷(P)或砷(As)離子,及大約0度的傾斜角度植入。同樣地,閘極電極216也可用以部分遮罩植入製程,允許N-區226與228的自對準。在形成N-區226與228後,利用灰化製程移除N-光阻遮罩224。Referring next to Fig. 5, another intermediate structure of the NMOS device 200 in the manufacturing process is illustrated. Additional impact zones are formed at the locations depicted in Figure 5. The additional impact zone includes an N-zone 226 on the source side and an N-region 228 on the drain side. An N-block mask 224 is formed prior to implantation of N-regions 226 and 228. Next, using well implanting, conductive impurities are implanted into the P-well region 210, for example using phosphorus (P) or arsenic (As) ions, and implanted at an oblique angle of about 0 degrees. Likewise, the gate electrode 216 can also be used to partially mask the implant process, allowing self-alignment of the N-regions 226 and 228. After the N-regions 226 and 228 are formed, the N-resistive mask 224 is removed using an ashing process.

重新參照第2圖,剩餘的結構可使用標準NMOS製造程序來形成。舉例來說,間隔物230,例如是四乙基鄰矽酸鹽(tetra ethyl ortho silicate,TEOS),可藉由沉積與蝕刻來形成。間隔物230可使用來對準之後形成的源極擴散區232與汲極擴散區234。因此在間隔物230形成後,可利用微影蝕刻及井植入製程,形成N+源極擴散區232與N+汲極擴散區234。類似地,可利用微影及井植入製程,形成P+本體擴散區(P+ body diffusion region)236。在擴散區232、234及236形成後,接著可以一絕緣材料例如是硼磷矽玻璃(borophosphosilicate glass,BPSG)或類似之材料形成一層間介電(inter layer dielectric,ILD)結構240,用以電性絕緣NMOS元件200的各種結構。接著施行習知的後段製程(BEOL)以完成NMOS元件200,後段製程包括本體貫孔242、源極貫孔244、閘極貫孔246與汲極貫孔248的製造。Referring back to Figure 2, the remaining structure can be formed using standard NMOS fabrication procedures. For example, spacer 230, such as tetraethyl ortho silicate (TEOS), can be formed by deposition and etching. The spacers 230 can be used to align the source diffusion regions 232 and the drain diffusion regions 234 formed after alignment. Therefore, after the spacer 230 is formed, the N+ source diffusion region 232 and the N+ drain diffusion region 234 can be formed by a lithography etching and well implantation process. Similarly, a P+ body diffusion region 236 can be formed using a lithography and well implant process. After the diffusion regions 232, 234, and 236 are formed, an insulating material such as borophosphosilicate glass (BPSG) or the like may be formed to form an inter-layer dielectric (ILD) structure 240 for electricity. Various structures of the insulating NMOS device 200. A conventional back end process (BEOL) is then performed to complete the NMOS device 200. The back end process includes the fabrication of the body via 242, the source via 244, the gate via 246, and the drain via 248.

習知技術人員將察知交換導電型(例如:N型或P型材料),用以達成PMOS元件。舉例來說,可藉由改變HVPW 202、P井區210與P+區236的導電型為N型,並且改變N--區204、N-區226、N-區228、N+區232與N+區234的導電型為P型來製造PMOS元件。Those skilled in the art will recognize exchanged conductivity types (e.g., N-type or P-type materials) for achieving PMOS components. For example, the conductivity type of the HVPW 202, the P well region 210, and the P+ region 236 can be changed to N-type, and the N--region 204, the N-region 226, the N-region 228, the N+ region 232, and the N+ region can be changed. The conductivity type of 234 is P type to fabricate a PMOS device.

第6至9圖顯示比較資料之圖表,繪示藉由本發明所揭露之元件改善的效果。第6圖與第7圖中所繪示之圖表比較了第2圖中所繪示的NMOS元件與先前技術元件,兩元件都具有大約0.4μm的閘極長度。在第6圖所繪示的圖表中,可以看到崩潰電壓在本發明元件中,相較於先前技術元件有顯著的進步,本發明元件約為11伏特,先前技術元件約為3伏特。在第7圖所繪示之圖表中,可以看到特定導通電阻在本發明元件中,相較於先前技術元件也有改善。當汲極電壓(Vd)在某一範圍,特別是大於1伏特時,本發明元件相較於先前技術元件,在相同的汲極電壓下有較大的汲極電流。第8圖與第9圖顯示之圖表,繪示關於完成所揭露之NMOS元件的數據。在第8圖中,可以看到完成所揭露之NMOS元件,相較於先前技術之元件,熱載體效應(hot carrier effects)能達到改進的塊體電流(bulk current,I-bulk)約70%(根據劑量係在-316微安培至-92微安培的範圍)。在第9圖中,可以看到完成所揭露之NMOS元件,能達到改進的起始崩潰電壓。如第9圖所繪示,相較於先前技術之元件,起始崩潰電壓可改進約13.3%(根據劑量係在6伏特至6.8伏特的範圍)。Figures 6 through 9 show graphs of comparative data showing the improved effects of the elements disclosed by the present invention. The graphs depicted in Figures 6 and 7 compare the NMOS and prior art components depicted in Figure 2, both having a gate length of approximately 0.4 μm. In the graph depicted in Figure 6, it can be seen that the breakdown voltage is in the elements of the present invention, which is approximately 11 volts compared to prior art components, and the prior art components are approximately 3 volts. In the graph depicted in Figure 7, it can be seen that a particular on-resistance is in the elements of the present invention, as compared to prior art elements. When the drain voltage (Vd) is within a certain range, particularly greater than 1 volt, the inventive device has a larger drain current at the same drain voltage than prior art components. Figures 8 and 9 show graphs showing the completion of the disclosed NMOS device data. In Figure 8, it can be seen that the completed NMOS device can achieve an improved bulk current (I-bulk) of about 70% compared to prior art components. (Depending on the dosage range from -316 microamperes to -92 microamperes). In Figure 9, it can be seen that the completed NMOS device is completed to achieve an improved initial breakdown voltage. As depicted in Figure 9, the initial collapse voltage can be improved by about 13.3% (depending on the dose range from 6 volts to 6.8 volts) compared to prior art components.

儘管依據本發明所揭露之原則的各種實施例已描述如上,但要明白的是此些實施例僅為本發明之範例,並非用以限制本發明。因此,本發明之廣度與範圍不該被任何上述的實施例所限制,只依據本發明申請專利範圍以及其所揭露發布之相等物所定義。此外,上述優點與特徵係於實施例中所描述,不該為了達到上述任一或全部的優點,而限制公布之申請專利範圍的應用於特定製程與結構。While the various embodiments of the present invention have been described hereinabove, it is understood that the embodiments are merely illustrative of the invention and are not intended to limit the invention. Therefore, the scope and breadth of the invention should not be construed as being limited by the scope of the invention. In addition, the above advantages and features are described in the embodiments, and it is not intended to limit the advantages of any or all of the above, but to limit the scope of the published patent application to specific processes and structures.

此外,此處之分類標題係用以提供內容組識上的提示。這些標題並非用以限定可能據此揭露書而核發的請求項所載之發明或是用以對其作特徵化。具體地舉例來說,雖然標題有關於“技術領域”,如此,請求項不應受限於此標題下所採用以描述所謂技術領域之語言。此外,在“背景”一節所描述之一項技術不應被認定為承認該項技術是為本發明之先前技術。至於“內容”一節不應被當作是被核發的請求項所載之發明的一種特徵化描述。此外,本揭露書中任何以單數方式提及的「發明」不應被用來爭辯在揭露書中僅有之新穎性之唯一觀點。由本揭露書所核發之多個請求項的特徵可解釋為多個發明,並且此些請求項可作為藉此所保護之此(些)發明及其均等物之定義。在所有的情況下,此些請求項的範圍應就其本身而言來考量,並可參考本揭露書為之但其所提出的標題不應被用作限制之條件。In addition, the category headings here are used to provide hints on the content group. These headings are not intended to limit or characterize the invention contained in the claims that may be issued in connection with the disclosure. By way of specific example, although the title is related to the "technical field", the request item should not be limited to the language used under the heading to describe the so-called technical field. In addition, a technique described in the "Background" section should not be taken as an admission that the technology is prior art to the present invention. The “Content” section should not be considered as a characterization of the invention contained in the request being issued. In addition, any "invention" referred to in the singular of this disclosure should not be used to contend for the only point of view that is merely novel in the disclosure. The features of a plurality of claims that are issued by the present disclosure are to be construed as a plurality of inventions, and such claims may be defined as the invention(s) and the equivalents thereof. In all cases, the scope of such claims is to be considered in its own right, and may be referred to in this disclosure, but the title thereof should not be used as a limitation.

綜上所述,雖然本發明已以較佳實施例揭露如上,然其並非用以限定本發明。本發明所屬技術領域中具有通常知識者,在不脫離本發明之精神和範圍內,當可作各種之更動與潤飾。因此,本發明之保護範圍當視後附之申請專利範圍所界定者為準。In conclusion, the present invention has been disclosed in the above preferred embodiments, and is not intended to limit the present invention. A person skilled in the art can make various changes and modifications without departing from the spirit and scope of the invention. Therefore, the scope of the invention is defined by the scope of the appended claims.

100、200...NMOS元件100, 200. . . NMOS device

102、206...基板102, 206. . . Substrate

104、210...P井區104, 210. . . P well area

106、108...擴散區106, 108. . . Diffusion zone

110、214...閘極氧化層110, 214. . . Gate oxide layer

112、216...閘極電極112, 216. . . Gate electrode

116、240...層間介電結構116, 240. . . Interlayer dielectric structure

118...源極互連線118. . . Source interconnect

120...汲極互連線120. . . Bungee interconnect

122...閘極互連線122. . . Gate interconnect

202...抗擊植入區(HVPW)202. . . Anti-implantation area (HVPW)

204...N--區204. . . N--zone

208...深N井區208. . . Deep N well area

212...場氧化層212. . . Field oxide layer

218...臨界電壓植入區218. . . Threshold voltage implant

220...N--光阻遮罩220. . . N--resistive mask

222...HVPW光阻遮罩222. . . HVPW photoresist mask

224...N-光阻遮罩224. . . N-resistive mask

226、228...N-區226, 228. . . N-zone

230...間隔物230. . . Spacer

232...源極擴散區(N+區)232. . . Source diffusion region (N+ region)

234...汲極擴散區(N+區)234. . . Bungee diffusion zone (N+ zone)

236...本體擴散區(P+區)236. . . Bulk diffusion zone (P+ zone)

242、244、246、248...貫孔242, 244, 246, 248. . . Through hole

本發明的特徵、形狀與實施例與附加的圖式一起描述,其中:Features, shapes and embodiments of the present invention are described in conjunction with additional drawings in which:

第1圖繪示習知NMOS元件的剖面透視圖;1 is a cross-sectional perspective view of a conventional NMOS device;

第2圖繪示依照本發明實施例之NMOS元件的剖面圖;2 is a cross-sectional view showing an NMOS device in accordance with an embodiment of the present invention;

第3~5圖繪示在製造第2圖之NMOS元件的範例性製程中形成的各別中間結構;以及Figures 3 through 5 illustrate respective intermediate structures formed in an exemplary process for fabricating the NMOS device of Figure 2;

第6~9圖顯示比較資料之圖表,繪示藉由本發明所揭露之元件改善的效果。Figures 6-9 show graphs of comparative data showing the improved effects of the elements disclosed by the present invention.

200...NMOS元件200. . . NMOS device

202...抗擊植入區(HVPW)202. . . Anti-implantation area (HVPW)

206...基板206. . . Substrate

204...N--區204. . . N--zone

208...深N井區208. . . Deep N well area

210...P井區210. . . P well area

212...場氧化層212. . . Field oxide layer

214...閘極氧化層214. . . Gate oxide layer

216...閘極電極216. . . Gate electrode

218...臨界電壓植入區218. . . Threshold voltage implant

230...間隔物230. . . Spacer

232...源極擴散區(N+區)232. . . Source diffusion region (N+ region)

234...汲極擴散區(N+區)234. . . Bungee diffusion zone (N+ zone)

236...本體擴散區(P+區)236. . . Bulk diffusion zone (P+ zone)

240...層間介電結構240. . . Interlayer dielectric structure

242、244、246、248...貫孔242, 244, 246, 248. . . Through hole

Claims (10)

一種半導體元件,包括:一第一導電型的一井(well),該井形成於一基板中;一閘極電極,形成於該井之上;一第一植入區,形成於該井中且自該閘極電極下方延伸,該第一植入區具有該第一導電型;一第二植入區,形成於該井中且自該閘極電極下方延伸,該第二植入區具有一第二導電型,該第二植入區透過該閘極電極下的一通道區與該第一植入區分離;一源極擴散區,形成於該第一植入區中,該源極擴散區具有該第二導電型;以及一汲極擴散區,形成於該第二植入區中,該汲極擴散區具有該第二導電型,且相較於該第二植入區具有一較高的摻雜濃度。A semiconductor device comprising: a well of a first conductivity type formed in a substrate; a gate electrode formed on the well; a first implant region formed in the well and from the well Extending below the gate electrode, the first implant region has the first conductivity type; a second implant region is formed in the well and extends from below the gate electrode, the second implant region has a second conductivity a second implant region is separated from the first implant region by a channel region under the gate electrode; a source diffusion region is formed in the first implant region, the source diffusion region having the a second conductivity type; and a drain diffusion region formed in the second implant region, the drain diffusion region having the second conductivity type and having a higher dopant than the second implant region Miscellaneous concentration. 如申請專利範圍第1項所述之半導體元件,更包括一第三植入區,該第三植入區介於該源極擴散區與該第一植入區之間。The semiconductor component of claim 1, further comprising a third implant region between the source diffusion region and the first implant region. 如申請專利範圍第2項所述之半導體元件,其中該第三植入區具有與該源極擴散區相同之該第二導電型。The semiconductor device of claim 2, wherein the third implant region has the same second conductivity type as the source diffusion region. 如申請專利範圍第3項所述之半導體元件,其中該第三植入區相較於該源極擴散區具有一較低的摻雜濃度。The semiconductor device of claim 3, wherein the third implant region has a lower doping concentration than the source diffusion region. 如申請專利範圍第1項所述之半導體元件,更包括一第四植入區,該第四植入區介於該汲極擴散區與該第二植入區之間。The semiconductor device of claim 1, further comprising a fourth implant region between the drain diffusion region and the second implant region. 如申請專利範圍第5項所述之半導體元件,其中該第四植入區具有與該汲極擴散區相同之該第二導電型。The semiconductor device of claim 5, wherein the fourth implant region has the same second conductivity type as the drain diffusion region. 如申請專利範圍第6項所述之半導體元件,其中該第四植入區相較於該源極擴散區具有一較低的摻雜濃度。The semiconductor device of claim 6, wherein the fourth implant region has a lower doping concentration than the source diffusion region. 如申請專利範圍第7項所述之半導體元件,其中該第四植入區相較於該第二植入區具有一較高的摻雜濃度。The semiconductor device of claim 7, wherein the fourth implant region has a higher doping concentration than the second implant region. 如申請專利範圍第1項所述之半導體元件,其中該第二植入區相較於該汲極擴散區具有一較低的摻雜濃度。The semiconductor device of claim 1, wherein the second implant region has a lower doping concentration than the drain diffusion region. 一種製造半導體元件的方法,包括:形成一第一導電型之一井於一基板中;形成一閘極電極於該井之上;形成一第一植入區於該井中,該第一值入區自該閘極電極下方延伸,該第一植入區具有該第一導電型;形成一第二植入區於該井中,該第二植入區自該閘極電極下方延伸,該第二植入區具有一第二導電型,且該第二植入區透過該閘極電極下方之一通道區與該第一植入區分離;形成一源極擴散區於該第一植入區中,該源極擴散區具有該第二導電型;以及形成一汲極擴散區於該第二植入區中,該汲極擴散區具有該第二導電型,且相較於該第二植入區具有一較高的摻雜濃度。A method of fabricating a semiconductor device, comprising: forming a well of a first conductivity type in a substrate; forming a gate electrode over the well; forming a first implant region in the well, the first value entry region Extending below the gate electrode, the first implant region has the first conductivity type; forming a second implant region in the well, the second implant region extending from below the gate electrode, the second implant The region has a second conductivity type, and the second implant region is separated from the first implant region through a channel region below the gate electrode; forming a source diffusion region in the first implant region, a source diffusion region having the second conductivity type; and forming a drain diffusion region in the second implant region, the drain diffusion region having the second conductivity type and having a second implant region compared to the second implant region A higher doping concentration.
TW100136172A 2011-10-05 2011-10-05 Mos device and method of manufacturing the same TWI495104B (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
TW100136172A TWI495104B (en) 2011-10-05 2011-10-05 Mos device and method of manufacturing the same

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
TW100136172A TWI495104B (en) 2011-10-05 2011-10-05 Mos device and method of manufacturing the same

Publications (2)

Publication Number Publication Date
TW201316512A true TW201316512A (en) 2013-04-16
TWI495104B TWI495104B (en) 2015-08-01

Family

ID=48803132

Family Applications (1)

Application Number Title Priority Date Filing Date
TW100136172A TWI495104B (en) 2011-10-05 2011-10-05 Mos device and method of manufacturing the same

Country Status (1)

Country Link
TW (1) TWI495104B (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI503982B (en) * 2013-05-10 2015-10-11 Richtek Technology Corp N-type metal oxide semiconductor (mos) device and manufacturing method thereof

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW474001B (en) * 1999-10-20 2002-01-21 Taiwan Semiconductor Mfg Single polysilicon DRAM cell and array with current
US6240015B1 (en) * 2000-04-07 2001-05-29 Taiwan Semiconductor Manufacturing Corporation Method for reading 2-bit ETOX cells using gate induced drain leakage current

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI503982B (en) * 2013-05-10 2015-10-11 Richtek Technology Corp N-type metal oxide semiconductor (mos) device and manufacturing method thereof

Also Published As

Publication number Publication date
TWI495104B (en) 2015-08-01

Similar Documents

Publication Publication Date Title
US9466700B2 (en) Semiconductor device and method of fabricating same
US8476676B2 (en) Trench poly ESD formation for trench MOS and SGT
US8940609B2 (en) MOS device and method of manufacturing the same
US20150279969A1 (en) Double-Resurf LDMOS With Drift And PSURF Implants Self-Aligned To A Stacked Gate "BUMP" Structure
US20080188048A1 (en) Semiconductor device
US8704300B1 (en) Semiconductor device and fabricating method thereof
US20130320443A1 (en) Deep Silicon Via As A Drain Sinker In Integrated Vertical DMOS Transistor
KR20130042417A (en) High voltage device
US10020394B2 (en) Extended drain metal-oxide-semiconductor transistor
JP5307966B2 (en) Manufacturing method of semiconductor device
US20220277960A1 (en) Manufacturing method of semiconductor device using gate-through implantation
JP2005136150A (en) Semiconductor device and its manufacturing method
JP2012156205A (en) Semiconductor device, method of manufacturing the same
US8723256B1 (en) Semiconductor device and fabricating method thereof
TWI495104B (en) Mos device and method of manufacturing the same
TW201436215A (en) A semiconductor device having reduced leakage current at breakdown and method of fabricating thereof
TWI511295B (en) Single poly plate low on resistance extended drain metal oxide semiconductor device
TWI793660B (en) Semiconductor device and manufacturing method thereof
JP2010016154A (en) Semiconductor device
TWI619200B (en) Metal oxide semiconductor device with dual-well and manufacturing method thereof
KR20090064658A (en) Semiconductor device and method of fabricating the same
JP2008244008A (en) High breakdown voltage mos transistor and manufacturing method thereof
US20130344690A1 (en) Method of manufacturing semiconductor device
TWI433311B (en) Power device with self-aligned silicide contact and method of fabricating thereof
KR20060005556A (en) Method for manufacturing an integrated semiconductor device