TW201310540A - Manufacturing method for semiconductor device having metal gate - Google Patents

Manufacturing method for semiconductor device having metal gate Download PDF

Info

Publication number
TW201310540A
TW201310540A TW100129256A TW100129256A TW201310540A TW 201310540 A TW201310540 A TW 201310540A TW 100129256 A TW100129256 A TW 100129256A TW 100129256 A TW100129256 A TW 100129256A TW 201310540 A TW201310540 A TW 201310540A
Authority
TW
Taiwan
Prior art keywords
work function
metal layer
layer
gate
function metal
Prior art date
Application number
TW100129256A
Other languages
Chinese (zh)
Other versions
TWI527125B (en
Inventor
Yu-Ren Wang
Te-Lin Sun
Szu-Hao Lai
Po-Chun Chen
Chih-Hsun Lin
Che-Nan Tsai
Chun-Ling Lin
Chiu-Hsien Yeh
Original Assignee
United Microelectronics Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by United Microelectronics Corp filed Critical United Microelectronics Corp
Priority to TW100129256A priority Critical patent/TWI527125B/en
Publication of TW201310540A publication Critical patent/TW201310540A/en
Application granted granted Critical
Publication of TWI527125B publication Critical patent/TWI527125B/en

Links

Abstract

A manufacturing method for a semiconductor device having metal gate includes providing a substrate having at least a first semiconductor device formed thereon, forming a first gate trench in the first semiconductor device, forming a first work function metal layer in the first gate trench, and performing a decoupled plasma oxidation to the first work function metal layer.

Description

具有金屬閘極之半導體元件之製作方法Semiconductor component having metal gates

本發明係有關於一種具有金屬閘極之半導體元件及其製作方法,尤指一種實施後閘極(gate last)製程之具有金屬閘極之半導體元件及其製作方法。The present invention relates to a semiconductor device having a metal gate and a method of fabricating the same, and more particularly to a semiconductor device having a metal gate in a gate last process and a method of fabricating the same.

隨著半導體元件尺寸持續微縮,傳統方法中利用降低閘極介電層,例如降低二氧化矽層厚度,以達到最佳化目的之方法,係面臨到因電子的穿燧效應(tunneling effect)而導致漏電流過大的物理限制。為了有效延展邏輯元件的世代演進,高介電常數(high dielectric constant,以下簡稱為high-k)材料因具有可有效降低物理極限厚度,並且在相同的等效氧化厚度(equivalent oxide thickness,EOT)下,有效降低漏電流並達成等效電容以控制通道開關等優點,而被用以取代傳統二氧化矽層或氮氧化矽層作為閘極介電層。As the size of semiconductor components continues to shrink, the conventional method of reducing the thickness of the gate dielectric layer, such as reducing the thickness of the yttria layer, for optimization purposes, is due to the tunneling effect of electrons. A physical limitation that causes excessive leakage current. In order to effectively extend the evolution of logic components, high dielectric constant (hereinafter referred to as high-k) materials have an effective reduction in physical limit thickness and the same equivalent oxide thickness (EOT). In order to effectively reduce the leakage current and achieve the equivalent capacitance to control the channel switch, it is used to replace the conventional ruthenium dioxide layer or the ruthenium oxynitride layer as the gate dielectric layer.

而傳統的閘極材料多晶矽則面臨硼穿透(boron penetration)效應,導致元件效能降低等問題;且多晶矽閘極更遭遇難以避免的空乏效應(depletion effect),使得等效的閘極介電層厚度增加、閘極電容值下降,進而導致元件驅動能力的衰退等困境。針對此問題,半導體業界更提出以新的閘極材料,例如利用具有功函數(work function)金屬層的金屬閘極來取代傳統的多晶矽閘極,用以作為匹配high-k閘極介電層的控制電極。However, the conventional gate material polysilicon is faced with boron penetration effect, which leads to problems such as lower component efficiency; and the polysilicon gate encounters an inevitable depletion effect, making the equivalent gate dielectric layer The increase in thickness and the decrease in the gate capacitance value lead to difficulties such as the deterioration of the component driving capability. In response to this problem, the semiconductor industry has proposed to replace the traditional polysilicon gate with a new gate material, such as a metal gate with a work function metal layer, as a matching high-k gate dielectric layer. Control electrode.

然而,即使利用high-k閘極介電層取代傳統二氧化矽或氮氧化矽介電層,並以具有匹配功函數之金屬閘極取代傳統多晶矽閘極,如何持續地增加半導體元件效能,例如能確保N型金氧半導體(n-type metal-oxide-semiconductor,nMOS)電晶體的金屬閘極具有4.1電子伏特(eV)左右的功函數,以及確保p型金氧半導體(p-type metal-oxide-semiconductor,pMOS)電晶體的金屬閘極具有5.1 eV左右的功函數,一直為半導體業者所欲解決的問題。However, even if a high-k gate dielectric layer is used in place of a conventional germanium dioxide or tantalum oxynitride dielectric layer, and a metal gate with a matching work function is substituted for a conventional polysilicon gate, how to continuously increase the performance of the semiconductor device, for example, It can ensure that the metal gate of an n-type metal-oxide-semiconductor (nMOS) transistor has a work function of about 4.1 electron volts (eV) and a p-type metal-oxide (p-type metal- Oxide-semiconductor, pMOS) The metal gate of the transistor has a work function of around 5.1 eV, which has been a problem for semiconductor manufacturers.

因此,本發明之一目的係在於提供一種金屬閘極之製作方法,可確保nMOS電晶體或pMOS電晶體之金屬閘極具有所需的功函數。Accordingly, it is an object of the present invention to provide a method of fabricating a metal gate that ensures that the metal gate of an nMOS transistor or pMOS transistor has a desired work function.

根據本發明所提供之申請專利範圍,係提供一種具有金屬閘極之半導體元件之製作方法,該製作方法首先提供一基底,該基底上形成有至少一第一半導體元件。接下來於該第一半導體元件內形成一第一閘極溝渠,隨後於該第一閘極溝渠內形成一第一功函數金屬層。待於該第一閘極溝渠內形成該第一功函數金屬層之後,對該第一功函數金屬層進行一分耦式電漿氧化(decoupled plasma oxidation,以下簡稱為DPO)處理。According to the scope of the invention provided by the present invention, a method of fabricating a semiconductor device having a metal gate is provided, which first provides a substrate on which at least one first semiconductor component is formed. A first gate trench is formed in the first semiconductor device, and then a first work function metal layer is formed in the first gate trench. After the first work function metal layer is formed in the first gate trench, the first work function metal layer is subjected to a decoupled plasma oxidation (hereinafter referred to as DPO) treatment.

根據本發明所提供之具有金屬閘極之半導體元件之製作方法,係於半導體元件,尤其是一P型半導體元件之閘極溝渠內形成該第一功函數金屬層之後,進行一DPO處理,藉以調整該第一功函數金屬層之功函數至一目標功函數。此外,由於DPO處理後的第一功函數金屬層已具有目標功函數,因此本發明所提供之具有金屬閘極之半導體元件之製作方法甚至可取代習知的金屬後熱處理(post-metal anneal),並藉以避免因金屬後熱處理而造成的影響。換句話說,本發明所提供之具有金屬閘極之半導體元件之製作方法不僅可確保半導體元件之金屬閘極皆具有符合要求之功函數,更進一步確保具有金屬閘極之半導體元件的電性表現。The method for fabricating a semiconductor device having a metal gate according to the present invention is to perform a DPO process after forming the first work function metal layer in a semiconductor device, particularly a gate trench of a P-type semiconductor device. Adjusting the work function of the first work function metal layer to a target work function. In addition, since the first work function metal layer after the DPO process already has the target work function, the method for fabricating the semiconductor device having the metal gate provided by the present invention can even replace the conventional post-metal anneal. And to avoid the impact of post-metal heat treatment. In other words, the method for fabricating a semiconductor device having a metal gate provided by the present invention not only ensures that the metal gate of the semiconductor device has a satisfactory work function, but further ensures the electrical performance of the semiconductor device having the metal gate. .

請參閱第1圖至第5圖,第1圖至第5圖係為本發明所提供之具有金屬閘極之半導體元件之製作方法之一第一較佳實施例之示意圖。如第1圖所示,本較佳實施例首先提供一基底100,例如一矽基底、含矽基底、或矽覆絕緣(silicon-on-insulator,SOI)基底。基底100上形成有一第一半導體元件110與一第二半導體元件112,而第一半導體元件110與第二半導體元件112之間的基底100內係形成有提供電性隔離的淺溝隔離(shallow trench isolation,STI) 102。第一半導體元件110具有一第一導電型式,而第二半導體元件112具有一第二導電型式,且第一導電型式與第二導電型式互補(complementary)。在本較佳實施例中,第一半導體元件110係為一p型半導體元件;而第二半導體元件112係為一n型半導體元件。Please refer to FIG. 1 to FIG. 5 . FIG. 1 to FIG. 5 are schematic diagrams showing a first preferred embodiment of a method for fabricating a semiconductor device having a metal gate according to the present invention. As shown in FIG. 1, the preferred embodiment first provides a substrate 100, such as a germanium substrate, a germanium-containing substrate, or a silicon-on-insulator (SOI) substrate. A first semiconductor component 110 and a second semiconductor component 112 are formed on the substrate 100, and a shallow trench isolation (shallow trench) for providing electrical isolation is formed in the substrate 100 between the first semiconductor component 110 and the second semiconductor component 112. Isolation, STI) 102. The first semiconductor component 110 has a first conductivity type, and the second semiconductor component 112 has a second conductivity pattern, and the first conductivity pattern is complementary to the second conductivity pattern. In the preferred embodiment, the first semiconductor component 110 is a p-type semiconductor component; and the second semiconductor component 112 is an n-type semiconductor component.

請參閱第1圖。第一半導體元件110與第二半導體元件112各包含一閘極介電層104、一底部阻障層(bottom barrier layer) 106與一虛置閘極(圖未示)如一多晶矽層。閘極介電層104可為一傳統二氧化矽層或一高介電常數閘極介電層或其組合;而底部阻障層106則包含氮化鈦(titanium nitride,TiN),但不限於此。此外第一半導體元件110與第二半導體元件112分別包含一第一輕摻雜汲極(light doped drain,LDD) 120與一第二LDD 122、一側壁子124、與一第一源極/汲極130與一第二源極/汲極132。另外,第一源極/汲極130與第二源極/汲極132之表面係分別包含有一金屬矽化物134。而在第一半導體元件110與第二半導體元件112上,係依序形成一接觸洞蝕刻停止層(contact etch stop layer,CESL) 140與一內層介電(inter-layer dielectric,ILD)層142。上述元件之製作步驟以及材料選擇,甚至是半導體業界中為提供應力作用更改善電性表現而實施選擇性磊晶成長(selective epitaxial growth,SEG)方法形成源極/汲極130、132等皆為該領域之人士所熟知,故於此皆不再贅述。Please refer to Figure 1. The first semiconductor device 110 and the second semiconductor device 112 each include a gate dielectric layer 104, a bottom barrier layer 106 and a dummy gate (not shown) such as a polysilicon layer. The gate dielectric layer 104 can be a conventional germanium dioxide layer or a high dielectric constant gate dielectric layer or a combination thereof; and the bottom barrier layer 106 comprises titanium nitride (TiN), but is not limited thereto. this. In addition, the first semiconductor component 110 and the second semiconductor component 112 respectively include a first light doped drain (LDD) 120 and a second LDD 122, a sidewall 124, and a first source/汲The pole 130 and a second source/drain 132. In addition, the surface of the first source/drain 130 and the second source/drain 132 respectively comprise a metal halide 134. On the first semiconductor element 110 and the second semiconductor element 112, a contact etch stop layer (CESL) 140 and an inter-layer dielectric (ILD) layer 142 are sequentially formed. . The fabrication steps and material selection of the above-mentioned components, and even the selective epitaxial growth (SEG) method for forming the source/drain electrodes 130, 132, etc. in the semiconductor industry to provide stress and improve electrical performance are Those skilled in the art are well known and will not be described here.

請繼續參閱第1圖。在形成CESL 140與ILD層142後,係藉由一平坦化製程移除部分的CESL 140與ILD層142,直至暴露出第一半導體元件110與第二半導體元件112之虛置閘極,隨後利用一適合之蝕刻製程移除第一半導體元件110與第二半導體元件112之虛置閘極,而同時於第一半導體元件110與第二半導體元件112內分別形成一第一閘極溝渠150與一第二閘極溝渠152。值得注意的是,本較佳實施例係可與先閘極介電層(high-k first)製程整合,此時閘極介電層104包含一高介電常數(high dielectric constant,high-k)閘極介電層,其可以是一金屬氧化物層,例如一稀土金屬氧化物層。High-k閘極介電層104係可選自氧化鉿(hafnium oxide,HfO2)、矽酸鉿氧化合物(hafnium silicon oxide,HfSiO4)、矽酸鉿氮氧化合物(hafnium silicon oxynitride,HfSiON)、氧化鋁(aluminum oxide,Al2O3)、氧化鑭(lanthanum oxide,La2O3)、氧化鉭(tantalum oxide,Ta2O5)、氧化釔(yttrium oxide,Y2O3)、氧化鋯(zirconium oxide,ZrO2)、鈦酸鍶(strontium titanate oxide,SrTiO3)、矽酸鋯氧化合物(zirconium silicon oxide,ZrSiO4)、鋯酸鉿(hafnium zirconium oxide,HfZrO4)、鍶鉍鉭氧化物(strontium bismuth tantalate,SrBi2Ta2O9,SBT)、鋯鈦酸鉛(lead zirconate titanate,PbZrxTi1-xO3,PZT)與鈦酸鋇鍶(barium strontium titanate,BaxSr1-xTiO3,BST)所組成之群組。另外,在high-k閘極介電層104與基底100之間,係可設置於一介面層(interfacial layer)(圖未示)。而在形成第一閘極溝渠150與第二閘極溝渠152後,係可於第一閘極溝渠150與第二閘極溝渠152內的底部阻障層106上形成一蝕刻停止層(etch stop layer) 108,蝕刻停止層108可包含氮化鉭(tantalum nitride,TaN),但不限於此。Please continue to see Figure 1. After forming the CESL 140 and the ILD layer 142, a portion of the CESL 140 and the ILD layer 142 are removed by a planarization process until the dummy gates of the first semiconductor component 110 and the second semiconductor component 112 are exposed, and then utilized. A suitable etching process removes the dummy gates of the first semiconductor component 110 and the second semiconductor component 112, and simultaneously forms a first gate trench 150 and a first semiconductor component 110 and the second semiconductor component 112. The second gate trench 152. It should be noted that the preferred embodiment can be integrated with a high-k first process, in which case the gate dielectric layer 104 contains a high dielectric constant (high-k constant). A gate dielectric layer, which may be a metal oxide layer, such as a rare earth metal oxide layer. The high-k gate dielectric layer 104 can be selected from the group consisting of hafnium oxide (HfO 2 ), hafnium silicon oxide (HfSiO 4 ), and hafnium silicon oxynitride (HfSiON). , aluminum oxide (Al 2 O 3 ), lanthanum oxide (La 2 O 3 ), tantalum oxide (Ta 2 O 5 ), yttrium oxide (Y 2 O 3 ), oxidation Zirconium oxide (ZrO 2 ), strontium titanate oxide (SrTiO 3 ), zirconium silicon oxide (ZrSiO 4 ), hafnium zirconium oxide (HfZrO 4 ), yttrium Oxide (strontium bismuth tantalate, SrBi 2 Ta 2 O 9 , SBT), lead zirconate titanate (PbZr x Ti 1-x O 3 , PZT) and barium strontium titanate (Ba x Sr) a group consisting of 1-x TiO 3 , BST). In addition, between the high-k gate dielectric layer 104 and the substrate 100, an interfacial layer (not shown) may be disposed. After forming the first gate trench 150 and the second gate trench 152, an etch stop layer may be formed on the bottom barrier layer 106 in the first gate trench 150 and the second gate trench 152 (etch stop) The etch stop layer 108 may include tantalum nitride (TaN), but is not limited thereto.

另外值得注意的是,本較佳實施例係可與後閘極介電層(high-k last)製程整合,此時閘極介電層可先為一傳統的二氧化矽層。而在移除多晶矽層形成第一閘極溝渠150與第二閘極溝渠152之後,暴露於第一閘極溝渠150與第二閘極溝渠152底部的閘極介電層可作為一介面層(圖未示)。隨後於基底100上形成一high-k閘極介電層104,其可包含上述材料。並且在形成high-k閘極介電層104後,亦可再於其上形成前述之蝕刻停止層108。It is also worth noting that the preferred embodiment can be integrated with a high-k last process, in which case the gate dielectric layer can be a conventional germanium dioxide layer. After the polysilicon layer is removed to form the first gate trench 150 and the second gate trench 152, the gate dielectric layer exposed to the bottom of the first gate trench 150 and the second gate trench 152 can serve as an interface layer ( The figure is not shown). A high-k gate dielectric layer 104 is then formed over the substrate 100, which may comprise the materials described above. After the high-k gate dielectric layer 104 is formed, the foregoing etch stop layer 108 may be formed thereon.

請再次參閱第1圖。在形成蝕刻停止層108後,係進行一化學氣相沈積(chemical vapor deposition,CVD)製程或一物理氣相沈積(physical vapor deposition,PVD)製程,於第一閘極溝渠150與第二閘極溝渠152內形成一第一功函數金屬層160。第一功函數金屬層160可為一具有p型導電型式的p型功函數金屬層,例如包含氮化鈦(titanium nitride,TiN)、碳化鈦(titanium carbide,TiC)、氮化鉭(tantalum nitride,TaN)、碳化鉭(tantalum carbide,TaC)、碳化鎢(tungsten carbide,WC)、或氮化鋁鈦(aluminum titanium nitride,TiAlN),但不限於此。此外,第一功函數金屬層160可為一單層結構或一複合層結構。Please refer to Figure 1 again. After forming the etch stop layer 108, a chemical vapor deposition (CVD) process or a physical vapor deposition (PVD) process is performed on the first gate trench 150 and the second gate. A first work function metal layer 160 is formed in the trench 152. The first work function metal layer 160 may be a p-type work function metal layer having a p-type conductivity type, for example, titanium nitride (TiN), titanium carbide (TiC), tantalum nitride (tantalum nitride) , TaN), tantalum carbide (TaC), tungsten carbide (WC), or aluminum titanium nitride (TiAlN), but is not limited thereto. In addition, the first work function metal layer 160 may be a single layer structure or a composite layer structure.

請仍然參閱第1圖。在形成第一功函數金屬層160之後,即進行一DPO處理162,用以調整第一功函數金屬層160之功函數。DPO處理162具有一製程溫度,且該製程溫度小於400℃,較佳為介於室溫與200℃之間。此外DPO處理162更可包含通入氮氣或氬氣之步驟。根據本較佳實施例所提供之DPO處理162,第一功函數金屬層160之功函數係被調整至介於4.9電子伏特(eV)與5.2 eV之間,且較佳為5.1 eV。Please still refer to Figure 1. After forming the first work function metal layer 160, a DPO process 162 is performed to adjust the work function of the first work function metal layer 160. The DPO process 162 has a process temperature and the process temperature is less than 400 ° C, preferably between room temperature and 200 ° C. In addition, the DPO treatment 162 may further comprise the step of introducing nitrogen or argon. According to the DPO process 162 provided by the preferred embodiment, the work function of the first work function metal layer 160 is adjusted to be between 4.9 electron volts (eV) and 5.2 eV, and preferably 5.1 eV.

值得注意的是,本較佳實施例中雖不限在形成第一功函數金屬層160之後進行一金屬後熱處理(post metal anneal),利用一高於400℃,甚或需要550℃之高溫調整第一功函數金屬層160之功函數。如此之高溫係不利於第一功函數金屬層160之低熱預算要求,也因此反而對金屬層造成負面的影響。但由於本較佳實施例所提供之DPO處理162以可確保第一功函數金屬層160獲得目標功函數,因此前述之金屬後熱處理係可省卻,並藉以避免金屬後熱處理對第一功函數金屬層160造成的負面影響。It should be noted that, in the preferred embodiment, it is not limited to performing a post metal anneal after forming the first work function metal layer 160, using a high temperature adjustment of more than 400 ° C or even 550 ° C. The work function of the metal layer 160 of a work function. Such high temperatures are not conducive to the low thermal budget requirements of the first work function metal layer 160, and thus have a negative impact on the metal layer. However, since the DPO process 162 provided by the preferred embodiment can ensure that the first work function metal layer 160 obtains the target work function, the foregoing metal post-heat treatment can be omitted, and the metal post-heat treatment can be avoided to the first work function metal. The negative effects caused by layer 160.

請參閱第2圖。接下來於基底100上形成一圖案化遮罩,例如一圖案化光阻層(圖未示),但不限於此。圖案化遮罩係用以遮蓋第一半導體元件110,並暴露出第二半導體元件112處之第一功函數金屬層160。隨後利用一合適之蝕刻劑移除未被圖案化遮罩保護的第一功函數金屬層160,使得蝕刻停止層108重新暴露於第二閘極溝渠152之內。在移除第一功函數金屬層160時,蝕刻停止層108係可保護其下方的底部阻障層106與high-k閘極介電層104。另外值得注意的是,為了改善後續金屬膜層的填入結果,在完全去除第二閘極溝渠152內之第一功函數金屬層160時,圖案化遮罩係可為一形成在第一閘極溝渠150內,且表面低於第一閘極溝渠150開口之膜層。因此後續進行移除第一功函數金屬層160時,第一功函數金屬層160僅存留於第一閘極溝渠150內,尤其是第一閘極溝渠150之底部與側壁,使得第一閘極溝渠150側壁之第一功函數金屬層150的高度小於第一閘極溝渠150的深度,進而增加後續金屬膜層的填入能力。Please refer to Figure 2. Next, a patterned mask, such as a patterned photoresist layer (not shown), is formed on the substrate 100, but is not limited thereto. The patterned mask is used to cover the first semiconductor component 110 and expose the first work function metal layer 160 at the second semiconductor component 112. The first work function metal layer 160, which is not protected by the patterned mask, is then removed using a suitable etchant such that the etch stop layer 108 is re-exposed within the second gate trench 152. Upon removal of the first work function metal layer 160, the etch stop layer 108 protects the underlying barrier layer 106 and the high-k gate dielectric layer 104. It is also worth noting that, in order to improve the filling result of the subsequent metal film layer, when the first work function metal layer 160 in the second gate trench 152 is completely removed, the patterned mask can be formed in the first gate. The surface of the pole trench 150 has a lower surface than the opening of the first gate trench 150. Therefore, when the first work function metal layer 160 is removed, the first work function metal layer 160 remains only in the first gate trench 150, especially the bottom and sidewalls of the first gate trench 150, so that the first gate The height of the first work function metal layer 150 on the sidewall of the trench 150 is smaller than the depth of the first gate trench 150, thereby increasing the filling ability of the subsequent metal film layer.

請繼續參閱第2圖。在移除第二閘極溝渠152內的第一功函數金屬層160後,係進行一CVD製程或PVD製程,於基底100上形成一第二功函數金屬層170。第二功函數金屬層170可為一具有n型導電型式之n型功函數金屬層,例如鋁化鈦(titanium aluminide,TiAl)層、鋁化鋯(zirconium aluminide,ZrAl)層、鋁化鎢(tungsten aluminide,WAl)層、鋁化鉭(tantalum aluminide,TaAl)層或鋁化鉿(hafnium aluminide,HfAl)層,但不限於此。此外,第二功函數金屬層170可為一單層結構或一複合層結構。Please continue to see Figure 2. After the first work function metal layer 160 in the second gate trench 152 is removed, a CVD process or a PVD process is performed to form a second work function metal layer 170 on the substrate 100. The second work function metal layer 170 may be an n-type work function metal layer having an n-type conductivity type, such as a titanium aluminide (TiAl) layer, a zirconium aluminide (ZrAl) layer, and a tungsten aluminide ( A tungsten aluminide, WAl) layer, a tantalum aluminide (TaAl) layer or a hafnium aluminide (HfAl) layer, but is not limited thereto. In addition, the second work function metal layer 170 may be a single layer structure or a composite layer structure.

請參閱第2圖。在形成第二功函數金屬層170之後,係進行一分耦式電漿氮化(decoupled plasma nitridation,以下簡稱為DPN)處理172,用以調整第二功函數金屬層170之功函數。DPN處理172具有一製程溫度,且該製程溫度小於400℃,較佳為介於室溫與200℃之間。此外DPN處理172更可包含通入氮氣或氬氣之步驟。根據本較佳實施例所提供之DPN處理172,第二功函數金屬層170之功函數係被調整至介於3.9 eV與4.2 eV之間,且較佳為4.1 eV。另外值得注意的是,在進行DPN處理172之前,係可於第一半導體元件110處選擇性地形成一遮罩(圖未示),用以避免DPN處理172影響第一半導體元件110處的第二功函數金屬層170以及第一功函數金屬層160的功函數。Please refer to Figure 2. After forming the second work function metal layer 170, a decoupled plasma nitridation (hereinafter referred to as DPN) process 172 is performed to adjust the work function of the second work function metal layer 170. The DPN process 172 has a process temperature and the process temperature is less than 400 ° C, preferably between room temperature and 200 ° C. In addition, the DPN process 172 may further comprise the step of introducing nitrogen or argon. According to the DPN process 172 provided by the preferred embodiment, the work function of the second work function metal layer 170 is adjusted to be between 3.9 eV and 4.2 eV, and preferably 4.1 eV. It is also worth noting that a mask (not shown) may be selectively formed at the first semiconductor device 110 before the DPN process 172 is performed to prevent the DPN process 172 from affecting the first semiconductor device 110. The work function of the two work function metal layer 170 and the first work function metal layer 160.

請參閱第3圖。在進行DPN處理172調整第二功函數金屬層170之功函數之後,係進行一熱處理174,以更穩定氮原子與第二功函數金屬層170內金屬材料之鍵結,增加第二功函數金屬層170之穩定性。值得注意的是,本較佳實施例所提供之熱處理174之一製程溫度係低於400℃,因此更符合金屬材料的低熱預算要求。換句話說,本較佳實施例所提供之低溫熱處理可在增加第二功函數金屬層170之穩定性的同時,避免影響到第一功函數金屬層160以及第二功函數金屬層170。Please refer to Figure 3. After the DPN process 172 is performed to adjust the work function of the second work function metal layer 170, a heat treatment 174 is performed to more stabilize the bonding of the nitrogen atoms with the metal material in the second work function metal layer 170 to increase the second work function metal. The stability of layer 170. It should be noted that the temperature of one of the heat treatments 174 provided by the preferred embodiment is less than 400 ° C, and therefore more in line with the low thermal budget requirements of the metal materials. In other words, the low temperature heat treatment provided by the preferred embodiment can avoid affecting the first work function metal layer 160 and the second work function metal layer 170 while increasing the stability of the second work function metal layer 170.

請參閱第4圖。接下來,係於第一閘極溝渠150與第二閘極溝渠152內的第二功函數金屬層170上形成一填充金屬層180。此外第二功函數金屬層170與填充金屬層180之間較佳可設置一頂部阻障層(圖未示),頂部阻障層可包含TiN,但不限於此。填充金屬層180係用以填滿第一閘極溝渠150與第二閘極溝渠152,並可選擇具有優良填充能力與較低阻值的金屬或金屬氧化物,例如鋁(aluminum,Al)、鋁化鈦(titanium aluminide,TiAl)或氧化鋁鈦(titanium aluminum oxide,TiAlO),但不限於此。Please refer to Figure 4. Next, a fill metal layer 180 is formed on the second work function metal layer 170 in the first gate trench 150 and the second gate trench 152. In addition, a top barrier layer (not shown) may be disposed between the second work function metal layer 170 and the filling metal layer 180, and the top barrier layer may include TiN, but is not limited thereto. The filling metal layer 180 is used to fill the first gate trench 150 and the second gate trench 152, and may select a metal or metal oxide having excellent filling ability and a lower resistance, such as aluminum (Al). Titanium aluminide (TiAl) or titanium aluminum oxide (TiAlO), but is not limited thereto.

請參閱第5圖。最後,進行一平坦化製程,例如一CMP製程,用以移除多餘的填充金屬層180、第二功函數金屬層170、第一功函數金屬層160、以及蝕刻停止層108,而完成一第一金屬閘極190與一第二金屬閘極192之製作。此外,本實施例亦可再選擇性去除ILD層142與CESL 140等,然後重新形成CESL與介電層,以有效提升半導體元件的電性表現。由於上述CMP製程等步驟係為該技術領域中具通常知識者所知,故於此係不再贅述。Please refer to Figure 5. Finally, a planarization process, such as a CMP process, is performed to remove the excess fill metal layer 180, the second work function metal layer 170, the first work function metal layer 160, and the etch stop layer 108, and complete a A metal gate 190 and a second metal gate 192 are fabricated. In addition, the present embodiment can also selectively remove the ILD layer 142 and the CESL 140 and the like, and then reform the CESL and the dielectric layer to effectively improve the electrical performance of the semiconductor device. Since the above CMP process and the like are known to those of ordinary skill in the art, they are not described herein.

根據本發明所提供之具有金屬閘極之半導體元件之製作方法,係於形成第一功函數金屬層160與第二功函數金屬層170之後,分別對第一功函數金屬層160與第二功函數金屬層170進行DPO處理162與DPN處理172,藉以調整第一功函數金屬層160與第二功函數金屬層170之功函數至一目標功函數。此外,由於DPO處理162與DPN處理172後的第一功函數金屬層160與第二功函數金屬層170已具有目標功函數,因此本發明所提供之具有金屬閘極之半導體元件之製作方法可取代金屬後熱處理,或者或大幅降低金屬後熱處理所需之製程溫度。換句話說,本發明所提供之具有金屬閘極之半導體元件之製作方法更可避免金屬後熱處理造成的影響,而確保具有金屬閘極之半導體元件應有的電性表現。The method for fabricating a semiconductor device having a metal gate according to the present invention is to form a first work function metal layer 160 and a second work function metal layer 170, respectively, for the first work function metal layer 160 and the second work The function metal layer 170 performs DPO processing 162 and DPN processing 172 to adjust the work function of the first work function metal layer 160 and the second work function metal layer 170 to a target work function. In addition, since the first work function metal layer 160 and the second work function metal layer 170 after the DPO process 162 and the DPN process 172 already have a target work function, the method for fabricating the semiconductor device having the metal gate provided by the present invention may be Substituting the metal for post-heat treatment, or substantially reducing the process temperature required for post-metal post-heat treatment. In other words, the method for fabricating a semiconductor device having a metal gate provided by the present invention can avoid the influence of the post-metal heat treatment and ensure the electrical performance of the semiconductor device having the metal gate.

請參閱第6圖至第10圖,第6圖至第10圖係為本發明所提供之具有金屬閘極之半導體元件之製作方法之一第二較佳實施例之示意圖。首先注意的是,在第二較佳實施例中,與第一較佳實施例相同之元件的材料選擇係於此不再贅述。如第6圖所示,本較佳實施例首先提供一基底200,基底200上形成有一第一半導體元件210與一第二半導體元件212,而第一半導體元件210與第二半導體元件212之間的基底200內係形成有提供電性隔離的STI 202。在本較佳實施例中,第一半導體元件210係為一p型半導體元件;第二半導體元件212係為一n型半導體元件。Please refer to FIG. 6 to FIG. 10 . FIG. 6 to FIG. 10 are schematic diagrams showing a second preferred embodiment of a method for fabricating a semiconductor device having a metal gate according to the present invention. It is to be noted that, in the second preferred embodiment, the material selection of the same elements as the first preferred embodiment will not be described herein. As shown in FIG. 6, the preferred embodiment first provides a substrate 200 having a first semiconductor component 210 and a second semiconductor component 212 formed thereon, and between the first semiconductor component 210 and the second semiconductor component 212. The substrate 200 is formed with an STI 202 that provides electrical isolation. In the preferred embodiment, the first semiconductor component 210 is a p-type semiconductor component; the second semiconductor component 212 is an n-type semiconductor component.

請參閱第6圖。第一半導體元件210與第二半導體元件212各包含一閘極介電層204、一底部阻障層206與一虛置閘極(圖未示)。此外第一半導體元件210與第二半導體元件212分別包含一第一LDD 220與一第二LDD 222、一側壁子224、與一第一源極/汲極230與一第二源極/汲極232。另外,第一源極/汲極230與第二源極/汲極232之表面係分別包含有一金屬矽化物234。而在第一半導體元件210與第二半導體元件212上,係依序形成一CESL 240與一ILD層242。Please refer to Figure 6. The first semiconductor device 210 and the second semiconductor device 212 each include a gate dielectric layer 204, a bottom barrier layer 206 and a dummy gate (not shown). In addition, the first semiconductor device 210 and the second semiconductor device 212 respectively include a first LDD 220 and a second LDD 222, a sidewall 224, a first source/drain 230, and a second source/drain 232. In addition, the surface of the first source/drain 230 and the second source/drain 232 respectively comprise a metal halide 234. On the first semiconductor element 210 and the second semiconductor element 212, a CESL 240 and an ILD layer 242 are sequentially formed.

請繼續參閱第6圖。之後藉由一平坦化製程移除部分的CESL 240與ILD層242,並利用一適合之蝕刻製程移除第一半導體元件210與第二半導體元件212之虛置閘極,而同時於第一半導體元件210與第二半導體元件212內分別形成一第一閘極溝渠250與一第二閘極溝渠252。值得注意的是,本較佳實施例係可與先閘極介電層(high-k first)製程整合,此時閘極介電層204包含一high-k閘極介電層。另外,在high-k閘極介電層204與基底200之間,係可設置於一介面層(圖未示)。本較佳實施例亦可與後閘極介電層製程整合,此時閘極介電層可先為一傳統的二氧化矽層,並作為一介面層(圖未示),隨後於基底200上形成一high-k閘極介電層204。在形成第一閘極溝渠250與第二閘極溝渠252後,或者在第一閘極溝渠250與第二閘極溝渠252內形成high-k閘極介電層204後,係可於第一閘極溝渠250與第二閘極溝渠252內的底部阻障層206上形成一蝕刻停止層208。Please continue to see Figure 6. Then, a portion of the CESL 240 and the ILD layer 242 are removed by a planarization process, and the dummy gates of the first semiconductor device 210 and the second semiconductor device 212 are removed by a suitable etching process while simultaneously being used in the first semiconductor. A first gate trench 250 and a second gate trench 252 are formed in the component 210 and the second semiconductor component 212, respectively. It should be noted that the preferred embodiment can be integrated with a high-k first process, in which case the gate dielectric layer 204 includes a high-k gate dielectric layer. In addition, between the high-k gate dielectric layer 204 and the substrate 200, an interface layer (not shown) may be disposed. The preferred embodiment can also be integrated with the post gate dielectric layer process. The gate dielectric layer can be a conventional germanium dioxide layer and serve as an interface layer (not shown), followed by the substrate 200. A high-k gate dielectric layer 204 is formed thereon. After forming the first gate trench 250 and the second gate trench 252, or forming the high-k gate dielectric layer 204 in the first gate trench 250 and the second gate trench 252, the first An etch stop layer 208 is formed on the bottom barrier layer 250 in the gate trench 250 and the second gate trench 252.

請仍然參閱第6圖。在形成蝕刻停止層208後,係於第一閘極溝渠250與第二閘極溝渠252內形成一第二功函數金屬層270。第二功函數金屬層270可為一具有n型導電型式的n型功函數金屬層。此外,第二功函數金屬層270可為一單層結構或一複合層結構。Please still refer to Figure 6. After the etch stop layer 208 is formed, a second work function metal layer 270 is formed in the first gate trench 250 and the second gate trench 252. The second work function metal layer 270 can be an n-type work function metal layer having an n-type conductivity. In addition, the second work function metal layer 270 can be a single layer structure or a composite layer structure.

如第6圖所示,在形成第二功函數金屬層270之後,即進行一DPN處理272,用以調整第二功函數金屬層270之功函數。DPN處理272之製程溫度等其他參數或步驟係可參閱第一較佳實施例所揭露者。根據本較佳實施例所提供之DPN處理272,第二功函數金屬層270之功函數係被調整至介於3.9 eV與4.2 eV之間,且較佳為4.1 eV。As shown in FIG. 6, after the second work function metal layer 270 is formed, a DPN process 272 is performed to adjust the work function of the second work function metal layer 270. Other parameters or steps of the process temperature of the DPN process 272 can be found in the first preferred embodiment. According to the DPN process 272 provided by the preferred embodiment, the work function of the second work function metal layer 270 is adjusted to be between 3.9 eV and 4.2 eV, and preferably 4.1 eV.

請參閱第7圖。在進行DPN處理272調整第二功函數金屬層270之功函數之後,係進行一熱處理274,以更穩定氮原子與第二功函數金屬層270內金屬材料之鍵結,增加第二功函數金屬層170之穩定性。值得注意的是,本較佳實施例所提供之熱處理274之一製程溫度係低於400℃,因此更符合金屬材料的低熱預算要求。Please refer to Figure 7. After the DPN process 272 is performed to adjust the work function of the second work function metal layer 270, a heat treatment 274 is performed to more stably bond the nitrogen atoms to the metal material in the second work function metal layer 270 to increase the second work function metal. The stability of layer 170. It should be noted that the process temperature of one of the heat treatments 274 provided by the preferred embodiment is less than 400 ° C, and thus is more in line with the low thermal budget requirements of the metal materials.

請參閱第8圖。接下來於基底200上形成一圖案化遮罩,例如一圖案化光阻層(圖未示),但不限於此。圖案化遮罩係用以遮蓋第二半導體元件212,並暴露出第一半導體元件210處之第二功函數金屬層270。隨後利用一合適之蝕刻劑移除未被圖案化遮罩保護的第二功函數金屬層270。另外值得注意的是,為了改善後續金屬膜層的填入結果,在完全去除第一閘極溝渠250內之第二功函數金屬層270時,圖案化遮罩係可為一形成在第二閘極溝渠252內,且表面低於第二閘極溝渠252開口之膜層,因此後續進行移除第二功函數金屬層270時,第二功函數金屬層270僅存留於第二閘極溝渠252內,尤其是第二閘極溝渠252之底部與側壁,使得第二閘極溝渠252側壁之第二功函數金屬層270的高度小於第二閘極溝渠252的深度,進而增加後續金屬膜層的填入能力。Please refer to Figure 8. Next, a patterned mask, such as a patterned photoresist layer (not shown), is formed on the substrate 200, but is not limited thereto. The patterned mask is used to cover the second semiconductor component 212 and expose the second work function metal layer 270 at the first semiconductor component 210. A second work function metal layer 270 that is not protected by the patterned mask is then removed using a suitable etchant. It is also worth noting that in order to improve the filling result of the subsequent metal film layer, when the second work function metal layer 270 in the first gate trench 250 is completely removed, the patterned mask can be formed in the second gate. The surface of the pole trench 252 is lower than the opening of the second gate trench 252. Therefore, when the second work function metal layer 270 is subsequently removed, the second work function metal layer 270 remains only in the second gate trench 252. The bottom of the second gate trench 252 has a lower height than the second gate trench 252, thereby increasing the thickness of the subsequent metal film layer. Fill in the ability.

請繼續參閱第8圖。在移除第一溝極溝渠250內的第二功函數金屬層270後,係於基底200上形成一第一功函數金屬層260。第一功函數金屬層260可為一具有p型導電型式之p型功函數金屬層。此外,第一功函數金屬層260可為一單層結構或一複合層結構。Please continue to see Figure 8. After the second work function metal layer 270 in the first trench trench 250 is removed, a first work function metal layer 260 is formed on the substrate 200. The first work function metal layer 260 can be a p-type work function metal layer having a p-type conductivity. In addition, the first work function metal layer 260 can be a single layer structure or a composite layer structure.

如第8圖所示,在形成第一功函數金屬層260之後,係進行一DPO處理262,用以調整第一功函數金屬層260之功函數。DPO處理之製程溫度等其他參數或步驟係可參閱第一較佳實施例所揭露者。根據本較佳實施例所提供之DPO處理262,第一功函數金屬層260之功函數係被調整至介於4.9 eV與5.2 eV之間,且較佳為5.1 eV。另外值得注意的是,在進行DPO處理262之前,係可於第二半導體元件212處選擇性地形成一遮罩(圖未示),用以避免DPO處理262影響第二半導體元件212處的第一功函數金屬層260以及第二功函數金屬層270的功函數。As shown in FIG. 8, after forming the first work function metal layer 260, a DPO process 262 is performed to adjust the work function of the first work function metal layer 260. Other parameters or steps of the process temperature of the DPO process can be found in the first preferred embodiment. According to the DPO process 262 provided by the preferred embodiment, the work function of the first work function metal layer 260 is adjusted to be between 4.9 eV and 5.2 eV, and preferably 5.1 eV. It is also worth noting that a mask (not shown) may be selectively formed at the second semiconductor component 212 prior to performing the DPO process 262 to prevent the DPO process 262 from affecting the second semiconductor component 212. The work function of the work function metal layer 260 and the second work function metal layer 270.

值得注意的是,本較佳實施例中雖不限在形成第一功函數金屬層260之後進行一金屬後熱處理,利用一高於400℃,甚或需要550℃之高溫調整第一功函數金屬層160之功函數。如此之高溫係不利於第一功函數金屬層160之低熱預算要求,也因此反而對金屬層造成負面的影響。但由於本較佳實施例所提供之DPO處理262以可確保第一功函數金屬層260獲得目標功函數,因此前述之金屬後熱處理係可省卻,並藉以避免金屬後熱處理對第一功函數金屬層260造成的負面影響。It should be noted that, in the preferred embodiment, although a metal post-heat treatment is not performed after forming the first work function metal layer 260, the first work function metal layer is adjusted by using a temperature higher than 400 ° C or even 550 ° C. 160 work function. Such high temperatures are not conducive to the low thermal budget requirements of the first work function metal layer 160, and thus have a negative impact on the metal layer. However, due to the DPO process 262 provided by the preferred embodiment to ensure that the first work function metal layer 260 obtains the target work function, the foregoing metal post-heat treatment can be omitted, and the metal post-heat treatment is applied to the first work function metal. The negative impact of layer 260.

請參閱第9圖。接下來,係於第一閘極溝渠250與第二閘極溝渠252內的第一功函數金屬層260上形成一填充金屬層280。此外第一功函數金屬層260與填充金屬層280之間較佳可設置一頂部阻障層(圖未示)。填充金屬層280係用以填滿第一閘極溝渠250與第二閘極溝渠252,並可選擇具有優良填充能力與較低阻值的金屬或金屬氧化物。Please refer to Figure 9. Next, a fill metal layer 280 is formed on the first work function metal layer 260 in the first gate trench 250 and the second gate trench 252. In addition, a top barrier layer (not shown) may be disposed between the first work function metal layer 260 and the fill metal layer 280. The fill metal layer 280 is used to fill the first gate trench 250 and the second gate trench 252, and may select a metal or metal oxide having excellent filling ability and lower resistance.

請參閱第10圖。最後,進行一平坦化製程,例如一CMP製程,用以移除多餘的填充金屬層280、第一功函數金屬層260、第二功函數金屬層270、以及蝕刻停止層208,而完成一第一金屬閘極290與一第二金屬閘極292之製作。此外,本實施例亦可再選擇性去除ILD層242與CESL 240等,然後重新形成CESL與介電層,以有效提升半導體元件的電性表現。由於上述CMP製程等步驟係為該技術領域中具通常知識者所知,故於此係不再贅述。Please refer to Figure 10. Finally, a planarization process, such as a CMP process, is performed to remove the excess fill metal layer 280, the first work function metal layer 260, the second work function metal layer 270, and the etch stop layer 208 to complete a A metal gate 290 and a second metal gate 292 are fabricated. In addition, the present embodiment can also selectively remove the ILD layer 242 and the CESL 240 and the like, and then reform the CESL and the dielectric layer to effectively improve the electrical performance of the semiconductor device. Since the above CMP process and the like are known to those of ordinary skill in the art, they are not described herein.

根據本發明所提供之具有金屬閘極之半導體元件之製作方法,係於形成第二功函數金屬層270與第一功函數金屬層260之後,分別對第二功函數金屬層270與第一功函數金屬層260進行DPN處理272與DPO處理262,藉以調整第二功函數金屬層270與第一功函數金屬層260之功函數至一目標功函數。此外,由於DPO處理262後的第一功函數金屬層260已具有目標功函數,因此本發明所提供之具有金屬閘極之半導體元件之製作方法可取代金屬後熱處理,進而避免因金屬後熱處理對已存在的第二功函數金屬層270造成影響,確保具有金屬閘極之半導體元件應有的電性表現。The method for fabricating a semiconductor device having a metal gate according to the present invention is to form a second work function metal layer 270 and a first work function metal layer 260, respectively, to a second work function metal layer 270 and a first work. The function metal layer 260 performs a DPN process 272 and a DPO process 262 to adjust the work function of the second work function metal layer 270 and the first work function metal layer 260 to a target work function. In addition, since the first work function metal layer 260 after the DPO process 262 already has the target work function, the method for fabricating the semiconductor device having the metal gate provided by the present invention can replace the post-metal heat treatment, thereby avoiding the post-metal heat treatment. The presence of the second work function metal layer 270 affects the electrical performance of the semiconductor component having the metal gate.

綜上所述,根據本發明所提供之具有金屬閘極之半導體元件之製作方法,係於形成n型或p型半導體元件所需之功函數金屬層後,分別對n型功函數金屬層與p型功函數金屬層進行一DPN處理與一DPO處理,藉以調整該等功函數金屬層之功函數至一目標功函數。此外,由於DPN處理與DPO處理後的n型與p型功函數金屬層皆已獲得目標功函數,因此本發明所提供之具有金屬閘極之半導體元件之製作方法甚至可取代習知的金屬後熱處理,並藉以避免因金屬後熱處理而造成的影響。換句話說,本發明所提供之具有金屬閘極之半導體元件之製作方法不僅可確保半導體元件之金屬閘極皆具有符合要求之功函數,更進一步確保具有金屬閘極之半導體元件的電性表現。In summary, the method for fabricating a semiconductor device having a metal gate according to the present invention is a method for forming an n-type work function metal layer after forming a work function metal layer required for an n-type or p-type semiconductor device. The p-type work function metal layer performs a DPN process and a DPO process to adjust the work function of the work function metal layer to a target work function. In addition, since the target work function is obtained by both the DPN process and the DPO-treated n-type and p-type work function metal layers, the method for fabricating the semiconductor device having the metal gate provided by the present invention can even replace the conventional metal. Heat treatment and avoid the effects of post-metal heat treatment. In other words, the method for fabricating a semiconductor device having a metal gate provided by the present invention not only ensures that the metal gate of the semiconductor device has a satisfactory work function, but further ensures the electrical performance of the semiconductor device having the metal gate. .

以上所述僅為本發明之較佳實施例,凡依本發明申請專利範圍所做之均等變化與修飾,皆應屬本發明之涵蓋範圍。The above are only the preferred embodiments of the present invention, and all changes and modifications made to the scope of the present invention should be within the scope of the present invention.

100、200...基底100, 200. . . Base

102、202...淺溝絕緣102, 202. . . Shallow trench insulation

104、204...高介電常數閘極介電層104, 204. . . High dielectric constant gate dielectric layer

106、206...底部阻障層106, 206. . . Bottom barrier layer

108、208...蝕刻停止層108, 208. . . Etch stop layer

110、210...第一半導體元件110, 210. . . First semiconductor component

112、212...第二半導體元件112, 212. . . Second semiconductor component

120、220...第一輕摻雜汲極120, 220. . . First lightly doped bungee

122、222...第二輕摻雜汲極122, 222. . . Second lightly doped bungee

124、224...側壁子124, 224. . . Side wall

130、230...第一源極/汲極130, 230. . . First source/dip

132、232...第二源極/汲極132, 232. . . Second source/dip

134、234...金屬矽化物134, 234. . . Metal telluride

140、240...接觸洞蝕刻停止層140, 240. . . Contact hole etch stop layer

142、242...內層介電層142, 242. . . Inner dielectric layer

150、250...第一閘極溝渠150, 250. . . First gate ditches

152、252...第二閘極溝渠152, 252. . . Second gate ditches

160、260...第一功函數金屬層160, 260. . . First work function metal layer

162、262...分耦式電漿氧化處理162, 262. . . Sub-coupled plasma oxidation treatment

170、270...第二功函數金屬層170, 270. . . Second work function metal layer

172、272...分耦式電漿氮化處理172, 272. . . Sub-coupled plasma nitriding treatment

174、274...熱處理174, 274. . . Heat treatment

180、280...填充金屬層180, 280. . . Filled metal layer

190、290...第一金屬閘極190, 290. . . First metal gate

192、292...第二金屬閘極192, 292. . . Second metal gate

第1圖至第5圖為本發明所提供之具有金屬閘極之半導體元件之製作方法之一第一較佳實施例之示意圖。1 to 5 are schematic views showing a first preferred embodiment of a method for fabricating a semiconductor device having a metal gate according to the present invention.

第6圖至第10圖為本發明所提供之具有金屬閘極之半導體元件之製作方法之一第二較佳實施例之示意圖。6 to 10 are schematic views showing a second preferred embodiment of a method for fabricating a semiconductor device having a metal gate according to the present invention.

100...基底100. . . Base

102...淺溝隔離102. . . Shallow trench isolation

104...高介電常數閘極介電層104. . . High dielectric constant gate dielectric layer

106...底部阻障層106. . . Bottom barrier layer

108...蝕刻停止層108. . . Etch stop layer

110...第一半導體元件110. . . First semiconductor component

112...第二半導體元件112. . . Second semiconductor component

120...第一輕摻雜汲極120. . . First lightly doped bungee

122...第二輕摻雜汲極122. . . Second lightly doped bungee

124...側壁子124. . . Side wall

130...第一源極/汲極130. . . First source/dip

132...第二源極/汲極132. . . Second source/dip

134...金屬矽化物134. . . Metal telluride

140...接觸洞蝕刻停止層140. . . Contact hole etch stop layer

142...內層介電層142. . . Inner dielectric layer

150...第一閘極溝渠150. . . First gate ditches

152...第二閘極溝渠152. . . Second gate ditches

160...第一功函數金屬層160. . . First work function metal layer

162...分耦式電漿氧化處理162. . . Sub-coupled plasma oxidation treatment

Claims (16)

一種具有金屬閘極之半導體元件之製作方法,包含有:提供一基底,該基底上形成有至少一第一半導體元件;於該第一半導體元件內形成一第一閘極溝渠;於該第一閘極溝渠內形成一第一功函數金屬層;以及對該第一功函數金屬層進行一分耦式電漿氧化(decoupled plasma oxidation,DPO)處理。A method of fabricating a semiconductor device having a metal gate, comprising: providing a substrate on which at least one first semiconductor component is formed; forming a first gate trench in the first semiconductor component; Forming a first work function metal layer in the gate trench; and performing a decoupled plasma oxidation (DPO) process on the first work function metal layer. 如申請專利範圍第1項所述之製作方法,其中該分耦式電漿氧化處理具有一製程溫度,且該製程溫度小於400℃。The manufacturing method of claim 1, wherein the split-coupled plasma oxidation treatment has a process temperature, and the process temperature is less than 400 °C. 如申請專利範圍第2項所述之製作方法,其中該製程溫度係介於室溫與200℃之間。The manufacturing method of claim 2, wherein the process temperature is between room temperature and 200 °C. 如申請專利範圍第1項所述之製作方法,其中該分耦式電漿氧化處理更包含通入氮氣或氬氣之步驟。The manufacturing method of claim 1, wherein the sub-coupled plasma oxidation treatment further comprises the step of introducing nitrogen or argon. 如申請專利範圍第1項所述之製作方法,其中該第一半導體元件係為一P型半導體元件。The manufacturing method of claim 1, wherein the first semiconductor component is a P-type semiconductor component. 如申請專利範圍第5項所述之製作方法,更包含一第二半導體元件,且該第二半導體元件係為一N型半導體元件。The manufacturing method according to claim 5, further comprising a second semiconductor component, wherein the second semiconductor component is an N-type semiconductor component. 如申請專利範圍第6項所述之製作方法,更包含:於該第二半導體元件內形成一第二閘極溝渠;於該第二閘極溝渠內形成一第二功函數金屬層;以及對該第二功函數金屬層進行一分耦式電漿氮化(decoupled plasma nitridation,DPN)處理。The manufacturing method of claim 6, further comprising: forming a second gate trench in the second semiconductor component; forming a second work function metal layer in the second gate trench; The second work function metal layer is subjected to a decoupled plasma nitridation (DPN) process. 如申請專利範圍第7項所述之製作方法,其中該分耦式電漿氮化處理具有一製程溫度,且該製程溫度小於400℃。The manufacturing method of claim 7, wherein the split-coupled plasma nitriding treatment has a process temperature, and the process temperature is less than 400 °C. 如申請專利範圍第8項所述之製作方法,其中該製程溫度係介於室溫與200℃之間。The manufacturing method of claim 8, wherein the process temperature is between room temperature and 200 °C. 如申請專利範圍第7項所述之製作方法,其中該分耦式電漿氧化處理更包含通入氮氣或氬氣之步驟。The manufacturing method of claim 7, wherein the partial-coupled plasma oxidation treatment further comprises the step of introducing nitrogen or argon. 如申請專利範圍第7項所述之製作方法,更包含一熱處理,進行於該分耦式電漿氮化處理之後。The manufacturing method described in claim 7 further includes a heat treatment performed after the split-type plasma nitriding treatment. 如申請專利範圍第11項所述之製作方法,其中該熱處理之一製程溫度係低於400℃。The manufacturing method according to claim 11, wherein one of the heat treatment processes is lower than 400 °C. 如申請專利範圍第7項所述之製作方法,其中該第一功函數金屬層係形成於進行該分耦式電漿氮化處理之後。The manufacturing method of claim 7, wherein the first work function metal layer is formed after performing the split-coupled plasma nitriding treatment. 如申請專利範圍第7項所述之製作方法,其中該第二功函數金屬層係形成於進行該分耦式電漿氧化處理之後。The manufacturing method of claim 7, wherein the second work function metal layer is formed after performing the split-coupled plasma oxidation treatment. 如申請專利範圍第7項所述之製作方法,其中該第一閘極溝渠與該第二閘極溝渠係同時形成。The manufacturing method of claim 7, wherein the first gate trench is formed simultaneously with the second gate trench system. 如申請專利範圍第1項所述之製作方法,更包含形成一填充金屬層之步驟,且該填充金屬層至少填滿該第一閘極溝渠。The manufacturing method of claim 1, further comprising the step of forming a filling metal layer, wherein the filling metal layer fills at least the first gate trench.
TW100129256A 2011-08-16 2011-08-16 Menufacturing method for semiconductor device having metal gate TWI527125B (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
TW100129256A TWI527125B (en) 2011-08-16 2011-08-16 Menufacturing method for semiconductor device having metal gate

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
TW100129256A TWI527125B (en) 2011-08-16 2011-08-16 Menufacturing method for semiconductor device having metal gate

Publications (2)

Publication Number Publication Date
TW201310540A true TW201310540A (en) 2013-03-01
TWI527125B TWI527125B (en) 2016-03-21

Family

ID=48482052

Family Applications (1)

Application Number Title Priority Date Filing Date
TW100129256A TWI527125B (en) 2011-08-16 2011-08-16 Menufacturing method for semiconductor device having metal gate

Country Status (1)

Country Link
TW (1) TWI527125B (en)

Also Published As

Publication number Publication date
TWI527125B (en) 2016-03-21

Similar Documents

Publication Publication Date Title
US9530862B2 (en) Semiconductor device having metal gate and manufacturing method thereof
US9024393B2 (en) Manufacturing method for semiconductor device having metal gate
US8951855B2 (en) Manufacturing method for semiconductor device having metal gate
US20140339652A1 (en) Semiconductor device with oxygen-containing metal gates
US20160093536A1 (en) Integrated circuit having plural transistors with work function metal gate structures
US8765588B2 (en) Semiconductor process
TWI653762B (en) Semiconductor device having metal gate and method for manufacturing the same
TWI663656B (en) Semiconductor device having metal gate and fabrication method thereof
US8551876B2 (en) Manufacturing method for semiconductor device having metal gate
US9105623B2 (en) Semiconductor device having metal gate and manufacturing method thereof
US20140120711A1 (en) Method of forming metal gate
TW201904063A (en) Semiconductor device having metal gate and method for manufacturing the same
CN102956460A (en) Manufacturing method of semiconductor element with metal gates
TWI517379B (en) Metal gate structure and manufacturing method thereof
TWI527125B (en) Menufacturing method for semiconductor device having metal gate
TWI518753B (en) Manufacturing method for metal gate
TWI544551B (en) Semiconductor device having metal gate and fabricating method thereof
TWI609430B (en) Semiconductor device having metal gate and manufacturing method thereof
TWI509667B (en) Structure of metal gate and fabrication method thereof
TWI524394B (en) Manufacturing method for semiconductor device having metal gate
TW201327687A (en) Semiconductor device and fabricating method thereof
TW201347044A (en) Manufacturing method for semiconductor device having metal gate
TWI552209B (en) Method of fabricating semiconductor device
TWI515830B (en) Method for fabricating semiconductor device
TWI517219B (en) Method for making transistor having metal gate