TW201301444A - Method for fabricating semiconductor device - Google Patents

Method for fabricating semiconductor device Download PDF

Info

Publication number
TW201301444A
TW201301444A TW100122256A TW100122256A TW201301444A TW 201301444 A TW201301444 A TW 201301444A TW 100122256 A TW100122256 A TW 100122256A TW 100122256 A TW100122256 A TW 100122256A TW 201301444 A TW201301444 A TW 201301444A
Authority
TW
Taiwan
Prior art keywords
region
layer
metal layer
gate structure
forming
Prior art date
Application number
TW100122256A
Other languages
Chinese (zh)
Other versions
TWI515830B (en
Inventor
Chin-Cheng Chien
Tzung-Ying Lee
Tsuo-Wen Lu
Shu-Yen Chan
Jei-Ming Chen
Yu-Min Lin
Chun-Wei Hsu
Original Assignee
United Microelectronics Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by United Microelectronics Corp filed Critical United Microelectronics Corp
Priority to TW100122256A priority Critical patent/TWI515830B/en
Publication of TW201301444A publication Critical patent/TW201301444A/en
Application granted granted Critical
Publication of TWI515830B publication Critical patent/TWI515830B/en

Links

Landscapes

  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)

Abstract

A method for fabricating semiconductor device includes the steps of: providing a substrate having a first region and a second region thereon; forming a high-k dielectric layer, a barrier layer, and a first metal layer on the substrate; removing the first metal layer of the second region; forming a polysilicon layer to cover the first metal layer of the first region and the barrier layer of the second region; patterning the polysilicon layer, the first metal layer, the barrier layer, and the high-k dielectric layer to form a first gate structure and a second gate structure in the first region and the second region; and forming a source/drain in the substrate adjacent to two sides of the first gate structure and the second gate structure.

Description

一種製作半導體元件的方法Method for fabricating semiconductor components

本發明是關於一種半導體元件及其製作方法,尤指一種金屬閘極(metal-gate)互補式金氧半導體(CMOS)電晶體元件及其製作方法。The present invention relates to a semiconductor device and a method of fabricating the same, and more particularly to a metal-gate complementary metal oxide semiconductor (CMOS) transistor device and a method of fabricating the same.

隨著半導體元件尺寸持續微縮,傳統方法中利用降低閘極介電層,例如降低二氧化矽層厚度,以達到最佳化目的之方法,係面臨到因電子的穿隧效應(tunneling effect)而導致漏電流過大的物理限制。為了有效延展邏輯元件的世代演進,高介電常數(以下簡稱為high-K)材料因具有可有效降低物理極限厚度,並且在相同的等效氧化厚度(equivalent oxide thickness,以下簡稱為EOT)下,有效降低漏電流並達成等效電容以控制通道開關等優點,而被用以取代傳統二氧化矽層或氮氧化矽層作為閘極介電層。As the size of semiconductor components continues to shrink, the conventional method utilizes a tunneling effect that reduces the thickness of the gate dielectric layer, such as reducing the thickness of the yttria layer, for optimization purposes. A physical limitation that causes excessive leakage current. In order to effectively extend the evolution of logic components, high dielectric constant (hereinafter referred to as high-K) materials have an effective reduction in physical limit thickness and are under the same equivalent oxide thickness (EOT). It effectively reduces the leakage current and achieves the equivalent capacitance to control the channel switch. It is used to replace the traditional germanium dioxide layer or the yttria layer as the gate dielectric layer.

而傳統的閘極材料多晶矽則面臨硼穿透(boron penetration)效應,導致元件效能降低等問題;且多晶矽閘極更遭遇難以避免的空乏效應(depletion effect),使得等效的閘極介電層厚度增加、閘極電容值下降,進而導致元件驅動能力的衰退等困境。針對此問題,半導體業界更提出以新的閘極材料,例如利用具有功函數(work function)金屬層的金屬閘極來取代傳統的多晶矽閘極,用以作為匹配High-K閘極介電層的控制電極。However, the conventional gate material polysilicon is faced with boron penetration effect, which leads to problems such as lower component efficiency; and the polysilicon gate encounters an inevitable depletion effect, making the equivalent gate dielectric layer The increase in thickness and the decrease in the gate capacitance value lead to difficulties such as the deterioration of the component driving capability. In response to this problem, the semiconductor industry has proposed to replace the traditional polysilicon gate with a new gate material, such as a metal gate with a work function metal layer, as a matching High-K gate dielectric layer. Control electrode.

然而,即使利用high-K閘極介電層取代傳統二氧化矽或氮氧化矽閘極介電層,並以具有匹配功函數之金屬閘極取代傳統多晶矽閘極,如何持續地增加半導體元件效能及確保其可靠度仍為半導體業者所欲解決的問題。However, how to continuously increase the efficiency of semiconductor components even if the high-k gate dielectric layer is used to replace the conventional germanium dioxide or yttrium oxide gate dielectric layer, and the metal gate with matching work function is substituted for the conventional polysilicon gate. And to ensure that its reliability is still the problem that the semiconductor industry wants to solve.

因此本發明是揭露一種雙功函數金屬閘極CMOS元件的製作方法,以提升現有元件的整體效能。Therefore, the present invention discloses a method for fabricating a dual work function metal gate CMOS device to improve the overall performance of existing components.

本發明之較佳實施例是提供一種製作半導體元件的方法。首先提供一基底,該基底上具有一第一區域及一第二區域,然後依序形成一高介電常數介電層、一阻障層以及一第一金屬層於該基底表面。接著去除第二區域之第一金屬層、形成一多晶矽層並覆蓋第一區域之第一金屬層及第二區域之阻障層上以及圖案化該多晶矽層、該第一金屬層、該阻障層及該高介電常數介電層以於第一區域及第二區域分別形成一第一閘極結構與一第二閘極結構。最後分別形成一源極/汲極於第一閘極結構及第二閘極結構兩側之基底中。A preferred embodiment of the present invention provides a method of fabricating a semiconductor device. First, a substrate is provided. The substrate has a first region and a second region, and then a high-k dielectric layer, a barrier layer and a first metal layer are sequentially formed on the surface of the substrate. And then removing the first metal layer of the second region, forming a polysilicon layer and covering the first metal layer of the first region and the barrier layer of the second region, and patterning the polysilicon layer, the first metal layer, the barrier The layer and the high-k dielectric layer respectively form a first gate structure and a second gate structure in the first region and the second region. Finally, a source/drain is formed in the substrate on both sides of the first gate structure and the second gate structure, respectively.

本發明另一實施例是提供一種製作半導體元件的方法。首先提供一基底,該基底上具有一第一區域及一第二區域,然後分別形成一第一閘極結構與一第二閘極結構於第一區域及該第二區域、形成一介電層並覆蓋第一閘極結構及第二閘極結構、進行一第一平坦化製程去除部分介電層使第一閘極結構與第二閘極結構表面與介電層表面齊平、分別形成一凹槽於第一閘極結構及第二閘極結構中。接著依序形成一高介電常數介電層以及一第一金屬層於第一區域及第二區域之介電層及凹槽表面、去除第二區域之第一金屬層以及形成一第二金屬層於該第一區域之該第一金屬層及該第二區域之該介電層表面。Another embodiment of the present invention provides a method of fabricating a semiconductor device. Firstly, a substrate is provided, the substrate has a first region and a second region, and then a first gate structure and a second gate structure are respectively formed on the first region and the second region to form a dielectric layer. And covering the first gate structure and the second gate structure, performing a first planarization process to remove a portion of the dielectric layer such that the first gate structure and the second gate structure surface are flush with the surface of the dielectric layer, respectively forming a The groove is in the first gate structure and the second gate structure. Forming a high-k dielectric layer and a first metal layer on the dielectric layer and the groove surface of the first region and the second region, removing the first metal layer of the second region, and forming a second metal Laminating the first metal layer of the first region and the surface of the dielectric layer of the second region.

本發明又一實施例是揭露一種製作半導體元件的方法。首先提供一基底,該基底上具有一第一區域及一第二區域,然後分別形成一第一閘極結構與一第二閘極結構於第一區域及第二區域。接著形成一介電層並覆蓋第一閘極結構及第二閘極結構、進行一第一平坦化製程去除部分介電層使第一閘極結構與第二閘極結構表面與介電層表面齊平、分別形成一凹槽於第一閘極結構及第二閘極結構中、依序形成一高介電常數介電層以及一金屬層於第一區域及第二區域之該介電層及該凹槽表面。最後去除第二區域之第一金屬層。Yet another embodiment of the present invention is directed to a method of fabricating a semiconductor device. First, a substrate is provided. The substrate has a first region and a second region, and then a first gate structure and a second gate structure are respectively formed in the first region and the second region. Forming a dielectric layer and covering the first gate structure and the second gate structure, performing a first planarization process to remove a portion of the dielectric layer to make the first gate structure and the second gate structure surface and the dielectric layer surface Forming a recess in the first gate structure and the second gate structure, sequentially forming a high-k dielectric layer and a metal layer in the first region and the second region And the surface of the groove. Finally, the first metal layer of the second region is removed.

本發明又一實施例是揭露一種半導體元件,其包含一基底,該基底上具有一第一區域及一第二區域;一第一閘極結構設於該第一區域,該第一閘極結構具有一第一高介電常數介電層、一第一功函數層以及一第一金屬層設於該第一高介電常數介電層及該第一功函數層之間;一第二閘極結構設於該第二區域,該第二閘極結構具有一第二高介電常數介電層、一第二功函數層以及一第二金屬層設於該第二高介電常數介電層及該第二功函數層之間,且該第二金屬層之厚度低於該第一金屬層之厚度;一第一源極/汲極設於該第一閘極結構兩側之該基底中;以及一第二源極/汲極設於該第二閘極結構兩側之該基底中。Another embodiment of the present invention is directed to a semiconductor device including a substrate having a first region and a second region; a first gate structure disposed in the first region, the first gate structure Having a first high-k dielectric layer, a first work function layer, and a first metal layer disposed between the first high-k dielectric layer and the first work function layer; a pole structure is disposed in the second region, the second gate structure has a second high-k dielectric layer, a second work function layer, and a second metal layer is disposed on the second high-k dielectric Between the layer and the second work function layer, and the thickness of the second metal layer is lower than the thickness of the first metal layer; a first source/drain is disposed on the substrate on both sides of the first gate structure And a second source/drain is disposed in the substrate on both sides of the second gate structure.

請參照第1圖至第9圖,第1圖至第9圖為本發明較佳實施例製作一具有金屬閘極之半導體元件示意圖。在本實施例中,半導體元件較佳為一CMOS電晶體,且本較佳實施例採用後閘極(gate-last)製程搭配前高介電常數介電層(high-K first)製程。如第1圖所示,首先提供一基底100,例如一矽基底或一絕緣層上覆矽(silicon-on-insulator,SOI)基底等。基底100上定義有一第一區域與一第二區域,例如一PMOS區域104與一NMOS區域102,且基底100內形成有複數個用來提供電性絕緣兩個電晶體區的淺溝隔離(shallow trench isolation,STI) 106。Please refer to FIG. 1 to FIG. 9 . FIG. 1 to FIG. 9 are schematic diagrams showing a semiconductor device having a metal gate according to a preferred embodiment of the present invention. In the present embodiment, the semiconductor device is preferably a CMOS transistor, and the preferred embodiment uses a gate-last process in conjunction with a high-k first process. As shown in FIG. 1, a substrate 100 is first provided, such as a germanium substrate or a silicon-on-insulator (SOI) substrate. A first region and a second region are defined on the substrate 100, such as a PMOS region 104 and an NMOS region 102, and a plurality of shallow trench isolations for providing electrical isolation of the two transistor regions are formed in the substrate 100 (shallow Trench isolation, STI) 106.

接著形成一由氧化物、氮化物等之介電材料所構成的介質層(interfacial layer)108在基底100表面,並再依序形成一高介電常數介電層110、一阻障層112以及一金屬層114所構成的堆疊薄膜在介質層108上。Then, an interfacial layer 108 composed of a dielectric material such as an oxide or a nitride is formed on the surface of the substrate 100, and a high-k dielectric layer 110, a barrier layer 112, and a barrier layer 112 are sequentially formed. A stacked film of a metal layer 114 is on the dielectric layer 108.

其中,高介電常數介電層110可以是一層或多層的結構,其介電常數大致大於20,而本實施例之高介電常數介電層110可包含一金屬氧化物層,例如一稀土金屬氧化物層,且可選自由氧化鉿(hafnium oxide,HfO2)、矽酸鉿氧化合物(hafnium silicon oxide,HfSiO)、矽酸鉿氮氧化合物(hafnium silicon oxynitride,HfSiON)、氧化鋁(aluminum oxide,AlO)、氧化鑭(lanthanum oxide,La2O3)、鋁酸鑭(lanthanum aluminum oxide,LaAlO)、氧化鉭(tantalum oxide,Ta2O3)、氧化鋯(zirconium oxide,ZrO2)、矽酸鋯氧化合物(zirconium silicon oxide,ZrSiO)、鋯酸鉿(hafnium zirconium oxide,HfZrO)、鍶鉍鉭氧化物(strontium bismuth tantalate,SrBi2Ta2O9,SBT)、鋯鈦酸鉛(lead zirconate titanate,PbZrxTi1-xO3,PZT)以及鈦酸鋇鍶(barium strontium titanate,BaxSr1-xTiO3,BST)等所構成的群組。The high-k dielectric layer 110 may be one or more layers having a dielectric constant of substantially greater than 20. The high-k dielectric layer 110 of the present embodiment may include a metal oxide layer, such as a rare earth. Metal oxide layer, and optionally free hafnium oxide (HfO 2 ), hafnium silicon oxide (HfSiO), hafnium silicon oxynitride (HfSiON), aluminum oxide (aluminum) Oxide, AlO), lanthanum oxide (La 2 O 3 ), lanthanum aluminum oxide (LaAlO), tantalum oxide (Ta 2 O 3 ), zirconium oxide (ZrO 2 ), Zirconium silicon oxide (ZrSiO), hafnium zirconium oxide (HfZrO), strontium bismuth tantalate (SrBi 2 Ta 2 O 9 , SBT), lead zirconate titanate (lead) Zirconate titanate, PbZr x Ti 1-x O 3 , PZT) and a group consisting of barium strontium titanate (BaxSr 1-x TiO 3 , BST).

阻障層112較佳由氮化鈦(TiN)所構成,金屬層114則較佳由氮化鉭(TaN)所構成。在本實施例中,金屬層114較佳以原子層沈積(atomic layer deposition,ALD)的方式形成於阻障層112上,且金屬層114的厚度介於數埃至數十埃較佳為20埃(Angstrom)。The barrier layer 112 is preferably made of titanium nitride (TiN), and the metal layer 114 is preferably made of tantalum nitride (TaN). In the present embodiment, the metal layer 114 is preferably formed on the barrier layer 112 by atomic layer deposition (ALD), and the thickness of the metal layer 114 is between several angstroms and several tens of angstroms, preferably 20 Angstrom.

接著如第2圖所示,先形成一圖案化光阻層(圖未示)在金屬層114上,並利用圖案化光阻層當作遮罩進行一圖案轉移製程,去除部分PMOS區域104的金屬層114,並剝除圖案化光阻層,以於NMOS區域102上形成一圖案化之金屬層114。Next, as shown in FIG. 2, a patterned photoresist layer (not shown) is formed on the metal layer 114, and a pattern transfer process is performed using the patterned photoresist layer as a mask to remove portions of the PMOS region 104. The metal layer 114 is stripped of the patterned photoresist layer to form a patterned metal layer 114 on the NMOS region 102.

然後如第3圖所示,先依序形成一多晶矽層116以及一硬遮罩118在金屬層114及阻障層112表面,然後利用一圖案化光阻層(圖未示)當作遮罩進行一圖案轉移製程,以單次蝕刻或逐次蝕刻步驟,去除部分的硬遮罩118、多晶矽層116、金屬層114、阻障層112、高介電常數介電層110及介質層108,並剝除圖案化光阻層,以於PMOS區域104及NMOS區域102上分別形成一第一閘極結構120與一第二閘極結構122,當做虛置閘極結構。Then, as shown in FIG. 3, a polysilicon layer 116 and a hard mask 118 are sequentially formed on the surface of the metal layer 114 and the barrier layer 112, and then a patterned photoresist layer (not shown) is used as a mask. Performing a pattern transfer process to remove portions of the hard mask 118, the polysilicon layer 116, the metal layer 114, the barrier layer 112, the high-k dielectric layer 110, and the dielectric layer 108 by a single etching or successive etching step, and The patterned photoresist layer is stripped to form a first gate structure 120 and a second gate structure 122 on the PMOS region 104 and the NMOS region 102, respectively, as a dummy gate structure.

其中,多晶矽層116是用來做為一犧牲層,其亦可由不具有任何摻質(undoped)的多晶矽材料、具有N+摻質的多晶矽材料所構成或非晶矽材料所構成。硬遮罩118則由二氧化矽(SiO2)、氮化矽(SiN)、碳化矽(SiC)或氮氧化矽(SiON)所構成。The polysilicon layer 116 is used as a sacrificial layer, and may also be composed of a polycrystalline germanium material having no undoped, a polycrystalline germanium material having an N+ dopant, or an amorphous germanium material. The hard mask 118 is composed of cerium oxide (SiO 2 ), cerium nitride (SiN), tantalum carbide (SiC) or cerium oxynitride (SiON).

然後如第4圖所示,分別在第一閘極結構120與第二閘極結構122側壁形成一第一側壁子124與第二側壁子126,以及在第一側壁子124與第二側壁子126兩側的基底100中分別形成一具相對應導電型之輕摻雜汲極128與源極/汲極130。Then, as shown in FIG. 4, a first sidewall 124 and a second sidewall 126 are formed on sidewalls of the first gate structure 120 and the second gate structure 122, respectively, and the first sidewall 124 and the second sidewall are A lightly doped drain 128 and a source/drain 130 of a corresponding conductivity type are respectively formed in the substrate 100 on both sides of the 126.

接著可對PMOS及/或NMOS進行一選擇性磊晶成長製程,例如於PMOS區域104中第二側壁子126兩側的基底100中形成一磊晶層132。在本實施例中,磊晶層132較佳包含鍺化矽,且可以單層或多層的方式形成;成長磊晶層時可現場(in-situly)摻雜,摻雜可以漸變方式進行(例如,最底層無摻質、第一層淡摻質、第二層較濃摻質、第三層濃摻質、...最頂層無摻質或淡摻質);異質原子(在此例中為鍺原子)的濃度亦可以漸變方式改變,其濃度會視晶格常數及表面特質的考量而作改變,但表面會期望鍺原子濃度較淡或無鍺原子以利後續的矽化物形成。另外,本實施例形成源極/汲極130的離子佈植雖在磊晶層132之前進行,但又可依製程需求於磊晶層132形成後才進行。Then, a selective epitaxial growth process can be performed on the PMOS and/or the NMOS. For example, an epitaxial layer 132 is formed in the substrate 100 on both sides of the second sidewall 126 in the PMOS region 104. In this embodiment, the epitaxial layer 132 preferably comprises germanium germanium, and may be formed in a single layer or multiple layers; when the epitaxial layer is grown, it may be doped in-situly, and the doping may be performed in a gradual manner (for example) , the bottom layer has no dopant, the first layer is lightly doped, the second layer is thicker, the third layer is rich, ... the top layer has no dopant or light dopant; the hetero atom (in this case) The concentration of germanium atoms can also be changed in a gradual manner, and the concentration thereof will vary depending on the lattice constant and the surface characteristics. However, the surface may be expected to have a lighter germanium atom concentration or no germanium atoms for subsequent germanium formation. In addition, the ion implantation of the source/drain 130 in this embodiment is performed before the epitaxial layer 132, but may be performed after the epitaxial layer 132 is formed according to the process requirements.

隨後可進行一金屬矽化物製程,例如先形成一由鈷、鈦、鎳、鉑、鈀、鉬或其組合等所構成的金屬層(圖未示)於基底100上並覆蓋源極/汲極130與磊晶層132,接著利用至少一次的快速升溫退火(rapid thermal anneal,RTP)製程使金屬層與源極/汲極130及磊晶層132反應,以於NMOS區域102及PMOS區域104的基底100及磊晶層132表面分別形成一矽化金屬層134。最後再去除未反應的金屬。Subsequently, a metal telluride process can be performed, for example, forming a metal layer (not shown) composed of cobalt, titanium, nickel, platinum, palladium, molybdenum or a combination thereof on the substrate 100 and covering the source/drain 130 and the epitaxial layer 132, and then reacting the metal layer with the source/drain 130 and the epitaxial layer 132 by using at least one rapid thermal anneal (RTP) process for the NMOS region 102 and the PMOS region 104 A surface of the substrate 100 and the epitaxial layer 132 respectively form a deuterated metal layer 134. Finally, the unreacted metal is removed.

然後形成一遮蓋層136於基底100表面並覆蓋第一閘極結構120與第二閘極結構122,隨後再形成一層間介電層138於基底100表面並覆蓋PMOS區域104及NMOS區域102。在本實施例中,遮蓋層136較佳由氮化矽所構成,且其可於PMOS區域104與NMOS區域102具有不同的應力,而層間介電層138較佳由氧化矽所構成,且其厚度可介於1500至5000埃之間較佳約3000埃。A masking layer 136 is then formed on the surface of the substrate 100 and covers the first gate structure 120 and the second gate structure 122, and then an interlayer dielectric layer 138 is formed on the surface of the substrate 100 and covers the PMOS region 104 and the NMOS region 102. In this embodiment, the capping layer 136 is preferably made of tantalum nitride, and may have different stresses in the PMOS region 104 and the NMOS region 102, and the interlayer dielectric layer 138 is preferably composed of hafnium oxide, and The thickness may range from 1500 to 5000 angstroms, preferably about 3,000 angstroms.

接著進行一平坦化製程,例如利用一化學機械研磨製程去除部分層間介電層138、部分遮蓋層136及部分硬遮罩118並停在多晶矽層116上。然後進行一蝕刻製程並掏空PMOS區域104及NMOS區域102的多晶矽層116,以於各區域分別形成一凹槽140。需注意的是,本實施例雖以同時掏空兩個區域的多晶矽層為例,但又可選擇先掏空其中一個區域的多晶矽層形成凹槽並填入金屬後,再去除另一區域的多晶矽層並填入金屬。A planarization process is then performed, such as removing a portion of the interlayer dielectric layer 138, the partial mask layer 136, and a portion of the hard mask 118 by a chemical mechanical polishing process and stopping on the polysilicon layer 116. Then, an etching process is performed and the polysilicon layer 116 of the PMOS region 104 and the NMOS region 102 is hollowed out to form a recess 140 in each region. It should be noted that, in this embodiment, although the polycrystalline germanium layer of two regions is simultaneously hollowed out, the polycrystalline germanium layer of one of the regions may be first hollowed out to form a groove and filled with metal, and then another region is removed. The polysilicon layer is filled with metal.

隨後如第5圖所示,依序全面性沈積一金屬層142以及一P型功函數金屬層144於層間介電層138上並覆蓋各凹槽140的底部及側壁。然後選擇性地去除PMOS區域104之凹槽140開口處的金屬層142及P型功函數金屬層144,例如先全面性形成一抗反射層(Anti-Reflection Coating,ARC)146於P型功函數金屬層144表面並填滿各凹槽140,並接著形成一圖案化光阻層148在NMOS區域102的抗反射層146上。Then, as shown in FIG. 5, a metal layer 142 and a P-type work function metal layer 144 are sequentially deposited on the interlayer dielectric layer 138 and cover the bottom and sidewalls of each of the grooves 140. Then, the metal layer 142 and the P-type work function metal layer 144 at the opening of the recess 140 of the PMOS region 104 are selectively removed, for example, an anti-Reflection Coating (ARC) 146 is integrally formed on the P-type work function. The metal layer 144 is surfaced and filled with recesses 140, and then a patterned photoresist layer 148 is formed over the anti-reflective layer 146 of the NMOS region 102.

接著以圖案化光阻層148為遮罩進行一蝕刻製程,去除部分PMOS區域104的抗反射層146,使部分殘留於凹槽140中的抗反射層146當做保護層,用以保護凹槽140的底部及下半側壁的P型功函數金屬層144及金屬層142。然後再進行一蝕刻製程,去除PMOS區域104中被曝露的金屬層142及P型功函數金屬層144。最後去除抗反射層146,如第6圖所示。Then, an etching process is performed by using the patterned photoresist layer 148 as a mask to remove the anti-reflective layer 146 of the portion of the PMOS region 104, so that the anti-reflective layer 146 partially remaining in the recess 140 serves as a protective layer for protecting the recess 140. The P-type work function metal layer 144 and the metal layer 142 of the bottom and lower sidewalls. Then, an etching process is performed to remove the exposed metal layer 142 and the P-type work function metal layer 144 in the PMOS region 104. Finally, the anti-reflection layer 146 is removed, as shown in FIG.

然後再以類似上述的方式選擇性地去除NMOS區域102之凹槽140開口處的金屬層142及P型功函數金屬層144。例如先全面性形成一抗反射層147並填滿各凹槽140,接著形成一圖案化光阻層149在PMOS區域104的抗反射層147上,然後以圖案化光阻層149為遮罩蝕刻去除NMOS區域102的抗反射層147,使部分殘留於凹槽140中的抗反射層147當做保護層,用以保護凹槽140的底部及下半側壁的P型功函數金屬層144及金屬層142。然後再進行一蝕刻製程,去除NMOS區域102中被曝露的金屬層142及P型功函數金屬層144。之後如第7圖所示,藉由PMOS區域104之圖案化光阻層149的保護,依序去除殘留於NMOS區域102之凹槽140內的抗反射層147以及剩餘的P型功函數金屬層144。最後再去除所有的圖案化光阻層149與抗反射層147。至此,PMOS區域104之凹槽140的底部及下半側壁具有金屬層142及P型功函數金屬層144,而NMOS區域102之凹槽140的底部及下半側壁則僅具有金屬層142,且該等金屬層的高度都小於各凹槽140的深度。The metal layer 142 and the P-type work function metal layer 144 at the opening of the recess 140 of the NMOS region 102 are then selectively removed in a manner similar to that described above. For example, an anti-reflective layer 147 is formed comprehensively and fills the recesses 140, and then a patterned photoresist layer 149 is formed on the anti-reflective layer 147 of the PMOS region 104, and then patterned by using the patterned photoresist layer 149 as a mask. The anti-reflective layer 147 of the NMOS region 102 is removed, and the anti-reflective layer 147 partially remaining in the recess 140 serves as a protective layer for protecting the P-type work function metal layer 144 and the metal layer of the bottom and lower sidewalls of the recess 140. 142. Then, an etching process is performed to remove the exposed metal layer 142 and the P-type work function metal layer 144 in the NMOS region 102. Thereafter, as shown in FIG. 7, the anti-reflective layer 147 remaining in the recess 140 of the NMOS region 102 and the remaining P-type work function metal layer are sequentially removed by the protection of the patterned photoresist layer 149 of the PMOS region 104. 144. Finally, all of the patterned photoresist layer 149 and the anti-reflective layer 147 are removed. So far, the bottom and lower half sidewalls of the recess 140 of the PMOS region 104 have a metal layer 142 and a P-type work function metal layer 144, and the bottom and lower sidewalls of the recess 140 of the NMOS region 102 have only the metal layer 142, and The height of the metal layers is less than the depth of each of the grooves 140.

之後可重複上述步驟,於NMOS區域102之凹槽140內形成一N型功函數金屬層150於P型功函數金屬層144表面,最後第8圖,再形成一低阻抗導電層152填滿凹槽140,並進行一或多道平坦化製程一起或分別對NMOS與PMOS進行平坦化,例如利用化學機械研磨製程移除及部分低阻抗導電層152、部分N型與P型功函數金屬層150/144、部分金屬層142及部分層間介電層138,以於PMOS區域104及NMOS區域102分別形成一第一金屬閘極154與第二金屬閘極156。Then, the above steps may be repeated to form an N-type work function metal layer 150 on the surface of the P-type work function metal layer 144 in the recess 140 of the NMOS region 102. Finally, in FIG. 8, a low-resistance conductive layer 152 is formed to fill the recess. The trench 140 is subjected to one or more planarization processes to planarize the NMOS and the PMOS together, for example, by a chemical mechanical polishing process and a portion of the low-impedance conductive layer 152, and a portion of the N-type and P-type work function metal layers 150. And a portion of the metal layer 142 and the portion of the interlayer dielectric layer 138 to form a first metal gate 154 and a second metal gate 156 in the PMOS region 104 and the NMOS region 102, respectively.

在本實施例中,金屬層142較佳由TaN所構成,且其厚度介於數埃至十幾埃較佳約10埃。P型功函數金屬層144為一滿足P型電晶體所需功函數要求的金屬,例如是氮化鈦(titanium nitride,TiN)或碳化鉭(tantalum carbide,TaC)等,但不以上述為限。N型功函數金屬層150為一滿足N型電晶體所需功函數要求的金屬,例如是鋁化鈦(TiAl)、鋁化鋯(ZrAl)、鋁化鎢(WAl)、鋁化鉭(TaAl)或鋁化鉿(HfAl),但不以上述為限。另外,低阻抗導電層152包含鋁(Al)、鈦(Ti)、鉭(Ta)、鎢(W)、鈮(Nb)、鉬(Mo)、銅(Cu)、氮化鈦(TiN)、碳化鈦(TiC)、氮化鉭(TaN)、鈦鎢(Ti/W)或鈦與氮化鈦(Ti/TiN)等複合金屬層料,但不以此為限。In the present embodiment, the metal layer 142 is preferably composed of TaN and has a thickness of several angstroms to ten angstroms, preferably about 10 angstroms. The P-type work function metal layer 144 is a metal that satisfies the required work function of the P-type transistor, and is, for example, titanium nitride (TiN) or tantalum carbide (TaC), but not limited to the above. . The N-type work function metal layer 150 is a metal that satisfies the required work function of the N-type transistor, such as titanium aluminide (TiAl), zirconium aluminide (ZrAl), tungsten aluminide (WAl), tantalum aluminide (TaAl). Or aluminum bismuth (HfAl), but not limited to the above. In addition, the low-impedance conductive layer 152 includes aluminum (Al), titanium (Ti), tantalum (Ta), tungsten (W), niobium (Nb), molybdenum (Mo), copper (Cu), titanium nitride (TiN), A composite metal layer such as titanium carbide (TiC), tantalum nitride (TaN), titanium tungsten (Ti/W) or titanium and titanium nitride (Ti/TiN), but is not limited thereto.

需注意的是,上述實施例雖以前高介電常數介電層(high-K first)製程來完成半導體元件的製作,本發明的精神又可應用至後高介電常數介電層(high-k last)製程,此作法也屬本發明所涵蓋的範圍。It should be noted that, in the above embodiment, although the high-k first process is used to complete the fabrication of the semiconductor device, the spirit of the present invention can be applied to the post-high-k dielectric layer (high- k last) Process, which is also within the scope of the present invention.

舉例來說,如第9圖所示,可先在基底100上形成如第3圖所示之虛置閘極結構,其中虛置閘極僅包含一介質層、一多晶矽層以及一硬遮罩而不具有高介電常數介電層及阻障層。然後依序進行第4圖的製程,包括在虛置閘極周圍形成第一側壁子124及第二側壁子126、於第一側壁子124及第二側壁子126兩側的基底100中形成具相對應導電型之輕摻雜汲極128與源極/汲極區域130、形成一接觸洞蝕刻停止層136與層間介電層138於虛置閘極及基底100表面、以平坦化製程去除部分接觸洞蝕刻停止層136與層間介電層138並掏空虛置閘極中的多晶矽層等。隨後如第9圖所示,先依序形成一高介電常數介電層110、一阻障層112、一第一金屬層114於PMOS區域104及NMOS區域102之凹槽內,然後去除PMOS區域104的第一金屬層114,再形成一第二金屬層142於NMOS區域102及PMOS區域104的層間介電層138上。For example, as shown in FIG. 9, a dummy gate structure as shown in FIG. 3 may be formed on the substrate 100, wherein the dummy gate includes only a dielectric layer, a polysilicon layer, and a hard mask. It does not have a high dielectric constant dielectric layer and a barrier layer. Then, the process of FIG. 4 is sequentially performed, including forming a first sidewall portion 124 and a second sidewall spacer 126 around the dummy gate, and forming the substrate in the substrate 100 on both sides of the first sidewall spacer 124 and the second sidewall spacer 126. Corresponding to the light-doped drain 128 and the source/drain region 130 of the conductive type, forming a contact etch stop layer 136 and the interlayer dielectric layer 138 on the surface of the dummy gate and the substrate 100, and removing portions by the planarization process The contact hole etch stop layer 136 and the interlayer dielectric layer 138 are contacted and the polysilicon layer or the like in the dummy gate is hollowed out. Then, as shown in FIG. 9, a high-k dielectric layer 110, a barrier layer 112, and a first metal layer 114 are sequentially formed in the recesses of the PMOS region 104 and the NMOS region 102, and then the PMOS is removed. The first metal layer 114 of the region 104 is further formed with a second metal layer 142 on the NMOS region 102 and the interlayer dielectric layer 138 of the PMOS region 104.

其中,第一金屬層114與第二金屬層142較佳由TaN所構成,第一金屬層114的厚度介於數埃至數十埃較佳為20埃而第二金屬層142的厚度介於數埃至十幾埃較佳為10埃。由於PMOS區域104的第一金屬層114已先被去除,因此NMOS區域102的TaN的總厚度例如約為30埃而PMOS區域104的TaN厚度例如僅約為10埃。The first metal layer 114 and the second metal layer 142 are preferably made of TaN. The thickness of the first metal layer 114 is between several angstroms and several tens of angstroms, preferably 20 angstroms, and the thickness of the second metal layer 142 is between Preferably, the number of angstroms to ten angstroms is 10 angstroms. Since the first metal layer 114 of the PMOS region 104 has been removed first, the total thickness of the TaN of the NMOS region 102 is, for example, about 30 angstroms and the TaN thickness of the PMOS region 104 is, for example, only about 10 angstroms.

需注意的是,依據本發明另一實施例,若一開始即沈積的第一金屬層厚度為30埃,則僅需進行一次蝕刻製程去除PMOS區域104的第一金屬層即可,而不需再形成一第二金屬層。若依此製程,NMOS區域102便具有30埃的TaN金屬層而PMOS區域104則不具有任何TaN金屬層。It should be noted that, according to another embodiment of the present invention, if the thickness of the first metal layer deposited at the beginning is 30 angstroms, only one etching process is required to remove the first metal layer of the PMOS region 104 without A second metal layer is formed. According to this process, the NMOS region 102 has a 30 angstrom TaN metal layer and the PMOS region 104 does not have any TaN metal layer.

之後可依據上述第一實施例分別形成一N型功函數金屬層150與一P型功函數金屬層144於NMOS區域102及PMOS區域104、形成一低阻抗導電層152於P型功函數金屬層144及N型功函數金屬層上並填滿凹槽以及進行另一平坦化製程以於NMOS區域102及PMOS區域104分別形成一金屬閘極154、156。Then, an N-type work function metal layer 150 and a P-type work function metal layer 144 are respectively formed in the NMOS region 102 and the PMOS region 104 according to the first embodiment to form a low-impedance conductive layer 152 on the P-type work function metal layer. The 144 and N-type work function metal layers are filled with recesses and another planarization process is performed to form a metal gate 154, 156 in the NMOS region 102 and the PMOS region 104, respectively.

綜上所述,由於一般金屬閘極電晶體製程中所沈積的TaN金屬層容易影響PMOS電晶體的功函數金屬層,因此本發明較佳在形成多晶矽所構成的虛置閘極之前或之後先以蝕刻方式去除PMOS區域的至少部分TaN金屬層,使PMOS區域的TaN金屬層厚度盡量減低,如此便不至影響到PMOS電晶體的元件表現。依據本發明之實施例,沈積TaN金屬層及去除TaN金屬層的時間點可選擇在形成虛置閘極之前或之後,且又可選擇沈積兩次TaN金屬層再去除部分PMOS區域的TaN金屬層、或僅沈積一次TaN金屬層然後完全去除PMOS區域的TaN金屬層方式來完成半導體元件的製作。In summary, since the TaN metal layer deposited in the general metal gate transistor process easily affects the work function metal layer of the PMOS transistor, the present invention preferably precedes or after forming the dummy gate formed by the polysilicon. At least a portion of the TaN metal layer of the PMOS region is removed by etching to minimize the thickness of the TaN metal layer of the PMOS region, so that the component performance of the PMOS transistor is not affected. According to an embodiment of the present invention, a TaN metal layer may be deposited and a TaN metal layer may be removed at a time point before or after forming a dummy gate, and optionally a TaN metal layer may be deposited twice to remove a TaN metal layer of a portion of the PMOS region. The fabrication of the semiconductor device is completed by depositing only a TaN metal layer and then completely removing the TaN metal layer of the PMOS region.

以上所述僅為本發明之較佳實施例,凡依本發明申請專利範圍所做之均等變化與修飾,皆應屬本發明之涵蓋範圍。The above are only the preferred embodiments of the present invention, and all changes and modifications made to the scope of the present invention should be within the scope of the present invention.

100...基底100. . . Base

102...NMOS區域102. . . NMOS region

104...PMOS區域104. . . PMOS area

106...淺溝隔離106. . . Shallow trench isolation

108...介質層108. . . Dielectric layer

110...高介電常數介電層110. . . High dielectric constant dielectric layer

112...阻障層112. . . Barrier layer

114...金屬層114. . . Metal layer

116...多晶矽層116. . . Polycrystalline layer

118...硬遮罩118. . . Hard mask

120...第一閘極結構120. . . First gate structure

122...第二閘極結構122. . . Second gate structure

124...第一側壁子124. . . First side wall

126...第二側壁子126. . . Second side wall

128...輕摻雜汲極128. . . Lightly doped bungee

130...源極/汲極130. . . Source/bungee

132...磊晶層132. . . Epitaxial layer

134...矽化金屬層134. . . Deuterated metal layer

136...遮蓋層136. . . Cover layer

138...層間介電層138. . . Interlayer dielectric layer

140...凹槽140. . . Groove

142...金屬層142. . . Metal layer

144...P型功函數金屬層144. . . P type work function metal layer

146...抗反射層146. . . Antireflection layer

147...抗反射層147. . . Antireflection layer

148...圖案化光阻層148. . . Patterned photoresist layer

149...圖案化光阻層149. . . Patterned photoresist layer

150...N型功函數金屬層150. . . N-type work function metal layer

152...低阻抗導電層152. . . Low impedance conductive layer

154...第一金屬閘極154. . . First metal gate

156...第二金屬閘極156. . . Second metal gate

第1圖至第9圖為本發明較佳實施例製作一具有金屬閘極之半導體元件示意圖。1 to 9 are schematic views showing a semiconductor device having a metal gate according to a preferred embodiment of the present invention.

100...基底100. . . Base

102...NMOS區域102. . . NMOS region

104...PMOS區域104. . . PMOS area

106...淺溝隔離106. . . Shallow trench isolation

108...介質層108. . . Dielectric layer

110...高介電常數介電層110. . . High dielectric constant dielectric layer

112...阻障層112. . . Barrier layer

114...金屬層114. . . Metal layer

124...第一側壁子124. . . First side wall

126...第二側壁子126. . . Second side wall

128...輕摻雜汲極128. . . Lightly doped bungee

130...源極/汲極130. . . Source/bungee

132...磊晶層132. . . Epitaxial layer

134...矽化金屬層134. . . Deuterated metal layer

136...遮蓋層136. . . Cover layer

138...層間介電層138. . . Interlayer dielectric layer

142...金屬層142. . . Metal layer

144...P型功函數金屬層144. . . P type work function metal layer

150...N型功函數金屬層150. . . N-type work function metal layer

152...低阻抗導電層152. . . Low impedance conductive layer

154...第一金屬閘極154. . . First metal gate

156...第二金屬閘極156. . . Second metal gate

Claims (25)

一種製作半導體元件的方法,包含:提供一基底,該基底上具有一第一區域及一第二區域;依序形成一高介電常數介電層、一阻障層以及一第一金屬層於該基底表面;去除該第二區域之該第一金屬層;形成一多晶矽層並覆蓋該第一區域之該第一金屬層及第二區域之該阻障層上;圖案化該多晶矽層、該第一金屬層、該阻障層及該高介電常數介電層以於該第一區域及該第二區域分別形成一第一閘極結構與一第二閘極結構;以及分別形成一源極/汲極於該第一閘極結構及該第二閘極結構兩側之該基底中。A method of fabricating a semiconductor device, comprising: providing a substrate having a first region and a second region; sequentially forming a high-k dielectric layer, a barrier layer, and a first metal layer a surface of the substrate; removing the first metal layer of the second region; forming a polysilicon layer covering the first metal layer and the barrier layer of the first region; patterning the polysilicon layer, The first metal layer, the barrier layer and the high-k dielectric layer respectively form a first gate structure and a second gate structure in the first region and the second region; and respectively form a source The pole/drain is in the base of the first gate structure and the two sides of the second gate structure. 如申請專利範圍第1項所述之方法,其中該第一區域包含一NMOS區域且該第二區域包含一PMOS區域。The method of claim 1, wherein the first region comprises an NMOS region and the second region comprises a PMOS region. 如申請專利範圍第1項所述之方法,其中該阻障層包含TiN。The method of claim 1, wherein the barrier layer comprises TiN. 如申請專利範圍第1項所述之方法,其中該第一金屬層包含TaN。The method of claim 1, wherein the first metal layer comprises TaN. 如申請專利範圍第1項所述之方法,其中形成該第一閘極結構及該第二閘極結構後包含:分別形成一側壁子於該第一閘極結構及該第二閘極結構之側壁;形成該源極/汲極於該側壁子兩側之該基底;形成一介電層並覆蓋該第一閘極結構與該第二閘極結構;利用一第一平坦化製程去除部分該介電層,使該第一閘極結構與該第二閘極結構表面與該介電層表面齊平;分別形成一凹槽於該第一閘極結構與該第二閘極結構中;形成一第二金屬層於該第一區域及該第二區域;分別形成一第一功函數金屬層與一第二功函數金屬層於該第二金屬層上;形成一導電層於該第一功函數金屬層及該第二功函數金屬層上並填滿該等凹槽;以及進行一第二平坦化製程以於該第一區域及該第二區域分別形成一金屬閘極。The method of claim 1, wherein the forming the first gate structure and the second gate structure comprises: forming a sidewall between the first gate structure and the second gate structure, respectively a sidewall formed by the source/drain on both sides of the sidewall; forming a dielectric layer covering the first gate structure and the second gate structure; removing a portion by using a first planarization process The dielectric layer is such that the first gate structure and the surface of the second gate structure are flush with the surface of the dielectric layer; respectively, a recess is formed in the first gate structure and the second gate structure; a second metal layer is formed on the first region and the second region; respectively forming a first work function metal layer and a second work function metal layer on the second metal layer; forming a conductive layer on the first work The function metal layer and the second work function metal layer are filled with the grooves; and a second planarization process is performed to form a metal gate in the first region and the second region, respectively. 如申請專利範圍第5項所述之方法,其中該第二金屬層包含TaN。The method of claim 5, wherein the second metal layer comprises TaN. 一種製作半導體元件的方法,包含:提供一基底,該基底上具有一第一區域及一第二區域;分別形成一第一閘極結構與一第二閘極結構於該第一區域及該第二區域;形成一介電層並覆蓋該第一閘極結構及該第二閘極結構;進行一第一平坦化製程去除部分該介電層,使該第一閘極結構與該第二閘極結構表面與該介電層表面齊平;分別形成一凹槽於該第一閘極結構及該第二閘極結構中;依序形成一高介電常數介電層以及一第一金屬層於該第一區域及該第二區域之該介電層及該凹槽表面;去除該第二區域之該第一金屬層;以及形成一第二金屬層於該第一區域之該第一金屬層及該第二區域之該介電層表面。A method of fabricating a semiconductor device, comprising: providing a substrate having a first region and a second region; forming a first gate structure and a second gate structure in the first region and the first a second region; forming a dielectric layer covering the first gate structure and the second gate structure; performing a first planarization process to remove a portion of the dielectric layer, the first gate structure and the second gate The surface of the pole structure is flush with the surface of the dielectric layer; a recess is formed in the first gate structure and the second gate structure respectively; a high-k dielectric layer and a first metal layer are sequentially formed The dielectric layer and the surface of the recess in the first region and the second region; removing the first metal layer of the second region; and forming the first metal of the second metal layer in the first region a layer and a surface of the dielectric layer of the second region. 如申請專利範圍第7項所述之方法,其中該第一區域包含一NMOS區域且該第二區域包含一PMOS區域。The method of claim 7, wherein the first region comprises an NMOS region and the second region comprises a PMOS region. 如申請專利範圍第7項所述之方法,另包含形成一阻障層於該高介電常數介電層及該第一金屬層之間。The method of claim 7, further comprising forming a barrier layer between the high-k dielectric layer and the first metal layer. 如申請專利範圍第9項所述之方法,其中該阻障層包含TiN。The method of claim 9, wherein the barrier layer comprises TiN. 如申請專利範圍第7項所述之方法,其中該第一金屬層及該第二金屬層包含TaN。The method of claim 7, wherein the first metal layer and the second metal layer comprise TaN. 如申請專利範圍第7項所述之方法,其中該第一閘極結構及該第二閘極結構各包含一多晶矽閘極。The method of claim 7, wherein the first gate structure and the second gate structure each comprise a polysilicon gate. 如申請專利範圍第7項所述之方法,其中形成該第二金屬層後另包含:分別形成一第一功函數金屬層與一第二功函數金屬層於該第二金屬層上;形成一導電層於該第一功函數金屬層及該第二功函數金屬層上並填滿該等凹槽;以及進行一第二平坦化製程以於該第一區域及該第二區域分別形成一金屬閘極。The method of claim 7, wherein the forming the second metal layer further comprises: forming a first work function metal layer and a second work function metal layer on the second metal layer; forming a Conducting a layer on the first work function metal layer and the second work function metal layer and filling the grooves; and performing a second planarization process to form a metal in the first region and the second region, respectively Gate. 一種製作半導體元件的方法,包含:提供一基底,該基底上具有一第一區域及一第二區域;分別形成一第一閘極結構與一第二閘極結構於該第一區域及該第二區域;形成一介電層並覆蓋該第一閘極結構及該第二閘極結構;進行一第一平坦化製程去除部分該介電層,使該第一閘極結構與該第二閘極結構表面與該介電層表面齊平;分別形成一凹槽於該第一閘極結構及該第二閘極結構中;依序形成一高介電常數介電層以及一金屬層於該第一區域及該第二區域之該介電層及該凹槽表面;以及去除該第二區域之該第一金屬層。A method of fabricating a semiconductor device, comprising: providing a substrate having a first region and a second region; forming a first gate structure and a second gate structure in the first region and the first a second region; forming a dielectric layer covering the first gate structure and the second gate structure; performing a first planarization process to remove a portion of the dielectric layer, the first gate structure and the second gate The surface of the pole structure is flush with the surface of the dielectric layer; a recess is formed in the first gate structure and the second gate structure, respectively; a high-k dielectric layer and a metal layer are sequentially formed thereon The dielectric layer of the first region and the second region and the surface of the recess; and removing the first metal layer of the second region. 如申請專利範圍第14項所述之方法,其中該第一區域包含一NMOS區域且該第二區域包含一PMOS區域。The method of claim 14, wherein the first region comprises an NMOS region and the second region comprises a PMOS region. 如申請專利範圍第14項所述之方法,另包含形成一阻障層於該高介電常數介電層及該第一金屬層之間。The method of claim 14, further comprising forming a barrier layer between the high-k dielectric layer and the first metal layer. 如申請專利範圍第16項所述之方法,其中該阻障層包含TiN。The method of claim 16, wherein the barrier layer comprises TiN. 如申請專利範圍第14項所述之方法,其中該第一金屬層及該第二金屬層包含TaN。The method of claim 14, wherein the first metal layer and the second metal layer comprise TaN. 如申請專利範圍第14項所述之方法,其中該第一閘極結構及該第二閘極結構各包含一多晶矽閘極。The method of claim 14, wherein the first gate structure and the second gate structure each comprise a polysilicon gate. 如申請專利範圍第14項所述之方法,其中形成該第二金屬層後另包含:分別形成一第一功函數金屬層與一第二功函數金屬層於該第二金屬層上;形成一導電層於該第一功函數金屬層及該第二功函數金屬層上並填滿該等凹槽;以及進行一第二平坦化製程以於該第一區域及該第二區域分別形成一金屬閘極。The method of claim 14, wherein the forming the second metal layer further comprises: forming a first work function metal layer and a second work function metal layer on the second metal layer; forming a Conducting a layer on the first work function metal layer and the second work function metal layer and filling the grooves; and performing a second planarization process to form a metal in the first region and the second region, respectively Gate. 一種半導體元件,包含:一基底,該基底上具有一第一區域及一第二區域;一第一閘極結構設於該第一區域,該第一閘極結構具有一第一高介電常數介電層、一第一功函數層以及一第一金屬層設於該第一高介電常數介電層及該第一功函數層之間;一第二閘極結構設於該第二區域,該第二閘極結構具有一第二高介電常數介電層、一第二功函數層以及一第二金屬層設於該第二高介電常數介電層及該第二功函數層之間,且該第二金屬層之厚度低於該第一金屬層之厚度;一第一源極/汲極設於該第一閘極結構兩側之該基底中;以及一第二源極/汲極設於該第二閘極結構兩側之該基底中。A semiconductor device comprising: a substrate having a first region and a second region; a first gate structure disposed in the first region, the first gate structure having a first high dielectric constant a dielectric layer, a first work function layer and a first metal layer are disposed between the first high-k dielectric layer and the first work function layer; a second gate structure is disposed in the second region The second gate structure has a second high-k dielectric layer, a second work function layer, and a second metal layer disposed on the second high-k dielectric layer and the second work function layer And the thickness of the second metal layer is lower than the thickness of the first metal layer; a first source/drain is disposed in the substrate on both sides of the first gate structure; and a second source The /pole is disposed in the substrate on both sides of the second gate structure. 如申請專利範圍第21項所述之半導體元件,其中該第一區域包含一NMOS區域且該第二區域包含一PMOS區域。The semiconductor device of claim 21, wherein the first region comprises an NMOS region and the second region comprises a PMOS region. 如申請專利範圍第21項所述之半導體元件,另包含一阻障層分別設於該第一高介電常數介電層與該第一金屬層之間以及該第二高介電常數介電層與該第二金屬層之間。The semiconductor device of claim 21, further comprising a barrier layer respectively disposed between the first high-k dielectric layer and the first metal layer and the second high-k dielectric Between the layer and the second metal layer. 如申請專利範圍第23項所述之半導體元件,其中該阻障層包含TiN。The semiconductor device of claim 23, wherein the barrier layer comprises TiN. 如申請專利範圍第21項所述之半導體元件,其中該第一金屬層及該第二金屬層包含TaN。The semiconductor device of claim 21, wherein the first metal layer and the second metal layer comprise TaN.
TW100122256A 2011-06-24 2011-06-24 Method for fabricating semiconductor device TWI515830B (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
TW100122256A TWI515830B (en) 2011-06-24 2011-06-24 Method for fabricating semiconductor device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
TW100122256A TWI515830B (en) 2011-06-24 2011-06-24 Method for fabricating semiconductor device

Publications (2)

Publication Number Publication Date
TW201301444A true TW201301444A (en) 2013-01-01
TWI515830B TWI515830B (en) 2016-01-01

Family

ID=48137608

Family Applications (1)

Application Number Title Priority Date Filing Date
TW100122256A TWI515830B (en) 2011-06-24 2011-06-24 Method for fabricating semiconductor device

Country Status (1)

Country Link
TW (1) TWI515830B (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10043886B2 (en) 2016-08-03 2018-08-07 Taiwan Semiconductor Manufacturing Company, Ltd. Metal gate formation through etch back process

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10043886B2 (en) 2016-08-03 2018-08-07 Taiwan Semiconductor Manufacturing Company, Ltd. Metal gate formation through etch back process
TWI651761B (en) * 2016-08-03 2019-02-21 台灣積體電路製造股份有限公司 Semiconductor devices and methods for forming the same
US10868138B2 (en) 2016-08-03 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Metal gate formation through etch back process

Also Published As

Publication number Publication date
TWI515830B (en) 2016-01-01

Similar Documents

Publication Publication Date Title
US9825144B2 (en) Semiconductor device having metal gate structure
US9142649B2 (en) Semiconductor structure with metal gate and method of fabricating the same
US8673758B2 (en) Structure of metal gate and fabrication method thereof
US8461049B2 (en) Method for fabricating semiconductor device
US8674452B2 (en) Semiconductor device with lower metal layer thickness in PMOS region
US8853041B2 (en) Method for fabricating semiconductor device
CN106683990B (en) Semiconductor element and manufacturing method thereof
CN106920839B (en) Semiconductor element and manufacturing method thereof
US9673040B2 (en) Semiconductor device and method for fabricating the same
US11545560B2 (en) Semiconductor device and method for fabricating the same
CN115692202A (en) Semiconductor element and manufacturing method thereof
TW201501299A (en) Method for fabricating FinFET transistor
CN102856256B (en) Semiconductor element and preparation method thereof
TWI515830B (en) Method for fabricating semiconductor device
TWI509702B (en) Metal gate transistor and method for fabricating the same
TWI569333B (en) Method for fabricating semiconductor device
TW201546906A (en) Semiconductor device and method for fabricating the same
TWI509667B (en) Structure of metal gate and fabrication method thereof
US12021134B2 (en) Semiconductor device and method for fabricating the same
TWI582839B (en) Structure of metal gate structure and manufacturing method of the same
CN114121660B (en) Semiconductor element and manufacturing method thereof
CN109545747B (en) Semiconductor element and manufacturing method thereof
TWI521642B (en) Semiconductor device and method for fabricating the same
TWI517303B (en) Semiconductor device and method for fabricating the same
TW202420418A (en) Semiconductor device