TW201214670A - Dynamic array architecture - Google Patents

Dynamic array architecture Download PDF

Info

Publication number
TW201214670A
TW201214670A TW100138488A TW100138488A TW201214670A TW 201214670 A TW201214670 A TW 201214670A TW 100138488 A TW100138488 A TW 100138488A TW 100138488 A TW100138488 A TW 100138488A TW 201214670 A TW201214670 A TW 201214670A
Authority
TW
Taiwan
Prior art keywords
layer
gate
linear
line
substrate portion
Prior art date
Application number
TW100138488A
Other languages
Chinese (zh)
Other versions
TWI472015B (en
Inventor
Scott T Becker
Michael C Smayling
Original Assignee
Tela Innovations Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tela Innovations Inc filed Critical Tela Innovations Inc
Publication of TW201214670A publication Critical patent/TW201214670A/en
Application granted granted Critical
Publication of TWI472015B publication Critical patent/TWI472015B/en

Links

Landscapes

  • Design And Manufacture Of Integrated Circuits (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

A semiconductor device includes a substrate and a number of diffusion regions defined within the substrate. The diffusion regions are separated from each other by a non-active region of the substrate. The semiconductor device includes a number of linear gate electrode tracks defined to extend over the substrate in a single common direction. Each linear gate electrode track is defined by one or more linear gate electrode segments. Each linear gate electrode track that extends over both a diffusion region and a non-active region of the substrate is defined to minimize a separation distance between ends of adjacent linear gate electrode segments within the linear gate electrode track, while ensuring adequate electrical isolation between the adjacent linear gate electrode segments.

Description

201214670 【發明所屬之技術領域】 本發明係種半導體裝置,尤有關於具有可改却 程解析度之動態陣列結構之半導體裝置。 13衫裏 【先前技術】 關於較高性能及較小晶粒尺寸之推動,迫使半導 年便減少約50%的電路以面積,減少“面積為移動至 術提供了經濟利益。減少5G%的晶片面積係藉由將特^ ^ 小至25%與30%之間來達成,而能約縮小特徵部尺寸係改、白 製造設備與材料而來,例如改良光微影製程已能夠達到、9 = 良化學機械研磨― .在先微影之發展中’當最小特徵部尺寸接近絲將特徵 狀曝光之光源的波長時,相鄰特徵部之間便會發生非預 ^ 作用。如今最小特徵尺寸正接近45nm(奈米),而在光微影= =使用之m綠仍轉於193ηιη。在最小特彳狀作光微^ 製程中所使用之光源的波長之_差值岐義為鎌影^距。^ 光微影差距增加時,光微影製程的解析能力便會下降。 田 當遮罩上之每一形狀與光互相作用時,即產生干涉圖案; 自鄰近形狀之干涉圖案可產生建設性或破壞性干涉。在建^性 涉的情況下,可能不慎地產生不必要的形狀;在 ^ 況下’可能不慎地移動缝之形狀。在任—種情況下, 預期者不同之方式印刷出特別的形狀,如此可能引起裝置故障。 ^正方法例如光學近跡正法(OTC)嘗試由_雜預測並修改 遮罩,使可依需求來製造所印刷之形狀。隨著製程幾何收缩 交互作聽職雜’光交簡賴_品質正在 有鑑於上遮,當科技繼續朝向更小半導體襄置特徵部 展時,吾人需要一解決之道以處理微影間隙議題 201214670 【發明内容】 —在一實施例中揭露了一種半導體裝置,該裴置包含—基板及 定義於該基㈣之—些擴散區,該等擴散區储魏基板= 動區,彼此分離。該裝置亦包含一些線關減道,其係經 f沿單-共同方向而延伸越過基板上方,每軌道係 由-或多個線形閘極片段加以定義。將延伸越 散^ 區上方之每—線形間極軌道定義成用以最小化該^= ,執道内之相鄰線形閘極片段的端部之關分隔距離, ϊίίίίΐΐίΐ間有適當的電絕緣;此外,將線形閘極片段 疋義成具有可變長度,以賦予邏輯閘功能。 在另一實施例中揭露了一種半導體裝置,該裝置包含一美 ^將:些擴散區定騎該基板内,以界定電晶體裝置所用之= 2二體裝置亦包含—些沿一共同方向而定位於該基板上方 ΐϊίΐ ’若干線關極片段係設置於擴散區上方,而設 ;κ散區上方之每-線糊刻段包含經定義於 主動部分及經定義成在該基板除了該擴散區以外之上方^ 产外’將線形閘極片段定義成具有可變長 υίΐ 能。該半導體裝置更包含—些設置於該線形 ^亟片&上方之-南度内之線形導體片段,以便可以一實 片ίί段之共同方向相交叉L線形_ 之共同線内之相鄰線形導體片段 另只細*例中揭露了一種閘極接點,該閘極接點包含由一 ί ί Η ^其長度之一實質上均句的横截面形狀加以定義之線形導 將該線形導電性片段定位成使其長度沿實質上垂直於 導ΐ性片段之下層閘極的方向延伸,將該線形導 片段與大於該下層閑極的寬度,使該線形導電性 在另-實施例中揭露了-種接點布局,該接點布局包含一些 201214670 定義在映射越過基板之共用栅上之接點。該接點布局亦包含一些 次解析度接點,其係定義於共用柵上以圍繞每一接點。將每一& 解析度接點加以定義,以避免在微影製程中之描繪(rendering),同 時強化接點之解析度。 本發明之其他態樣及優點將由下列結合附圖的詳細說明、及 藉由本發明之實施例加以闡明而變得更明顯。 【實施方式】 在下列說明中敘述了許多特殊細節,以便提供對本發明之徹 j了解。然而,熟悉此項技藝者應明瞭:本發明在無這些特殊細 即之全部或某部分的情況下仍可加以實施。在其他例子中, 免不必要地混淆本發明,並未詳細描述已熟知之製程操作。 一般而言,吾人設置動態陣列結構以因應與持續增加之微哥 if製2化性。在半導體製造領域中,係“ it義概部的最小尺寸與用以在微影製程中產 巧徵部之級長之關差距,其中特徵部尺寸小於光 =微影製程係利用1細之光波長;然而,目前特徵部尺^小至 nm’且預期不久便會逼近小至45nm 。鮮^ ^ ί互之光波長的3倍』考= 曝光約 =部尺寸為⑽時,_ 9〇mn尺寸的特徵部,約有多丄ϋ應,·相争乂於 位在―統之交糊半徑65騰轉徵部可能 特徵目增加’對-特定 生之光交互作用的類型有聯的特殊形狀便對所發 .容觸蝴增 201214670 ΐ i! ,即在—特定遮罩中),設計者可能已定 .二雉變 通常無法預測之減發生交互_,隨著特ϋ二=複雜且 變/1、,#·夺讀用俞报魅·者特^尺寸及相對間距 具有互相圍繞之彎曲(bends)的二維變化特徵叹:可月匕 之位置彼此^時,以使特徵部曝二維變化 變小’光交互作用愈形複雜且無法預測 習知上’若設計者遵循所建立之今許 具有與設計規則組相關聯之特定機率kL果之產1 3出將在 反設計規則組之設計而言,成功製造妹=二否則,就違 關注成功產品製造方面,為說明鄰近二維^ 1交互作用,便將設計規則組大幅擴張 特,部之可能組合。此舰之設計規職迅速 禮二 j谷易出錯。例如,擴張之設計規則組需她_驗息又叩二 貝處處適用;此外,即使私所有設計^ ,膽.在產生任意形狀之二維特徵日 塵^ 能之光交互作用通常無法實行;此外,亦可。 ίίίί邊ί作二維變化特徵部之間的無法預ί之s 樸’故設魏财包含大量翁(咖_;軸 Γ:ί2ΐί中ΐ助了包含相鄰二維變化特徵部之布局部分加 發生超規城得不包含轉二賴化概部之布局部分 王 .义化性可包含CMP碟形效應、因光微影、間極失真、氧 201214670 化物厚度變化性、佈植變化性、及其他製造相關現象所致之布局 4寺徵部形狀失真。本發明之動態陣列結構係經定義成可說明上述 半導體製造程序變化性。 —圖1顯示根據本發明一實施例之若干布局特徵部及用以產生 母布局特徵部之光強度,尤其所顯示之三相鄰線形布局特徵部 (ΙΟΙΑ-lOic)係以實質上平行之關係而設置於一特定遮罩層内。來 自一布局特徵部形狀之光強度的分佈係由sinc函數加以表示,shc 函數(103A-103C)表示來自布局特徵部中每一者(分別為 ==-1010之光強度的分佈,相鄰線形布局特徵部(1〇1Α_1〇ι 函數(ig3a-ig3c)之波峰的位置處相隔開,如此,與相 泉=布局特徵部(1〇1A_1〇lc)相關聯之光能量之間的建設性 二函數°°3A_1G3C)之波峰處,可以增強所例示之布 表狀光。與前述-致’在圖 線^ H二斤^當吾人以一規則重複圖案及一適當間距來定義 一布局特徵部之曝光。由細生光干涉所提供 生“特幅地降低甚至消除使用充份地產 之^ 先學近接修正(opc)及/或初縮遮罩增強技術 徵部相關聯以二j度使得j-布局特 性重聂之虑的太£^干必須預測將發生sine函數波峰之建吟 以處且的朝布同局一== 則可實現sine函數波峰之可J Ji:,W〇lA_101C)所示, 頂測建5又性重疊及相對應之布局特徵 201214670 部形狀增強。以此方式,可利用來自相鄰布局特徵部形狀之共振 光能量’以增強特殊布局特徵部形狀之曝光。 " ,2顯示根據本發明一實施例之用以定義動態陣列結構之— ,化疊層。應明瞭:吾人並非欲以如關於圖2所示之用以定義動 態陣列結構之一般化疊層來完全代表CM〇S製造程序;.然而,吾 人將根據標準CMOS製造程序來建立動態陣列。一般而言,動態 陣列結構包含動態陣列之下層結構之定義及用以將區域使用最佳 化之動態陣列之組裝技術兩者。因此,吾人設計動態陣列以將半 導體製造能力最佳化。 ^關於動態陣列之下層結構之定義,係將動態陣列以層狀方式 δ又置於基底基板201上,例如在石夕基板或絕緣層上覆石夕(s〇I)基板 上。將擴散區203定義於基底基板201上,擴散區203代表基底 基板201之選定區’為調整基底基板2〇1之電氣性質的目的而將 雜質引入該選定區内部。將擴散接點2〇5定義在擴散區203上方, 以連接擴散區203與導體線,例如定義擴散接點205以連接源極 及没極擴散區203與其個別導體網;又,將閘極特徵部2〇7定義 於擴散區203上方以形成電晶體閘極。定義閘極接點2〇9以連接 間極特徵部207與導體線’例如定義閘極接點209以連接電晶體 閘極與其個別導體網。 將互連線層定義於擴散接點205層及閘極接點層209上方。 ,連線層包含第一金屬(金屬1)層211、第一通孔(通孔1)層213、 第二金屬(金屬2)層215、第二通孔(通孔2)層217、第三金屬(金屬 3)層219、第三通孔(通孔3)層22卜及第四金屬(金屬4)層223, 金屬及通孔層能夠電連接各種不同擴散接點2〇5與閘極接點 209 ’使電路的邏輯功能得以實現。應明暸動態陣列結構並不限於 特定數目之互連線層(亦即金屬及通孔層),在一實施例中,除了第 四金屬(金屬4)層223以外,動態陣列尚可包含額外互連線層225; 或者’在另一實施例中’動態陣列可包含少於四個金屬層。 定義動態陣列’使(除了擴散區層203以外的)膜層在關於可定, Z- 8 201214670 義於其中之布局特徵部形狀受到限制。且+ 層203以外的各層中,僅容許線形布乃“邱5二在除了擴散區 之線形布局特徵部之特徵在於具有二^ 一特定膜層中 結構。擴散區203不需要為—維變維變化之 基板之頂面-致之平面的任何 有關於與 制了擴散彎曲拓樸之數目,你妹形狀在一貫施例中,限 之導電材料(例如多晶石夕)之^交互 曲與形成電晶體閘極BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a semiconductor device, and more particularly to a semiconductor device having a dynamic array structure with a variable resolution. 13 shirts [prior art] With regard to higher performance and smaller grain size, the semi-conducting year is forced to reduce the area of the circuit by about 50%, reducing the "area for the movement to the surgery provides economic benefits. Reduce the 5G% The wafer area is achieved by reducing the size to between 25% and 30%, and can reduce the feature size and white manufacturing equipment and materials. For example, the improved photolithography process can be achieved. = Good chemical mechanical grinding - . In the development of lithography - when the minimum feature size is close to the wavelength of the light source that the feature is exposed to, the non-pre-action between adjacent features occurs. Today's minimum feature size It is close to 45nm (nano), and in the light lithography = = m green is still used to turn to 193ηιη. The wavelength of the light source used in the minimum characteristic 光 光 光 镰 镰 镰 镰 镰^距。^ When the optical lithography gap increases, the resolution capability of the photolithography process will decrease. When each shape on the Tiandang mask interacts with light, an interference pattern is generated; interference patterns from adjacent shapes can be generated. Constructive or destructive interference. In the case of the case, an unnecessary shape may be inadvertently produced; in the case of 'theft may be inadvertently moving the shape of the slit. In any case, the intended one prints a special shape in a different manner, which may cause malfunction of the device. ^ Positive methods such as optical near-track positive method (OTC) attempt to predict and modify the mask by _ miscellaneous, so that the printed shape can be made according to the requirements. With the geometrical contraction of the process, the interaction is miscellaneous. In view of the above, when technology continues to move toward smaller semiconductor device features, we need a solution to deal with the lithography gap issue 201214670. [Invention] A semiconductor device is disclosed in an embodiment. The device includes a substrate and a diffusion region defined by the substrate (4), the diffusion region is separated from the movable substrate and separated from each other. The device also includes some line-cutting lanes, which are along a single-common direction And extending over the substrate, each track is defined by - or a plurality of linear gate segments. Each of the linear interpole tracks extending over the region is defined to minimize the ^= The ends of adjacent linear gate segments are separated by a distance, and are electrically insulated; in addition, the linear gate segments are sizable to have variable lengths to impart a logic gate function. In another embodiment Disclosed is a semiconductor device comprising: a diffusion region positioned in the substrate to define a transistor device for use; the second body device also includes - some are positioned above the substrate in a common direction. 'Several line-off segments are disposed above the diffusion region, and each-line paste segment above the κ-scatter region is defined as being defined in the active portion and defined above the substrate except for the diffusion region 'Defining a linear gate segment to have a variable length. The semiconductor device further includes a plurality of linear conductor segments disposed in the south of the line and above, so that a real slice can be used. The common direction intersects the adjacent linear conductor segments in the common line of the L-line _. The other is a thin gate. The gate contact includes a λ ί Η The linear guide defined by the cross-sectional shape of the upper average sentence positions the linear conductive segment such that its length extends in a direction substantially perpendicular to the gate of the lower layer of the conductive segment, and the linear guide segment is larger than the lower layer The width of the poles causes the linear conductivity to be disclosed in another embodiment - a contact layout comprising a number of contacts defined by 201214670 over a common grid mapped across the substrate. The contact layout also includes a number of sub-resolution contacts that are defined on the common grid to surround each contact. Each & resolution point is defined to avoid rendering in the lithography process while enhancing the resolution of the contacts. Other aspects and advantages of the invention will be apparent from the description and appended claims appended claims [Embodiment] A number of specific details are set forth in the following description in order to provide a thorough understanding of the invention. However, it will be apparent to those skilled in the art that the present invention may be practiced without all or a particular portion of these particular details. In other instances, the invention has been unnecessarily obscured and the well-known process operations have not been described in detail. In general, we set up a dynamic array structure to cope with the ever-increasing micro-information. In the field of semiconductor manufacturing, it is the gap between the minimum size of the iteration and the level of the component used in the lithography process, wherein the feature size is smaller than the light=lithography process using a fine wavelength of light; However, the current feature size is as small as nm' and is expected to approach as small as 45nm in the near future. 3 times the wavelength of the light of the fresh ^ ^ ί" test = exposure about = part size is (10), _ 9〇mn size The characteristic part, about the number of 丄ϋ , 、 、 、 、 、 、 、 、 、 、 、 、 、 、 、 、 、 、 、 、 、 、 、 、 、 、 、 、 、 、 、 、 、 、 、 、 、 、 、 、 、 、 、 The sentiment is increased by 201214670 ΐ i! , that is, in the specific mask, the designer may have determined. The second metamorphosis is usually unpredictable and the interaction occurs _, with the special = = complex and variable / 1 ,·#################################################################################################### Smaller 'light interactions are more complex and unpredictable. 'If the designer follows the established There is a specific probability associated with the design rule group kL fruit production 1 3 out will be in the design of the anti-design rule group, successful manufacturing sister = two otherwise, it is against the successful product manufacturing aspect, to illustrate the proximity of two-dimensional ^ 1 The interaction will greatly expand the design rule group and the possible combination of the department. The design of the ship is quick and easy to make mistakes. For example, the design rule group of the expansion needs her _ test interest and the application of the second place; In addition, even if all the design ^, biliary. In the creation of arbitrarily shaped two-dimensional features, the light interaction of the dust can not be carried out; in addition, can also be. Unconditional between the two-dimensional variation features The s Park's predecessor Wei Cai contains a large number of Weng (cafe _; axis Γ: ί2ΐί ΐ ΐ 包含 包含 包含 包含 包含 包含 包含 包含 包含 包含 包含 包含 包含 包含 包含 包含 包含 包含 包含 包含 包含 包含 包含 包含 包含 包含 包含 包含 包含 包含 包含 包含 包含 包含The deuteration may include CMP dishing effect, photolithography, inter-polar distortion, oxygen 201214670 compound thickness variability, implant variability, and other manufacturing-related phenomena resulting in layout distortion. Dynamic The column structure is defined to account for the variability of the semiconductor fabrication process described above. - Figure 1 shows a number of layout features and light intensity for generating a mother layout feature, in particular three adjacent lines, in accordance with an embodiment of the present invention. The layout features (ΙΟΙΑ-lOic) are disposed in a specific mask layer in a substantially parallel relationship. The distribution of light intensity from the shape of a layout feature is represented by a sinc function, shc function (103A-103C) Representing the distribution of light intensities from each of the layout features (==1010, respectively, and the positions of the peaks of the adjacent linear layout features (1〇1Α_1〇ι function (ig3a-ig3c) are separated), thus, The illustrated cloth-like light can be enhanced at the peak of the constructive two function °3A_1G3C) between the light energy associated with the phase spring = layout feature portion (1〇1A_1〇lc). With the foregoing - in the figure ^ H jin ^ when we have a regular repeating pattern and an appropriate spacing to define the exposure of a layout feature. Provided by fine-grained light interference, "specially reduce or even eliminate the use of sufficient real estate ^ first learning proximity correction (opc) and / or priming mask enhancement technology associated with the two degrees to make j-layout characteristics Too many considerations must be predicted that the sine function peak will occur in the same direction as the opposite side of the board == then the sine function peak can be achieved J Ji:, W〇lA_101C), top test The 5th aspect overlap and the corresponding layout feature 201214670 shape enhancement. In this way, the resonant light energy from the shape of the adjacent layout feature can be used to enhance the exposure of the special layout feature shape. " , 2 shows according to An embodiment of the present invention is used to define a dynamic array structure. It should be understood that we do not intend to fully represent CM〇S as shown in Figure 2 for defining a generalized stack of dynamic array structures. Manufacturing Process; however, we will build dynamic arrays based on standard CMOS fabrication procedures. In general, dynamic array structures include the definition of the underlying structure of the dynamic array and the assembly technique of the dynamic array to optimize the use of the region. Therefore, we design a dynamic array to optimize the semiconductor manufacturing capability. ^About the definition of the underlying structure of the dynamic array, the dynamic array is placed on the base substrate 201 in a layered manner, for example, in Shi Xi The substrate or the insulating layer is overlaid on the substrate (s〇I). The diffusion region 203 is defined on the base substrate 201, and the diffusion region 203 represents the selected region of the base substrate 201 for the purpose of adjusting the electrical properties of the base substrate 2〇1. The impurity is introduced into the selected region. The diffusion contact 2〇5 is defined above the diffusion region 203 to connect the diffusion region 203 with the conductor line, for example, the diffusion junction 205 is defined to connect the source and the non-polar diffusion region 203 with each other. a conductor mesh; again, a gate feature 2〇7 is defined over the diffusion region 203 to form a transistor gate. The gate contact 2〇9 is defined to connect the interpole feature 207 with the conductor line 'eg, define a gate junction Point 209 is connected to the transistor gate and its individual conductor mesh. The interconnect layer is defined above the diffusion contact 205 layer and the gate contact layer 209. The wiring layer comprises a first metal (metal 1) layer 211, a through hole (through hole 1) layer 213, a second metal (metal 2) layer 215, a second via (via 2) layer 217, a third metal (metal 3) layer 219, a third via (via 3) layer 22, and a fourth metal (metal 4) Layer 223, metal and via layers can electrically connect various diffusion contacts 2〇5 and gate contacts 209' to enable the logic function of the circuit. It should be understood that the dynamic array structure is not limited to a specific number of interconnects Layers (ie, metal and via layers), in one embodiment, the dynamic array may include additional interconnect layers 225 in addition to the fourth metal (metal 4) layer 223; or 'in another embodiment' The dynamic array may comprise less than four metal layers.Defining the dynamic array 'describes the film layer (other than the diffusion region layer 203) in relation to the shape of the layout feature in which Z-8201214670 is defined. In the layers other than the + layer 203, only the linear cloth is allowed. "The linear layout feature except the diffusion region is characterized by having a structure in a specific film layer. The diffusion region 203 does not need to be a dimensional change dimension. The top surface of the changing substrate - any of the planes that are related to the number of diffusion curved topologies, the shape of your sister in a consistent application, limited conductive material (such as polycrystalline eve) Transistor gate

力:,化,在一特定膜層中之線 :J 相千订,如此’在一特定膜声中 成彼此互 上方並與基 Μ;ί^^ ^ 2〇7^223 涉來影製程中光波的建設性干 声hr之相鄰形狀之曝光。因此,係將一特定趙 丨王尤十/步,使微影修正(例如op 并政又遷〇又 較於習知基於orc贿之微影製程,此)處::此,相 之光駐波將如何互相作用,因ς:忿j局j徵部相關聯 部之間的光交互作用能夠鑑別使支冗= 則,鄰線形_ 間之間隔定義麟徵·距,、— '日中之特徵部 線形特徵部之間的令心至令心之分隔;;1 離為一特疋膜層中之相鄰 201214670 膜層;之線形光強化,將在-特定 及破壞斜涉她t,二生所===== 之每-特徵部的光將可強化該特定寺===長j離内 严所述,動態陣列包含限制拓樸,其令 ,為以平行方式排列而以—共同之# ”亦即本質上達成將布局 圖3Α |員示根據本發明一實施例之待映射至動態陣列以 示基本網格。可利用基本網格加以辅助,而將 2寺徵部以適當之最佳化間距平行排列於動態陣列之各層中。子 ΪΪΪΪ上亚未將基本網格定義成__的一部分,但其可被 之各層上的映射;此外,應瞭解:基本網格係以 ^在動態_之各層上之位置實紅—朗方式而被映射,如 此辅助精確特徵部疊層及排列。 在圖3Α之示範實施例中,係根據第一參考方向(χ)及第二參 考方向(^)而將基本網格定義成矩形網格(亦即直角基本網格)。可依 ,要,定義在第一及第二參考方向上之格點至格點之間隔,以便 忐夠定義具有最佳特徵部至特徵部之間隔的線形特徵部;此外, ^第一方向(X)上之格點間隔可與在第二方向(y)上者不同。在一實 知例中’係將單一基本網格映射遍及整個晶粒,以便能夠使在每 一^中之各種不同之線形特徵部設置遍及整個晶粒;然而,在其 他實施例中,可將個別基本網格映射遍及晶粒之獨立區域,以支 201214670 域内之特徵部間的不_距要求。圖3β顯示根 ίίί 貫施例之待映射至整個晶粒之獨立區域的獨立基 而加格慮光f互作用功能(亦即sine函數及製造性能) 備及赞’該製造性能储*制於製造動態陣列之設 Ιίίίίίίί ° _敍互作用功能,係紐本網格定義成 r端點位置及其層= 307所定義之實質上矩形之横截 =^度度 ^至-長度。在-實施例中,線==〇 〇==延 二及高r。7所定義者,沿著其長度 的圓化二a 寺徵部 動態陣列上之線形特徵部之例示^向考2=及以說明 3〇1定位成使其長度305沿第一參考方向^^形特徵部 相對於第-及第二參考方向⑻及考方向(y)或 特徵部關於第-及第二參考方向^2 J額延伸。不論線形 部係被ί義在實質上平行於設置動板s ;::平上二應彎瞭= 圖3D顯示根據本發明一實施例 — ,,成可與動態陣列相容。線形 317, 上見315、及高卿所定義之梯形横截面,線形特^^ 201214670 線方向延伸至長度311。在一實施例中 在其長度311 *向上為實質上均句二而,=γΐ7之橫截面 能會引起線形特徵部317之端部的圓化 j解·微影效應可 參考方向(X)及(浙_以朗_ _上 ^之第-及第二 向,.應明瞭:可將線雜徵部317定 ^^寺^之^示位 考方向⑻、第二參考方向(y)或相對於第1第、===第一參 =對=方向延伸。不論_寺徵部317關二 質上平行於設置動態陣列之基板之頂面的平面上。,被 線形特徵部317在由第-及第:參考方向, 曲部分(亦即方向變化)。 (狀千面上並無、考 綠筝ΪΞ Γ 3H3D分別清楚地討論了具有矩形及梯形橫截面之 瞭解亦可將具有其他橫截面類型之線形特徵部定 助。因此,本f上任㈣合橫截_狀之線形特徵 部均可使用’只要將_舰較義成具有在—方向上 且雜成使其長度沿第-參考方向⑻、第二參考方向⑺或相 對於第二及第二參考方向⑻及ω之對角線方向延伸即可。(〆 、動態陣列之布局架構遵循基本網格圖案。因此,可利用格點 來代表在擴散時方向變化發生於何處、閘極及金屬特徵部設置於 何,、接點位於何處、在線形閘極及金屬特徵部中之開口位於何 處等。應針對一特定特徵部線寬(例如圖3C中之寬度303)而設定 格點之間距(亦即格點至格點之間隔),使該特定特徵部線寬之相鄰 線形特徵部之曝光將彼此強化,其中該線形特徵部係集中於格點 上。在一貫施例中,參照圖2之動態陣列疊層及圖3A之例示基本 網,’第一參考方向(X)上之格點間隔係藉由所需之閘極間距加以 设定。在此相同之實施例中,係藉由金屬〗及金屬3間距來設定 第二參考方向(y)上之格點間距,例如在90nm製程技術(亦即最小 特徵部尺寸等於90nm)中,第二參考方向(y)上之格點間距約為0.24 微米。在一實施例中’金屬1及金屬2層將具亦一共同間距及間 12 201214670 隔’ ϊίί屬2層上方亦可使用不同間距及間隔。 將各種不同之動態陣列層定義成使鄰近 以彼此交又之方式延伸。舉例而言 ^ 特徵部係 交方式延伸,亦即彼此垂直;料,^神可以正 約45度)延伸越過鄰近層之線形^部:例:邛:以;! 例中,-層之線形特徵部沿第—參考 j例如在一實施 形特徵部則關於第—(x)及第二近層之線 明瞭:為了在且有以交叉方罢 ^角線方向延伸。應 動態陣列中進^^叶,可將= =膜層上之線形特徵部之 通孔則可依需要純定義 ^於_魏部巾,而接點及 I法職巾f ^分之彻最小化,以消除 -if父互作用。具體而言,在施行OTC $其他S 处别,動態陣列容許擴散層冲之彎曲能夠_制+ =在擴散層上方之謝之彎曲部分; 二it部為直線形(例如圖3C),且係彼此平i設置。布^ 實施例中’布局特徵部:直線形狀及平行^置造性。在- 穿金屬_si〇nthrou細taJ2上方層f 散 屬2上方,布局特徵部可具有 0 、^ 1 。金 形狀,以麵抑碰干涉之《分尺寸及 案化,存在建設性光干g能屬2上方之布局特徵部圖 Μ ί參,日ί圖4至14來說明由擴散穿金屬2增建動離陣列声之蔚 ί4 ^14 ; 便_具質上疋義任何積體電路設計。 局。圖圖44之本Γ—f施例之例示動態陣列之擴散層布 下層1本絪格t二一p擴散區401及n擴散區403,當根據 曰土 、’周才來疋義擴散區時,擴散區並不.受到與擴散層上方之 13 201214670 膜層相關聯之線形特徵部限制。擴散區4〇1及403包含定義於將 於該處設置擴散接點的擴散方塊4〇5,擴散區401及403不包含無 關之凸出部或稜角’如此可改善微影解析度之使用並致能更精確 之裝置取出。此外,係將n+遮罩區(412及416)及p+遮罩區(410 及414)定義成在⑻,(y)網格上無無關之凸出部或缺口之矩形,此 類型容許採用較大擴散區、不需要〇]PC/RET,且能夠使用較低解 析度及較少成本之微影系統,例如在365nm下之丨線照明(i_line illumination)。應明瞭如圖4所示之奸遮罩區416及p+遮罩區410 ,用於並j使用充分偏壓(well_biasing)之實施例,而在使用充分偏 壓之另一實施例中,圖4中所示2n+遮罩區416實際上將會被定 義成p+遮罩區。此外,在此可供選擇之實施例中,.圖4中所示之 P+遮罩區410實際上將會被定義成n+遮罩區。 圖5顯示根據本發明一實施例之閘極層及擴散接點層,其係 ,於圖4的擴政層上方並與該擴散層相鄰。如熟悉技蔽人 ^極特徵部5G1定義電晶體閘極,而吾人將閘極特 ^平行關係沿第二參考方向(y)横越動態陣列之線 二 例中,係將閘極特徵部501定義成具有-共 = #在另—實施例$,可將—或更多閘極特徵部定義 例如圖5即顯示相對於其他間極特徵部5〇1具 由寬亡之閘極特徵部501Α。使閘極特徵部501之間距(中心至 旦最小化,同時確保由相鄰間極特徵部501提供Ϊ佳微 陣列之閉極特徵部5〇1稱為^特疋直線延伸越過動態 當閘極特徵部501穿過擴散區4〇3及4〇1 通道及Ρ通道電晶體。最佳閘極特徵部5〇 =,成η 格位置處緣製出間極特徵部5()1 J 由在母一網 ,極特徵部5〇1易於在閘極特徵部之 =^的^ 細㈣sh。—鮮又,當所細部分 14 201214670 501移除時,便會明顯地改善閘極印刷。 勒、代f殊麵舰之輯電,每一閘極 數、二„頁貝動恕陣列之方式被中斷(亦即.丁斷)任意次 ί二f定閘極執道時’使在中斷點處之閘極軌道片 的Γ隔最小化至可能考慮製造效應及電效應的程 ,。在-貫_中,當在特定層内之特徵部之剛賴—共同之端 邠至端部間距時,便達到最佳可製造性。 ,之閘極轨道片段的端部之間的間隔最小化可使 所提供之微影強化及其均勻性最大化。此外,在 彼:低二夕極執道需要加以中斷’即以使個別中斷點 斷方式來中__極軌道’以儘量避免鄰近點發生中 將相鄰’執道内之十斷點分別設置成使得 杯、卜太^;歧中斷點,其中該視線被視為以與閘極軌道於基 板上方ι伸之方向垂直之方式延伸。另外,在一實施例中, ΐ延子(亦即pmqs或觸s格)之頂部或底部處的邊 界’此實鉍例會使得鄰近格能夠橋接。 ㈣擴散接點503係被定義於每一讎方塊衝處, -於散2強擴散接點之印刷。擴散方塊405存在於每 線?:=附近’以增強在擴散接點503處之電源及接地連 Μ而^極=^501及擴散接點5〇3共用一共同網格間距;更具 網格^ f 部5〇1之配置相對於擴散接點5〇3而言偏移1/2 〇 ΓΓ則間極特徵部501及擴散接點503之網格間距為 數倍r 設置成使其中心之χ坐標落在〇.36卿之整 〇 "々敫♦祕特徵部501中心之X坐標減去〇.18μιη應該為 .在本實施例中,χ坐標係由下列式子加以表示: 枯::中心之Χ坐標=Ρ〇.36μΐη,其中1為網格數目; 數目閘極特徵部中心之χ坐標=〇18μπι +ρα36μιη,其中χ為網格 15 201214670 〇3em, 的水上;又,90·技術之垂直網格約為^ 崎製成俾能夠將閉極特徵部5〇1連接中=極g ;====,上在 點於該格子的中心内而將白空間最小化;此外,應之 二閘極接點601在垂直於閘極特徵部501:方向上 重i有超大寸,以確保閘極接點601與閘極特徵部則之間有 在圖知方法’例如多晶剌寺徵部。 二ίϊίΓ閉極區707在閘極中引進了距離705 & 了 === 時,閘極線的扭曲尤其會引起問題。又H體長度相冋 圖7Β顯不;^艮據本發明一實 Ϊί晶點_製成^^ S $ ’且沿貫質上垂直於閘極特徵部5〇1 $ =03之二直維度相同。例如若將擴散接點5。3 而職_ 6G1之垂直維銳製於叫瓜。ί 八 中’可將閘極接點6〇1 I會製成使得垂直維度703 &. 16 601 201214670 與用於擴散接點503之垂直維度不同。 在一實施例中,係將閘極接點6〇1在閘極 保閘極接點6G1與間極特徵部501之 接觸’其中該最大表面積接_由閘極特徵部501 圖8Α顯示根據本發明一實施例之金屬1層,其係經 6之閘極接闕上方並與之相鄰。金屬i層 執 =2二義成可包含以平行關艇伸越過‘陣歹丄《 特被淖。在圖5之下方閘極層中,金屬丨執道8〇1_821 垂直於閘極特徵部501之方向延伸,如此,在本例中, 道801-821沿第-參考方向(χ)直線地延伸越過動態陣列,I屬1 軌道80=21之間距(中心至中心的間隔)得以最小化,同時確保由 鄰近金屬1軌道801-821所提供之微影強化之最佳化(亦即共^成 實施例巾,金屬1執道8G1·821集巾在用於90聰 製私技術之約0·24μιη之垂直網格上。 、為提供用於待施行之特殊邏輯功能之所需電連接,每一金屬工 執道8〇1_821可沿直線地橫貫動態陣列之方式被中斷亦即打任 意次數。當需要中斷一特定金屬〖軌道8〇1_821時,使在中 之金,1軌道片段的端部之間的間隔最小化至可能考慮製造效應 及電,應的程度。將在情點處之金屬2軌道片段的端部之間g 間,小化’可使㈣近金屬丨執道供之微影強化及其均句 性取大化。此外,在一實施例中,若相鄰金屬丨軌道需要加以 斷,即以使個別中斷點彼此偏矛多之方式來中斷相鄰金屬1執道, 以儘量避免鄰近點發生情。更具體而言,係將相鄰金屬i 内之中斷點分別設置成使得視線不存在於所有中斷點,其中該 線被視為讀金屬1軌道於基板上方延伸之方向垂直之方式延伸。 17 201214670 在圖8A之實施例中,金屬1執道801係連接至接地供應器, 且金屬1執道821係連接至電力供應電壓。在圖8A之實施例中, 金屬1執道801及821之寬度與其他金屬1軌道803-819相同;然 而,在另一實施例中,金屬1執道801及821之寬度大於其他金 屬1執道803-819之寬度。圖8B顯示圖8A之金屬1層,其金屬 1接地及電力軌道(801A及821A)相對於其他金屬1軌道803-819 而言具有較大之軌道寬度。 金屬1轨道圖案最佳地係用以將「白空間」(未被電晶體佔據 的空間)之使用最佳化。圖8A之實施例包含兩個共享金屬1電力 執道801、821及九個金屬1訊號執道803_819。金屬1執道8〇3,8〇9, 811及819被定義成閘極接點軌道,以便將白空間最小化;定義金 屬1軌道813, 815及817以連接p通道源極及汲極;此外,若不 需要連接,則可利用九個金屬.1訊號軌道803-8〇9中任一者來作為 饋通,例如金屬1執道813及815係用作饋通連接。 圖9顯示根據本發明一實施例之通孔1層,其係經定義於圖 8A之金屬1層上方且與之相鄰。通孔丨被定義於通孔1層中, 以使金屬1執道801-821連接至較高高度之導線。 θ 圖顯示根據本發明一實施例之金屬2層,其係經定義於圖 9方均且與之娜。金屬2層包含若干較義成以水平 方向Ut跨越動树狀線形特卿之金屬2執道 ==上垂直,圖8A之下方金屬1層中之=1 極勅、首sni、方向且以貝質上平行於在圖5之下方閘極層中之 &在第t灸it,向延伸。如此,在本實施例中,金屬2執道_ 考方向(y)上直線延伸跨越動態陣列。 保由=1】執2道二 =間距心至中心間隔)最· 像)。庫明晻. 、斤楗供之微影強化可達最佳化(亦即共振成 較屬1層中相同之施行方式,= 5〇1間距及金屬Γ執又道=fn^。在一實施例中,閘極特徵部 執k間距相同。在另一貫施例中,接觸閘極間距 201214670 擴散接點之多晶輕多晶卵隔)大於金屬2轨道 施例中,係將金屬2執道間距任意地設定為接觸閘 Ϊ ί或3/4,如此,在此實施例中,閘極執道及 ^母兩丨_極轨道間距及每三個金屬2執道間距處對齊。例如, ϊ 最佳接觸閘極執道間距為g.36陶,最佳金 道,距為〇.24pm。在另-實施例中,閘極軌道及金屬2轨 間距及每四個金屬2間距處對齊。例如,在90啦 觸_執道間距Α Ο·3%" ’最佳金屬2轨道 ,提供用於待施行之特殊邏輯功能之所需電 屬1 技金屬2執道1001時,使在中斷點處之金 ^應端Γ之間的間隔最小化至可能考慮製造效應及電 ψ.又字在中斷點處之金屬2軌道片段的端部之間的間隔 大使由鄰近金屬2軌道所提供之微影強化及其均句性最 即以使實施例中’若相鄰金屬2執道需要加以中斷,. 斷點彼此偏移之方式來中斷相鄰金屬2執道^儘 斷。更具體而言,係將相鄰金屬2轨道内之 視為以不存在於所有中斷點,其中該視線被 如!路、+、執道於基板上方延伸之方向垂直之方式延伸。 一參考方向閘極1上方之—特定金屬層中之導線可以與第 明瞭:新撼弟—苓考方向(y)一致之方向貫穿動態陣列;應更 之導線^以相實施例,在閘極層上方之—特定金屬層中 線方向橫貫動能^—參考方向⑻及第二參考方向(y)之第一對角 12〇卜12顯示根據本發明—實施例之導體軌道 向横貫動;;^對及第二參考方向(x)及⑺之第二對角線方 施行1及金屬2執道’為提供用於待 饵力此之所需電連接,圖11及12之橫貫對角線之 19 201214670 導體執道1101及·可沿直線地橫貫動態陣列之方式被中 即打斷)任意讀。.當需要情-肖定横貫對角線之導體執=亦 使在中斷點處之對角線導體執道的端部之間的間隔最小化至可A 考慮製造效應及電效應的程度。將在中斷點處之對角線 = 的端部之間關隔最小化,可使㈣近對練導體轨 ^ 微影強化及其均勻性最大化。 w /、 .動怨陣列内之最佳布局密度係藉由施行下列設計規則而達 到· 設置至少兩金屬1軌道横跨n通道裝置區; 設置至少兩金屬1軌道橫跨Ρ通道裝置區; 針對η通道裝置設置至少兩閘極執道; 針對η通道裝置設置至少兩閘極執道。 由微影之觀點來看,接點及通孔變成最困難之遮 於接點及通孔日益縮小、相距更近、且更雜亂分佈。 通孔)之間距及密度使得可靠地印出形_得極為困難^切^ 形狀可能由於來自相鄰形狀之破壞性干涉或在單獨 = 量而^不正確地印出。若係將切痕正確地印出,相關聯接點^ 士之製造產率極高。可設置次解析度接點㈣化真實接點^ 光’只要次解析度接點不會解體即可;χ,次解析度接點可 任何形狀,只要其小於微影製程之解析能力即可。 ’、 =3Α顯示根據本㈣—實施例之次解析度接點布局之實施 ,,該布局係肋透過鄉方式雜化接職·接點。、 ,析度接點1301似使其在郷祕之解析度^下且將 ,之方式形成’次崎度接點麗之魏騎過共振成像而辦加 在期望接點位置上(例如5〇3, 6()1)之光能量。在—實施 ^ ίΪίίΪί Ζ設置在網格上,使閘極接點6〇1及擴散接點5Q3 兩者均被微影強化,例如係將次解析度接點13〇1 =5〇3=格間距之一半的網格上,以對閘極接點撕及擴& 點503兩者造成正面影響。在一實施例中,次解析度接點^⑴之 201214670 垂直間距係依循閘極接點601及擴散接點503之垂直間距。 在圖13A中之網格位置丨3〇3表示相鄰閘極接點6〇丨之間的位 置。根據在製造程序中之微影參數,在此網格位置上之次解析度 接點1301將可能於兩相鄰閘極接點6〇1之間建立非期望橋接。= 可能產生橋接則可省略在位置1303上之次解析度接點13〇1。雖 然圖13A為顯示將次解析度接點13〇1設置於與待解析之真實特徵 部相鄰之處的實施例,應明瞭另一實施例可將次解析度接點設置 於每一可利用之網格位置上,以便填滿網格。 ” ° 圖13B顯示根據本發明一實施例之圖13A之次解析度接點布 局’其將次解析度接點定義成可填滿網格至可能的程度。應明瞭: 雖然圖13B之實施例以次解析度接點儘可能地填滿網格,仍避免 將次解析度接點設置於極可能在相鄰全解析特徵部之間 望橋接之位置處。. . ^ 圖HC顯示根據本發明一實施例之次解析度接點布局之實施 例,其係利用各種不同形狀之次解析度接點。可利用另外之次解 析度接點形狀,只要次解析度接點在製造程序之解析能力以下即 可。圖13C顯示可將光能量集中於相鄰接點之角落上之「X形 次解析度接點1305的使用。在一實施例中,係將Γχ形」次解^ 度接點1305之端部延伸,以更強化光能量於相鄰接點之角落 沉積。Force:, the line in a specific film layer: J phase thousand order, so 'in a specific film sound into each other above and with the base; ί ^ ^ ^ 2 〇 7 ^ 223 involved in the film process The exposure of the light wave's constructive dry sound hr to the adjacent shape. Therefore, the Department will make a specific Zhao Yu Wang especially ten steps, so that the lithography correction (such as op and political migration and more than the conventional accompaniment based on orc bribe, this):: This, the phase of the light station How the waves will interact, because the light interaction between the relevant departments of the j-department can identify the redundancy = then, the interval between the adjacent lines defines the lining and distance, and - 'day in the middle The center-to-heart separation between the linear features of the feature;;1 is adjacent to the adjacent layer of 201214670 in a special film layer; the linear light enhancement will be in-special and destructive to her t, two The light of each of the features ===== will be enhanced by the specific temple ===length j is determined by the internal strictness, and the dynamic array contains the restricted topology, which is arranged in parallel It is essentially achieved that the layout map is to be mapped to the dynamic array to show the basic grid according to an embodiment of the present invention. The basic grid can be used to assist, and the 2 temples are appropriately The optimized pitch is arranged in parallel in each layer of the dynamic array. The sub-array does not define the basic mesh as part of __, but it can be Mapping on each layer; in addition, it should be understood that the basic grid is mapped in a real red-language manner on the layers of the dynamic_, thus assisting in the precise feature stacking and arrangement. The basic grid is defined as a rectangular grid (ie, a right-angled basic grid) according to the first reference direction (χ) and the second reference direction (^). According to the definition, the first and second are defined. The spacing between the grid points and the grid points in the reference direction so as to define a line-shaped feature having the interval from the best feature to the feature; in addition, the grid spacing in the first direction (X) may be in the second The direction (y) is different. In a practical example, a single basic mesh is mapped throughout the die so that various linear features in each die can be placed throughout the die; however, In other embodiments, individual basic grids may be mapped throughout separate regions of the die to support the non-distance requirements between features within the 201214670 domain. Figure 3β shows the root map to be mapped to the entire die. Separate basis for independent areas Function (also known as sine function and manufacturing performance) and praised 'The manufacturing performance is stored in the manufacturing dynamic array's design. The interaction function is defined as the r end position and its layer= The substantially rectangular cross-section defined by 307 = ^ degrees ^ to - length. In the embodiment, the line == 〇〇 == extension two and high r. 7 defined by the rounding along its length An example of the linear feature on the dynamic array of the second a temple sign is 2 and is positioned such that its length 305 is along the first reference direction with respect to the first and second reference directions. (8) and the test direction (y) or the feature portion extends about the first and second reference directions ^2 J. Regardless of the linear portion is substantially parallel to the set moving plate s;:: the upper two should be bent = Figure 3D shows that the array is compatible with the dynamic array in accordance with an embodiment of the present invention. Line shape 317, see 315, and the trapezoidal cross section defined by Gao Qing, the line shape ^^ 201214670 line direction extends to length 311. In one embodiment, the length 311* is substantially uniform, and the cross section of γΐ7 can cause rounding of the end of the linear feature 317. The lithography effect can refer to the direction (X) and (Zhe _ _ lang _ _ on ^ the first - and second direction, should be clear: can be lined with the Ministry of 319 to determine ^ ^ Temple ^ ^ position test direction (8), second reference direction (y) or relative In the first, === first parameter = pair = direction extension. Regardless of the _ temple sign 317 is parallel to the plane of the top surface of the substrate on which the dynamic array is disposed, the linear feature portion 317 is in the - and the following: the reference direction, the curved part (that is, the direction change). (There is no such thing as a kink ΪΞ Γ 3H3D clearly discusses the understanding of a rectangular and trapezoidal cross section, and may have other cross sections. The linear feature of the type is assisted. Therefore, the linear feature of the cross-section of the fourth (four) cross-section can be used as long as the ship is compared with the in-direction and the hybrid is made to have its length along the first-reference direction (8). The second reference direction (7) or the diagonal direction of the second and second reference directions (8) and ω may be extended. The layout of the array follows the basic grid pattern. Therefore, grid points can be used to represent where the change in direction occurs during diffusion, where the gate and metal features are placed, where the contacts are located, the line gates and Where is the opening in the metal feature, etc. The spacing between the grid points (ie, the grid-to-lattice spacing) should be set for a particular feature line width (eg, width 303 in Figure 3C) to make the particular feature The exposure of adjacent linear features of the line widths will be enhanced to each other, wherein the linear features are concentrated on the grid points. In a consistent embodiment, reference is made to the dynamic array stack of Figure 2 and the exemplary network of Figure 3A, ' The grid spacing on the first reference direction (X) is set by the required gate pitch. In this same embodiment, the second reference direction is set by the metal and metal 3 pitch (y). The spacing between the grid points, for example, in the 90 nm process technique (ie, the minimum feature size is equal to 90 nm), the lattice spacing between the second reference directions (y) is about 0.24 microns. In one embodiment, 'metal 1 and Metal 2 layers will have a common spacing and between 12 20121 Different spacings and spacings can be used for the 4670 layers above the 2 layer. Different dynamic array layers are defined such that the adjacent ones extend in a manner that overlaps each other. For example, the feature lines are extended, that is, perpendicular to each other. ; material, ^ God can be about 45 degrees) to extend over the line of the adjacent layer: Example: 邛: to;! In the example, the linear feature of the layer along the first - reference j, for example, in an implementation feature The line of the -(x) and the second near layer is clear: in order to extend in the direction of the intersection, the angle of the line should be in the dynamic array, and the line of the linear feature on the film layer can be The hole can be defined as needed in the _Wei section, and the contact and the I method are completely minimized to eliminate the -if parent interaction. Specifically, in the implementation of OTC $ other S, the dynamic array allows the bending of the diffusion layer to be able to make += the curved portion above the diffusion layer; the second portion is linear (for example, Figure 3C), and Set each other flat. Cloth ^ In the embodiment, the layout features are linear and parallel. Above the metal layer _si〇nthrou fine taJ2 above the layer f, the layout feature may have 0, ^ 1 . The shape of gold, the size and the case of the surface interference interference, there is a constructive light-drying g can be above the layout of the feature part of the picture Μ ί ,, ί 图 Figure 4 to 14 to illustrate the extension of the diffusion through metal 2 Move away from the array of sounds ί4 ^14; _ _ qualitatively ambiguous any integrated circuit design. Bureau. Figure 44 is a schematic diagram of the embodiment of the dynamic array of the diffusion layer of the lower layer 1 of the 絪 t 二 二 p p diffusion region 401 and n diffusion region 403, when according to the bauxite, 'Zhou Cailai 疋 扩散 diffusion zone The diffusion region is not limited by the linear features associated with the 13 201214670 film layer above the diffusion layer. The diffusion regions 4〇1 and 403 include diffusion blocks 4〇5 defined at which diffusion contacts are to be provided, and the diffusion regions 401 and 403 do not include extraneous protrusions or corners. This improves the use of lithography resolution and Enable more accurate device removal. In addition, the n+ mask regions (412 and 416) and the p+ mask regions (410 and 414) are defined as rectangles having no extraneous projections or notches on the (8), (y) grid. Large diffusion regions, no need for 〇]PC/RET, and the ability to use lower resolution and less cost lithography systems, such as i_line illumination at 365 nm. It should be understood that the mask area 416 and the p+ mask area 410 as shown in FIG. 4 are used for the embodiment of the well-biasing, and in another embodiment using sufficient bias, FIG. The 2n+ mask region 416 shown in the figure will actually be defined as a p+ mask region. Moreover, in this alternative embodiment, the P+ mask region 410 shown in Figure 4 will actually be defined as an n+ mask region. Figure 5 shows a gate layer and a diffusion contact layer, which are above and adjacent to the diffusion layer of Figure 4, in accordance with an embodiment of the present invention. If the familiarity of the human pole feature 5G1 defines the transistor gate, and we traverse the gate of the dynamic array along the second reference direction (y) in the second reference direction (y), the gate feature 501 is defined. In the case of the other embodiment, for example, FIG. 5 shows a gate feature 501 宽 with respect to the other interpole features 5 〇 1 . The distance between the gate features 501 is minimized (the center is minimized, while ensuring that the closed pole features 5 〇 1 provided by the adjacent interpole features 501 are better than the linear extension over the dynamic gate The feature portion 501 passes through the diffusion region 4〇3 and the 4〇1 channel and the channel transistor. The optimal gate feature 5〇=, the edge feature is formed at the position of the η grid. The mother-net, the pole feature 5〇1 is easy to be in the gate feature=^^^^(sh)sh---, and when the thin part 14 201214670 501 is removed, the gate printing is obviously improved. On behalf of the f-special ship, the number of gates and the number of two gates are interrupted (that is, the Ding is broken) any time. The separation of the gate track piece is minimized to a process that may consider manufacturing effects and electrical effects. In the case of -, when the features in a particular layer are just after the common end to the end spacing, The best manufacturability is achieved. The minimization of the spacing between the ends of the gate track segments maximizes the provided lithography enhancement and uniformity. In addition, in the other side: the low eve will need to be interrupted 'that is, to make the individual break point break mode to __ polar track' to try to avoid the neighboring points in the vicinity of the adjacent 'defective ten break points are set to Making the cup, the cloth too; the break point, wherein the line of sight is considered to extend perpendicular to the direction in which the gate track extends above the substrate. In addition, in one embodiment, the ΐ 子 (also known as pmqs or touch s The boundary at the top or bottom of the grid 'This example will enable the neighboring cells to bridge. (4) The diffusion joint 503 is defined at each square block punch, - the printing of the scattered 2 strong diffusion joints. Diffusion block 405 Exist in each line?:== nearby to enhance the power and ground connection at the diffusion contact 503 and ^^501 and the diffusion contact 5〇3 share a common grid spacing; more grid ^ f The arrangement of 5〇1 is offset by 1/2 相对 with respect to the diffusion contact 5〇3, and the grid pitch of the interpole feature portion 501 and the diffusion contact 503 is several times r, so that the center coordinate of the center falls on 〇.36清的〇〇&々敫;々敫♦ Secret feature 501 center X coordinate minus 〇.18μιη should In the present embodiment, the χ coordinate system is represented by the following formula: ::: Χ coordinate of the center = Ρ〇.36μΐη, where 1 is the number of grids; χ coordinates of the center of the number of gate features = 〇18μπι + Ρα36μιη, where χ is the grid 15 201214670 〇3em, on the water; again, the vertical grid of the 90· technique is about ^ 崎 俾 can connect the closed-pole feature 5〇1 = pole g; ==== , the white space is minimized in the center of the grid; in addition, the two gate contacts 601 are super-large in the direction perpendicular to the gate feature 501: to ensure the gate contact Between the 601 and the gate feature, there is a method known in the figure, such as the polycrystalline temple. In the case of the closed-cell 707, when the distance 705 & === is introduced in the gate, the distortion of the gate line is particularly problematic. Further, the length of the H body is opposite to that of FIG. 7; according to the present invention, a solid Ϊ crystal point _ is made ^^ S $ ' and is perpendicular to the gate characteristic 5 〇 1 $ = 03 the same. For example, if the diffusion joint is 5. 3 and the vertical dimension of the _ 6G1 is made to the melon. ί 八 中' can make the gate contact 6〇1 I be made such that the vertical dimension 703 & 16 601 201214670 is different from the vertical dimension for the diffusion joint 503. In one embodiment, the contact of the gate contact 6〇1 at the gate gate contact 6G1 with the interpole feature 501 is where the maximum surface area is connected by the gate feature 501 FIG. A metal layer of one embodiment of the invention is connected over and adjacent to the gate of the gate. Metal i layer = = 2 义 成 可 can be included in the parallel boat to stretch over the ‘ 歹丄 歹丄 特 特 淖. In the lower gate layer of Fig. 5, the metal gate 8〇1_821 extends perpendicularly to the gate feature 501, so that in this example, the tracks 801-821 extend linearly along the first reference direction (χ) Over the dynamic array, the I-to-one track 80=21 spacing (center-to-center spacing) is minimized while ensuring the optimization of lithography enhancement provided by adjacent metal 1 rails 801-821 (ie, a total of The embodiment towel, the metal 1 obedient 8G1·821 towel on the vertical grid of about 0·24μιη for 90 Cong private technology. To provide the required electrical connection for the special logic function to be performed, each A metalworker 8〇1_821 can be interrupted in a straight line across the dynamic array, that is, any number of times. When it is necessary to interrupt a particular metal [track 8〇1_821, make the gold in the middle, the end of the 1 track segment The interval between them is minimized to the extent that it is possible to consider the effect of manufacturing and electricity. It will be between the ends of the metal 2 orbital segments at the point of interest, and the minimization can make the (four) near-metal shackles Shadow enhancement and its uniformity. In addition, in an embodiment, if adjacent metal The orbital track needs to be broken, that is, the adjacent metal points are interrupted in such a way that the individual interruption points are more than one another, so as to avoid the occurrence of neighboring points as much as possible. More specifically, the breaking point in the adjacent metal i is They are respectively arranged such that the line of sight does not exist at all break points, wherein the line is extended as if the read metal 1 track is perpendicular to the direction in which the substrate extends. 17 201214670 In the embodiment of FIG. 8A, the metal 1 is connected to the 801 system. To the ground supply, and the metal 1 is connected to the power supply voltage. In the embodiment of FIG. 8A, the widths of the metal 1 tracks 801 and 821 are the same as the other metal 1 tracks 803-819; however, in another In the embodiment, the width of the metal 1 lanes 801 and 821 is greater than the width of the other metal 1 lanes 803-819. Figure 8B shows the metal 1 layer of Figure 8A, the metal 1 grounding and power rails (801A and 821A) relative to the other The metal 1 track 803-819 has a larger track width. The metal 1 track pattern is optimally used to optimize the use of "white space" (the space not occupied by the transistor). Example of Figure 8A Contains two shared metal 1 power Commanding 801, 821 and nine metal 1 signals 803_819. Metal 1 is 8 〇 3, 8 〇 9, 811 and 819 are defined as gate contact tracks to minimize white space; define metal 1 track 813, 815 and 817 are connected to the p-channel source and drain; in addition, if no connection is required, any of the nine metal .1 signal tracks 803-8〇9 can be used as the feedthrough, for example, metal 1 Lanes 813 and 815 are used as feedthrough connections. Figure 9 shows a via 1 layer, which is defined above and adjacent to the metal 1 layer of Figure 8A, in accordance with an embodiment of the present invention. In the via 1 layer, the metal 1 tracks 801-821 are connected to the higher height wires. The θ diagram shows a metal 2 layer according to an embodiment of the present invention, which is defined in Figure 9 and is in harmony with it. The metal 2 layer contains a number of metals that are similar to the horizontal direction Ut across the moving tree line. The metal is obedient == upper vertical, and the lower metal layer of Fig. 8A is 1 敕 敕, first sni, direction and shellfish It is parallel to the lower part of the gate layer in Figure 5 and is extended in the t- moxibustion. Thus, in the present embodiment, the metal 2 extends straight across the dynamic array in the direction (y). Guaranteed by = 1] 2 lanes 2 = spacing heart to center interval) most like). Ku Mingmu. The lithography enhancement of the jinji can be optimized (that is, the resonance is the same as the one in the first layer, = 5〇1 spacing and metal 又 又 = fn^. In the example, the gate feature has the same k-distance. In another embodiment, the contact gate spacing 201214670 diffusion junction polycrystalline light polycrystalline egg compartment is larger than the metal 2 orbital embodiment, the metal 2 is obsessed The pitch is arbitrarily set to the contact gate ί ί or 3/4, and thus, in this embodiment, the gate trajectory and the 母 丨 极 轨道 轨道 轨道 及 及 及 及 及 及 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 For example, 最佳 the optimal contact gate distance is g.36 pottery, the best gold road, the distance is 〇.24pm. In another embodiment, the gate track and the metal 2 track pitch are aligned with every four metal 2 pitches. For example, at 90 触 _ 执 Α 3% 3% 3% " 'Best Metal 2 trajectory, providing the required logic for the special logic function to be performed The spacing between the gold and the ends is minimized to the point where it is possible to consider the manufacturing effect and the electrical enthalpy. The spacing between the ends of the metal 2 orbital segments at the point of interruption is greater than that provided by the adjacent metal 2 orbitals. The lithography enhancement and its uniformity are most such that in the embodiment, if the adjacent metal 2 is required to be interrupted, the breakpoints are offset from each other to interrupt the adjacent metal 2 to perform. More specifically, the adjacent metal 2 tracks are considered to be absent from all break points, where the line of sight is like! The road, +, and the way of extending in a direction extending above the substrate extend perpendicularly. Above the reference direction gate 1 - the wire in the specific metal layer can be parallel to the dynamic array in the direction that the new 撼 苓 苓 苓 苓 ; ; ; ; ; ; ; ; ; ; ; ; ; ; ; ; ; ; Above the layer - the specific directional kinetic energy of the mid-line direction of the specific metal layer - the reference direction (8) and the first diagonal 12 of the second reference direction (y) 12 show that the conductor track is transversely traversed according to the present invention -; Applying 1 and metal 2 to the second diagonal direction of the second reference directions (x) and (7) to provide the required electrical connection for the bait force, and the diagonal lines of FIGS. 11 and 12 19 201214670 The conductors 1101 and · can be interrupted by being interrupted in a straight line across the dynamic array. When necessary, the conductors across the diagonal are also minimized to minimize the spacing between the ends of the diagonal conductors at the point of interruption to the extent that manufacturing effects and electrical effects can be considered. Minimizing the separation between the ends of the diagonal line at the break point allows the (4) near-pair conductor track to be lithographically enhanced and uniform. w /, . The optimal layout density in the array of grievances is achieved by implementing the following design rules. · Setting at least two metal 1 tracks across the n-channel device area; Setting at least two metal 1 tracks across the channel device area; The n-channel device is provided with at least two gates; at least two gates are disposed for the n-channel device. From the point of view of lithography, the contacts and through holes become the most difficult to cover and the through holes are shrinking, closer, and more disorderly. The spacing and density of the vias make it difficult to reliably print out the shape. The shape may be printed incorrectly due to destructive interference from adjacent shapes or alone. If the cut marks are printed correctly, the manufacturing yield of the relevant joints is extremely high. You can set the secondary resolution contact (4) to the real contact ^ light 'as long as the secondary resolution contact does not disintegrate; χ, the secondary resolution contact can be any shape, as long as it is smaller than the resolution capability of the lithography process. ’, =3Α shows the implementation of the layout of the secondary resolution joint according to the (4)-embodiment of the embodiment, and the layout is entangled by the township mode. The resolution contact 1301 seems to be in the resolution of the secret and will form a 'subsidiary contact'. 3, 6 () 1) light energy. In the implementation of ^ ίΪίίΪί Ζ set on the grid, so that the gate contact 6〇1 and the diffusion contact 5Q3 are both lithographically enhanced, for example, the secondary resolution contact 13〇1 =5〇3= On the grid of one-and-a-half of the pitch, it has a positive effect on both the gate contact tear and the expansion & point 503. In one embodiment, the 201214670 vertical spacing of the sub-resolution contacts ^(1) follows the vertical spacing of the gate contacts 601 and the diffusion contacts 503. The grid position 丨3〇3 in Fig. 13A indicates the position between adjacent gate contacts 6〇丨. Depending on the lithography parameters in the manufacturing process, the secondary resolution contact 1301 at this grid location will likely establish an undesired bridge between two adjacent gate contacts 6〇1. = The bridge resolution 13〇1 at position 1303 can be omitted if a bridge is possible. Although FIG. 13A is an embodiment showing that the sub-resolution contact 13 〇 1 is disposed adjacent to the real feature to be analyzed, it should be understood that another embodiment may set the sub-resolution contact to each available. The grid position is to fill the grid. Figure 13B shows the sub-resolution joint layout of Figure 13A, which defines the sub-resolution joints as being fillable to the extent possible, in accordance with an embodiment of the invention. It should be understood that although the embodiment of Figure 13B The sub-resolution joint fills the grid as much as possible, and still avoids setting the sub-resolution joint to a position where it is highly likely to bridge between adjacent full-resolution features. . . ^ Figure HC shows according to the invention An embodiment of the sub-resolution contact layout of an embodiment utilizes sub-resolution contacts of various shapes. Additional resolution contact shapes may be utilized, as long as the resolution of the sub-resolution contacts in the manufacturing process is The following can be done. Figure 13C shows the use of the "X-shaped sub-resolution contact 1305" that concentrates the light energy on the corners of adjacent contacts. In one embodiment, the Γχ-shaped 次 ^ contact is used. The end of 1305 extends to more enhance the deposition of light energy at the corners of adjacent contacts.

圖13D顯示根據本發明一實施例之具有次解析度接點之 相移遮罩(APSM)的例示完成圖。如同在圖13Α中一般,係利用次 解析度接以微影強化擴散接點503及閘極接點6〇1,當鄰近之$ 狀產生破壞性干涉圖案時,利用APSM來改善解析度 術修改遮罩使得行進通過鄰近形狀上之遮罩的光之相位為丨⑽度 反相,此相偏移之功用為去除破壞性干涉並容許較大1接點 度。例如圖13D中標以正號「+」之接點代表以第一相位之光波加 以曝光之接點,而標以減號「·」之接點則代表以相對於第一相位 之相位偏移180度之光波加以曝光之接點。應明瞭吾人利用APSMFigure 13D shows an exemplary completion diagram of a phase shift mask (APSM) with sub-resolution contacts, in accordance with an embodiment of the present invention. As shown in FIG. 13A, the lithography is used to enhance the diffusion joint 503 and the gate contact 6〇1 by using the sub-resolution, and the APSM is used to improve the resolution modification when the adjacent shape produces a destructive interference pattern. The mask causes the phase of the light traveling through the mask on the adjacent shape to be 丨 (10) degrees inverted, the function of this phase shifting to remove destructive interference and to allow for a larger 1 degree of contact. For example, the contact marked with a positive sign "+" in Fig. 13D represents the contact exposed by the light wave of the first phase, and the contact marked with the minus sign "·" represents a phase shift of 180 with respect to the first phase. The light wave of the degree is exposed to the contact. It should be clear that we use APSM

21 201214670 技術以確保相鄰接點係彼此分開。 隨著ΐίΐΐ部尺寸減少,半導體赫麟包含更多閘極]然而,' 遠ίίt更:_ ’互連線層之密度開始支配晶粒尺寸。此在互 迫魅她高高度之互連線層;然而, 時層之拓_部分受限’例如當建立互連線層 之互Ιί^。、脊、及溝槽,這些島、脊、及溝槽會導致越過其 程庠Hi 及溝槽,半導體製程仙化學機械研磨(CMP) 、查機巧化學地研磨轉體晶圓之表面,使每一後續互 坦之表面上。如同光微影程序,CMP程序之 有關;具體而言’整個晶粒或晶圓上之布局特徵 得ϊ些地方去除過多材料而其他地方去除過 電阻if連線厚度上之變化及在互連線層之電容及 無法射之變化,在互連線層内之電容及電_ ,交造成設計失敗之關鍵網之時序。 之程序要求將虛擬填充(dUmniy吗添加於無互連線形狀 =中,:使可設置實質上均勻之晶圓拓樸,避免碟形效應並 ^中,邊緣之均勻性。習知上,虛擬填祕設置於設計後階 ^〇St_design) ’如此,在f知方种,設計者並不知道虛擬填充 因此’在設計魏段所設置之虛擬填充可能以尚未被設計 估之方式,狀計效能產生不利影響;此外, =^的習知拓職無約束(亦㈣均勻#,故^ 不可,。f此’在習知技術中,虛擬填充區域與鄰近 主動.、周之間的電谷搞合無法被設計者預測。 如先前所述,此處所揭露之__藉由自·層向上最大 ^填充所有互連賴道而提供最佳規職。若在單—互連 ^需要多重網’則以最小分離間隙分開該互連軌道。例如在圖8a 代表金屬1導線之執道_即代表在相同轨道巾之三個獨立 網’各網賴應至-特絲道片段;更具體而言,有兩多接點網 S, 22 201214670 及一浮點網,以填充在^L道片段之間具有最小間距之執容 ΐί整2道在整個動態陣列中產生共振圖像之則 二★Μ 2 有最大填充互連線執道之動S _的規則結構確 巧了虛擬填充係以-均勻方式而.設置於整個晶粒中。因此 陣列的規則結構協助CMP程序,以在整個晶粒/晶圓中產生g j、句之結果。又,動態陣列的規則結構有助於閘極钱刻均勻性(微 二社二卜’結合最Α填充互連絲道之賴陣舰規則結構, 造齡析躲域絲道相關聯 接點、mtt酿絲每—鮮層巾之_特徵部_卩執道及 ϊίϊί/ ί故可針對製造賴及程序之最大性能將動態 ^最佳化。換言之’由於就擴散層上方之每—層而言,係將動 悲陣舰制在規則結構,故製造商能夠針對規則 而將製造程序最佳化。應明瞭:利用此動態陣列, ΐίί=°無約束布局中—般祕。考慮到大幅變化之任意形狀布 局特徵部組合的製造條件。 茲提供如何可將製造設備之性能最佳化之範例如下。 nm製程具有280nm之金屬2間距,此細啦之金屬2間^並 大?能來設定;確切而言,其係由通孔之微影加以 =。去除通制:影之爭議,設備之最大性能容許約22〇nm之金 =2 ,距,如此,金屬2間距之設計規則包含約25%之容限以說 月在通孔微影中之光交互作用的不可預測性。 你田在動轉助所執行之酬結構容許將通孔微影巾之光交互 =的不可預測性去除,如此使得金屬2間距之容限減少。此一 j 2間f之容限上之減少允許較密集之設計,亦即容許晶片面 」用之取佳化;另外’利用由動態陣列所提供之限定(亦即規則) 料規則上的容限;再者,不僅可減少程序性能以 陣列所提供之蚊拓樸亦使得所需設計規 貫買上侍以減^、。例如,無約束拓樸之典型設計規則組 23 201214670 可迠具有超過600條設計規則,但使用動態陣列所需之設計規則 、、且可月b僅有約45條設計規則。因此.,.利用動態陣列之限定拓樸, 可將對照設計細來分析及顧設計所需之努力減少超過1〇倍。 當處理動_列之遮罩層之特定執道中的線端至線端 end-to-line end)間隙(亦即執道片段至執道片段間隙)時,係存在有 3 5氕,用。此有限數目之光交互作用可事先加以識 補償,如此可戲劇性地減少或完全消除對 _本^。針對在線端至線端嶋:處之歧互作用的補償 =代表如圖所示之特徵部之微影修正,其適與基於(盘如圖所示之 特徵部相關聯之)交互作用的模型化之修正(例如〇pc/R£=反。 要之域_,對於如®所示之布局的改變僅在有必 ,反之’ OPC係於習知設計流程中之難布局上施行。 =====風險。又’因為動態陣列s 期間:預測線端間隙“用之失針敗對在:十相 動態陣里學知識;而利用此處所揭露之 且體而」iifti 即可將邏輯設計與物理設計分開。更 之有限i目之光於動態陣列内加以評估 用坐標格點網路連線設言;'無關之本質,可利 反。 )來代表5又计,與物理網路連線表相 ·.利用動態陣列,設計不需要以物理魏來表示;而且,設計 24 201214670 可以符號布局絲示。如此,設計者可續 p皮直接移至新技術。在-實_中,基本網格動轉列系、= 3規則貧料庫、基本網格(符號的)網路連線、及動態陣列社構。 ^瞭:基本網格動_騎除了與習知無約束結構。相關聯 之拓樸相關失誤;此外,因絲本網格__之可製造性益關 =設計’故施行於動態陣列上之設計之良率亦無關於設計。因此, 由於預先確認了祕P車狀正礙生及良率,可以預先確認之良率 效能而將基本網格網路連線施行於動態陣列上。 圖14顧示根據本發明一實施例之半導體晶片結構14〇〇。 體晶片結構1400代表半導體晶片之示範部分,其包含具有若干定 義於其上之導線1403A-1403G之擴散區14〇ι。吾人係將擴散區 1401定義於基板1405中,以針對至少一電晶體裝置而定義一主動 區’可疋義擴散區14〇1以覆蓋相對於基板1405表面之任意形狀 區。 心 設置導線1403A-1403G ’以沿一共同方向14〇7而延伸於基板 1405上方’亦應瞭解吾人限制了若干導線14〇3A_14〇3G中之每一 者’以使其沿共同方向1407而延伸於擴散區1401上方。在一實 施例中’直接地定義於基板1405上方之導線1403A-1403G為多晶 石夕線。在一實施例中,係定義導線1403A-1403G'中之每一者,以 在垂直於共同延伸方向1407之方向上具有實質上相同之寬度 1409。在另一實施例中,定義了導線1403A-1403G中的某些導線 相對於其他導線具有不同之寬度。然而,不論導線14〇3A-14〇3G 之寬度為何’導線1403A-1403G中之每一者係根據實質上相同之 中心至中心間距1411而與相鄰導線分隔開。 如圖14所示,某些導線(1403B-1403E)在擴散區1401上方延 25 201214670 伸,而其他導線(1403A,1403F,1403G)在基板1405之非擴散部分 上方延伸。應瞭解:不論是否將導線1403A-1403G定義於擴散區· 1401上方,導線1403A_1403G仍維持其寬度14〇9及間距; 此外,亦應瞭解:不論是否將導線1403A-1403G定義於擴散區14〇1 上方’導線1403A-1403G實質上仍維持其相同長度1413,藉此使 整個,板上導線1403A-1403G之間的微影強化最大化。以此方 式’定義於擴散區1401上方之某些導線(例如14〇3D)包含一必要 主動部分1415及一個以上的均勻性延伸部分1417。 應瞭解半導體晶片結構14〇〇代表上述關於圖2-13D之動態陣 列之一部分,因此,應瞭解係存在導線(14〇3B_14〇3E)之均勻&延 伸部分1417,以提供相鄰導線14〇3A_14〇3G之微影強化。另外, 雖,其並非電轉摘需*,但躲轉⑽从,1ZK)3F,14。3〇中 之每一者’以提供相鄰導線1403A-1403G之微影強化。 必J主動部分1415及均勻性延伸部分1417亦適用於較高高 線層。如先前祕祕陣列結構所述者,娜互連線層 =ϋ方向(例,直或對角、線方向)橫貫越過基板,以使施行於動 =之邏輯裝置所需要之選路(_ing)/紐性(_論办) ^可&。如_線1403A-14G3G -般’在互連線層内之每一導 Ϊ了Ϊ t ί 分(均勻性延伸部分)’以對相鄰導線提供微影強 Θ延抽#W 14〇3A_14G3G,互連線之導線沿—共同方 隔r具有實質上相同之寬度,並根據實質上 遵循t二中’互連線層内之導線在線寬與線距之間實質上 ί i ’/Γ1 如在9Gmn之情況下,金屬間距為28〇nm,、線 11111 ° ^ 可將此處所述之發日肋電腦可讀碼之形式 叫具體化,制可讀舰射f轉之後驗電取之資 26 201214670 CD-Rs 瓣織。亦可將電腦 散方·糸統相耦合之網路上,使電腦可讀碼以分 行於i腦可了二二:發―腦可讀碼之形式而施 發明之任何編卿),咖用以施行本 者在例ί說明本發明,但爲瞭解熟悉此項技藝 请神及錢内之所有此赚改、增加、變更及其均等物。 【圖式簡單說明】 每一 ====,_干布局_朗以產生 般化=顯示根據本發明—實施例之肋定義__結構之一 定義土以辅助 獨立明—例示實施例之待映射至整個晶粒之 成可本發明一實施例之例示線形特徵部,其經定義 定義實關之另—齡線轉徵部,其經 圖4顯示根據本發明一實施例之例示動態陣列之擴散層 位於層及擴散“, 圖.6顯示根據本發明一實施例之閘極接點層,其係經定義於 27 201214670 圖5之_層上方域之 圖7A顯示用以與間極相接觸之習知方法; 】示根據本發明—實施例加以定義之閘極接點; Θ ‘,‘、員示根據本發明一實施例之金屬1屑,二」 6之閘極接點層上方並與之相鄰; 曰/、係、、坐疋義於圖 圖8B顯示圖8A之金屬1層; 顯示根據本發明一實施例之通孔1層,其係經定羞 8Α之金屬1層上方且與之相鄰; ......疋羲於圖 圖1G顯示根據本發明—實施例之金屬 9之通孔1層上方且與之相鄰; /、係厶疋義於圖 -及發明—實關之賴顧,其餘相對於第 ϋ考(χ)及⑺之第—對祕方向橫貫__;、第 -芬π示根據本發明—實_之導錄道,其係沿相對於第 及第一參考方向(χ)及ω之第二對角線方向横貫動態陣列;士弟 圖13Α顯示根據本發明一實施例之次解析度接點布局之 例’該布局制賤賴影方絲強化擴健點及閑極接點; 圖13Β顯示根據本發明一實施例之圖m之次解析度接點布 局,其將次解析度接點定義成可填滿網格至可能的程度; 圖UC顯示根據本發明一實施例之次解析度接點布局之實施 例,其係利用各種不同形狀之次解析度接點; 圖13D顯示根據本發明一實施例之具有次解析度接點之轉換 相移遮罩(APSM)的例示完成圖; 圖14顯示根據本發明一實施例之半導體晶片結構。 【主要元件符號說明】 101Α〜103C 線形布局特徵部 103A〜103C sine 函數 201基板 203擴散區.. S. 28 201214670 205 擴散接點 207 閘極特徵部 209 閘極接點 211 金屬1 213 通孔1 215 金屬2 217 通孔2 219 金屬3 221 通孔3 223 金屬4 225 額外互連線層 301 線形特徵部 303 線形特徵部之寬度 305 線形特徵部之長度 307 線形特徵部之高度 309 線形特徵部之高度 311 線形特徵部之長度 313 線形特徵部之下寬 315 線形特徵部之上寬 317 線形特徵部 401 擴散區 403 擴散區 405 擴散方塊 410 P+遮罩區 412 n+遮罩區 414 p+遮罩區 416 n+遮罩區 501 閘極特徵部 501A具有更大寬度之閘極特徵部 29 201214670 503擴散接點 601 閘極接點 … 701閘極接點在閘極特徵部以外的延長部分 703垂直維度 705 彎曲之距離 707放大矩形閘極區 709閘極接點 711 閘極線 801〜821金屬1執道 801A金屬1之接地執道’ 821A金屬1之電力軌道 901 通孔 1001金屬2軌道 1101導體軌道 1201導體執道 1301次解析度接點 1303網格位置 1305「X形」之次解析度接點 1400半導體晶片結構 1401擴散區 1403A-1403G 導線 1405基板 1407導線之延伸方向 1409導線之寬度 1411導線之間距 1413導線之長度 1415導線之必要主動部分 1417導線之均勻性延伸部分 3021 201214670 Technology to ensure that adjacent contacts are separated from each other. As the size of the ΐίΐΐ is reduced, the semiconductor Hercules contains more gates. However, the density of the 't' interconnect layer begins to dominate the grain size. This is mutually enchanting her high-level interconnect layer; however, the extension of the time layer is partially limited, for example, when interconnecting layers are established. , ridges, and trenches, these islands, ridges, and trenches cause cross-over Hi and trenches, semiconductor process chemical mechanical polishing (CMP), and inspection of the surface of the rotating wafer, so that each A follow-up on the surface of each other. Like the photolithography program, the CMP program is concerned; specifically, the layout characteristics of the entire die or wafer are such that some places remove excess material and other places remove the resistance of the if-wiring thickness and the interconnection line. The capacitance of the layer and the inability to shoot change, the capacitance and electricity in the interconnect layer, the timing of the key network that caused the design failure. The program requires a virtual fill (dUmniy added to the no-interconnect shape = in the middle: to enable a substantially uniform wafer topology to be set, avoiding the dishing effect and the uniformity of the edges. Conventionally, virtual The secret is set in the design stage. 如此St_design) 'So, in the knowledge of the formula, the designer does not know the virtual fill. Therefore, the virtual fill set in the design Wei section may not be designed. In addition, the conventional knowledge of =^ is unconstrained (also (four) uniform #, so ^ can not, f this 'in the conventional technology, the virtual filling area and the neighboring active. It cannot be predicted by the designer. As mentioned earlier, the __ disclosed here provides the best regulation by filling all the interconnected lans up to the maximum. If the single-interconnect ^ requires multiple networks' The interconnect track is then separated by a minimum separation gap. For example, in Figure 8a, the wire of the metal 1 wire is represented - that is, the three independent nets in the same track towel are each connected to the wire segment; more specifically , there are two more contacts S, 22 201214670 and a floating point network With the minimum spacing between the segments of the ^L track, the entire image of the resonance image is generated in the entire dynamic array. The second rule is that the rule structure of the maximum filled interconnect line is S_ It is a coincidence that the dummy fill is placed in the entire die in a uniform manner. Therefore, the regular structure of the array assists the CMP process to produce gj, sentence results in the entire die/wafer. Moreover, the regular structure of the dynamic array Contribute to the uniformity of the gate money (micro-two social two-b' combined with the most Α Α 互连 互连 互连 互连 互连 互连 互连 互连 互连 互连 规则 互连 规则 规则 规则 规则 规则 规则 规则 规则 规则 规则 规则 规则 规则 规则 规则 规则 规则 规则 规则 规则 规则 规则 规则 规则The feature _ 部 ϊ ϊ ϊ ϊ ϊ ϊ ϊ 可 可 可 可 可 可 可 可 可 可 可 可 可 可 可 可 可 可 可 可 可 可 可 可 可 可 可 可 可 可 可 可 可 可 可 可 可 可 可 可 可 可 可 可In the rule structure, the manufacturer can optimize the manufacturing process for the rules. It should be understood: using this dynamic array, ΐίί=° unconstrained layout - the same as the arbitrarily shaped layout of the feature set Condition. How can I provide An example of the optimization of the performance of the equipment is as follows: The nm process has a metal 2 pitch of 280 nm, and the metal of the thin metal can be set by a large amount; in particular, it is made by the lithography of the through hole. Removal of the pass: the controversy of the film, the maximum performance of the device allows for gold of about 22 〇 nm = 2, the distance, so, the design rule of the metal 2 pitch contains about 25% of the tolerance to say the moon in the voicing The unpredictability of the interaction. The compensation structure implemented by your field in the transfer assistance allows the unpredictability of the light interaction of the through-hole diaper to be removed, thus reducing the tolerance of the metal 2 pitch. The reduction in the tolerance of f allows a denser design, that is, allows the wafer surface to be better used; and 'utilizes the tolerance of the defined (ie, regular) material rule provided by the dynamic array; Not only can the program performance be reduced, but the mosquito topology provided by the array also allows the required design to be purchased and reduced. For example, the typical design rule set for unconstrained topologies 23 201214670 can have more than 600 design rules, but use the design rules required for dynamic arrays, and there are only about 45 design rules for monthly b. Therefore, using the limited topology of the dynamic array, the effort required to analyze and compare the design can be reduced by more than 1 time. When dealing with the end-to-line end gap in the specific way of the mask layer of the moving_column (that is, the end of the segment to the end of the segment), there are 3 5 氕. This limited number of optical interactions can be compensated in advance so that the pair can be dramatically reduced or eliminated altogether. For online end-to-line 嶋: compensation for the interaction of the difference = representative of the lithography correction of the feature as shown, suitable for the model based on the interaction (as shown in the figure) Modifications (eg 〇pc/R£=reverse. The domain _, the change to the layout as shown in ® is only necessary, otherwise the 'OPC is implemented on the difficult layout in the custom design flow. == ===Risk. Also 'because the dynamic array s period: predicting the line-end gap's use of the lost stitches in the: ten-phase dynamic array learning; and using the body disclosed here" iifti can be logical design Separate from the physical design. Even more limited to the light in the dynamic array to evaluate with the grid point network connection; 'unrelated nature, can be countered. ·) to represent 5, and physical network Line form phase. With dynamic arrays, the design does not need to be represented by physical Wei; moreover, design 24 201214670 can be symbolically laid out. In this way, the designer can continue to move directly to the new technology. In the -real_, the basic grid dynamics, the =3 regular poor database, the basic grid (symbolic) network connection, and the dynamic array community. ^: The basic grid moves _ riding in addition to the conventional unconstrained structure. Associated with the topology-related errors; in addition, because of the manufacturability of the silk grid __ design = the design of the performance on the dynamic array is not related to the design. Therefore, due to the pre-confirmation of the secret P car shape and yield, the basic mesh network connection can be applied to the dynamic array by pre-confirming the yield performance. Figure 14 illustrates a semiconductor wafer structure 14A in accordance with an embodiment of the present invention. The bulk wafer structure 1400 represents an exemplary portion of a semiconductor wafer that includes a diffusion region 14 〇ι having a plurality of conductors 1403A-1403G defined thereon. The diffusion zone 1401 is defined in the substrate 1405 to define an active region 'descriptive diffusion region 14' for at least one of the transistor devices to cover any shape region relative to the surface of the substrate 1405. The core sets the wires 1403A-1403G' to extend over the substrate 1405 along a common direction 14〇7'. It should also be understood that we have restricted each of the plurality of wires 14〇3A_14〇3G to extend in the common direction 1407. Above the diffusion zone 1401. In one embodiment, the wires 1403A-1403G that are directly defined above the substrate 1405 are polycrystalline. In one embodiment, each of the wires 1403A-1403G' is defined to have substantially the same width 1409 in a direction perpendicular to the common extension direction 1407. In another embodiment, it is defined that some of the wires 1403A-1403G have different widths relative to the other wires. However, regardless of the width of the conductors 14〇3A-14〇3G, each of the conductors 1403A-1403G is separated from the adjacent conductors by substantially the same center-to-center spacing 1411. As shown in FIG. 14, some of the wires (1403B-1403E) extend over the diffusion region 1401 by 25 201214670, while the other wires (1403A, 1403F, 1403G) extend over the non-diffused portion of the substrate 1405. It should be understood that whether or not the wires 1403A-1403G are defined above the diffusion region 1401, the wires 1403A_1403G maintain their widths 14〇9 and spacing; in addition, it should be understood that whether or not the wires 1403A-1403G are defined in the diffusion region 14〇1 The upper 'wires 1403A-1403G remain substantially the same length 1413, thereby maximizing the lithographic enhancement between the entire on-board conductors 1403A-1403G. Certain conductors (e.g., 14 〇 3D) defined above diffusion region 1401 in this manner include a necessary active portion 1415 and more than one uniformity extension portion 1417. It will be appreciated that the semiconductor wafer structure 14A represents a portion of the dynamic array described above with respect to Figures 2-13D, and therefore, it should be understood that there is a uniform & extension portion 1417 of the conductors (14〇3B_14〇3E) to provide adjacent conductors 14〇 3A_14〇3G lithography enhancement. In addition, although it is not required for electrical pick-up, it is evaded (10) from each of 1ZK)3F, 14.3〇 to provide lithography enhancement of adjacent conductors 1403A-1403G. The J active portion 1415 and the uniformity extending portion 1417 are also applicable to the upper high layer. As described in the previous secret array structure, the nanowire layer = ϋ direction (for example, straight or diagonal, line direction) traverses the substrate so that the routing required for the logic device (_ing) is performed. / New (_ on the office) ^ can &. For example, _line 1403A-14G3G - 'in each of the interconnect layers Ϊ t ί points (uniformity extension)' to provide lithography for adjacent wires to extend #W 14〇3A_14G3G, The conductors of the interconnect have substantially the same width along the common square r, and substantially follow the line width and line spacing between the wires in the interconnect layer of t2 substantially ί i '/Γ1 as in In the case of 9Gmn, the metal spacing is 28〇nm, and the line 11111 ° ^ can be used to specify the form of the readable computer readable code described here. 26 201214670 CD-Rs woven. It can also be used on a computer that is coupled to the computer and the system, so that the computer readable code can be applied to the brain in the form of a brain-readable code. The present invention is described by way of example, but all such changes, additions, changes, and equivalents thereof are known to the skilled art. [Simple description of the schema] Each ====, _ dry layout_lang to produce generalization = display according to the invention - the rib definition __ structure defines one of the structures to assist independent - the exemplary embodiment Mapping to the entire die can be an exemplary linear feature of an embodiment of the invention, which is defined to define another embodiment of the age line transition, which is illustrated in FIG. 4 by an exemplary dynamic array in accordance with an embodiment of the present invention. The diffusion layer is located in the layer and diffuses. FIG. 6 shows a gate contact layer according to an embodiment of the present invention, which is defined in the upper layer of the layer of FIG. 5 201214670. FIG. 7A shows the contact with the interpole. a conventional method; a gate contact defined in accordance with the present invention - an embodiment; Θ ', ', a member of a metal chip according to an embodiment of the present invention, and a gate electrode layer of the second Adjacent to; FIG. 8B shows the metal 1 layer of FIG. 8A; showing a layer of through holes according to an embodiment of the present invention, which is above the metal layer 1 of the shame 8 And adjacent thereto; FIG. 1G shows gold in accordance with the present invention - an embodiment 9 is above and adjacent to the 1st layer of the through hole; /, the system is in the picture - and the invention - the real thing depends on the other, relative to the third test (χ) and (7) the first - the direction of the secret _ _;, - fen π shows a guide track according to the present invention - the traverse of the dynamic array along the second diagonal direction relative to the first and first reference directions (χ) and ω; An example of a sub-resolution contact layout according to an embodiment of the present invention is shown. The layout is configured to enhance the expansion point and the idle contact; FIG. 13A shows the second analysis of the image m according to an embodiment of the present invention. Degree joint layout, which defines a secondary resolution joint to fill the grid to the extent possible; Figure UC shows an embodiment of a secondary resolution joint layout in accordance with an embodiment of the invention, which utilizes various shapes FIG. 13D shows an exemplary completion diagram of a converted phase shift mask (APSM) having a sub-resolution contact; FIG. 14 shows a semiconductor wafer structure in accordance with an embodiment of the present invention. . [Main component symbol description] 101Α~103C Linear layout feature 103A to 103C sine Function 201 Substrate 203 diffusion region: S. 28 201214670 205 Diffusion contact 207 Gate feature 209 Gate contact 211 Metal 1 213 Through hole 1 215 metal 2 217 through hole 2 219 metal 3 221 through hole 3 223 metal 4 225 extra interconnect layer 301 linear feature 303 width of linear feature 305 length of linear feature 307 height of linear feature 309 linear feature Height 311 Linear feature length 313 Linear feature lower width 315 Linear feature upper width 317 Linear feature 401 Diffusion zone 403 Diffusion zone 405 Diffusion block 410 P+ Mask zone 412 n+ Mask zone 414 p+ Mask zone 416 n+ mask region 501 gate feature portion 501A has a greater width gate feature portion 29 201214670 503 diffusion contact 601 gate contact... 701 gate contact is extended at portion 703 outside the gate feature 703 vertical dimension 705 The distance 707 enlarges the rectangular gate region 709 gate contact 711 the gate line 801~821 metal 1 the 801A metal 1 grounding road ' 821A metal 1 electric Track 901 Through Hole 1001 Metal 2 Track 1101 Conductor Track 1201 Conductor Pass 1301 Resolution Point 1303 Grid Position 1305 "X Shape" Secondary Resolution Contact 1400 Semiconductor Wafer Structure 1401 Diffusion Region 1403A-1403G Conductor 1405 Substrate 1407 Extension direction of the wire 1409 Width of the wire 1411 Between the wires 1413 The length of the wire 1415 The necessary active portion of the wire 1417 The uniformity of the wire extension portion 30

Claims (1)

201214670 七、申請專利範圍: 1. 一種半導體裝置,包含 基板部; 複數個擴散區,定義於絲板勒 細f之—綱㈣崎織,= 並無線形特徵的侷限;及 .、γ成複數個擴散區 閘極層,定Α在該基板部上方,關極層僅 -共同方向在該基板部战伸的複數個線形閘極 U ^ 複數個線形閘極層片段中若干個之一咬多曰又,、中該 闕極層片段的至少—個不包含會形成^ 晶體裝置之閘極 ^如申請專纖圍第丨項之半導體裝置,其巾 产 含至少- P擴散區與至少—n擴散區, 關 懸s電_目_,叹針結1擴健和 3.如申凊專利範圍第2項之半導妒奘罟立 半導體裝㈣單元,且針基板部對應到該 與在該單元中MMOS電晶體裝置的&量相等。曰日體裝置的數量 圍ϋ之半導體裝置,其中該基板部對應_ +導體裝㈣料’且射解元包含至少八_日日日體裝置、職 5麻,申請專利範圍第:1項之半導败置 層片段之每—者係定義成沿著-對應的線形閘極軌道極 線形閘極_單,方向延伸通Ξίί道其.中每— 201214670 6. 如申請寻利範圍第5項之丰 半導體裝置的單元,且並中,置,其中該基板部對應到該 且其上定義有線形閉極層、片段/早兀包含至少四個線形閘極執道, 7. 如申請專利範圍第5項之 軌道之間存在均勻_直間隔。難置’其巾在鄰近的線形閘極 8. 如申請專利範圍第5項之半 Si:觸而定義的線形閘極層片段之間= 利範圍第1項之半導«置,其中多個_門脑y 10·如申請專利範圍第9項之半導 方式所定義之該多個線形閘極層片、心2以端部對端部的 量; 段係奸細極層片 且和該單-共同方向垂直的方向上測量度係從和該基板部平行 12. 如申請專利範圍第丨項之半導體 — 段係由實質上均句的寬度加以定義,且母一線形閘極層片 打且和該單-共同方向垂直的方向上測量、宽度係從和該基板部平 13. 如申請專利範圍第丨項之半導體裝置,更包含: S 32 201214670 的線結i係己置用以接觸—既定線形閘極層片段 部且垂直於該單—共=長度^義成從平行於該基板 長度大於該既定綠形^極i片段二3里复=該閘極接點的該 從和該基板部平行二單== M. 利範圍第1項之半導體裝置,更包含: 導電性互連上方’該互連線層包含數個 線形閘極層片^中的性互連結構中的若干個電連接於該 15.如申請專利範圍第14 連結構中的若干個為線形項之+令體農置,其中該數個導電性互 千订亥閘極層中的該複數個線形閘極層片段而延伸。質 17.如申明專利範圍第π項之半導體 鄰近的該線形導電性互連結構^ H距線層中 層中鄰近的該線形問極層片段的若該間極 、 33 201214670 實質上垂直於該·層中的該複數個線形閘極層片段而延伸。 2〇·第1項之半導11裝置,更包含: 社媸兮綠二道=係疋義在該閘極層上方以包含線形導電性互連 基:物係定位成沿該單-共同方向且ΐ; 該單-共同方向而延構叙位成平行於該基板部且垂直於 包含定義成位在該閘極層上方的任何層別以 單-共同方向且平㈡基構= 係定義成位在該第一互遠綠展卞士 =及为互連線層,其 ί,、或 連線層的該線形導·互連結構的範圍方向.而延 包含、ί形定義成位在該閘極層上方的任何層別以 於該基板部且垂直於該單-共同方向^延伸^^:^ Ϊ雪Ϊ係定義成位在該第—互連線層上方的任何層取包含線形 i且垂,ίϊίίϊΐΐ連結構係定位成平行於該基板 而延伸互連線層的該線科電性互連結構的範圍方向 21.如申請專利範圍帛!項之半導體裝置,其中每 段係以平行於該基板部且垂直於該單—共同方〔二旦 ίί中=間極層中處於光微影交縣用半; .造係小於用於先微影製程中以製 §. 34 201214670 气利範圍第21項之半導體裝置,其中用於該光微影製 線波長係小於等於193奈米’且其中該光微影交互作 用+佐係麟該紐影餘+的絲線波長的玉倍。 23. —種半導體裝置,包含: 基板部; St擴ί ί二定^_紐㈣做在實體上彼此分隔; 在該基板部上延伸的複數個線形閘極層片2 # 複數個線形間極層片段中若干個之-或多個部“ ί =r層片段的每-者係‘贮 若干個各具有定義於其上的 2,宕綠被明权虹、芬,…/夕1固線形閘極層片段,其中沿著一既 I極層片 -形閘極, 形閉極軌道分隔多個線形_^;τ:你擺放靠: 增队的任—既定線端間隔 S極Γ配置成端部對“ 干個 線端間 24·如申請專利範圍第幻項 包含至少-Ρ擴散區與至少 置’其中該複數個擴散區 和PMOS電晶體相關,以及其、$區,且其中至少一 P擴散區 相關,且其中在第—單元中n擴散區和NMOS電晶體 -單元M NMOS電晶體妓的數錄·數量與在該第 25.如申請專利範圍第幻 極層片段中的—或多個不形成置,其t難數個線形閘 35 201214670 26.i=利^^項之半導_,更包含: 該單一共同方向而延伸,或 包含 ;:ίΓ-4;ί^ rr,連線層的該線 成逆連 ^該基板敎垂直於該單—共財向而延伸, i電包含線形 =直於該第-互連線層的該線形導電性互連結構:=ϊ 27.如申請專利範圍帛23項之半導體農置 該半導體裝置的-區域,在魏域中域有第中_^^^ 的第一擴散形狀尺寸不同他 28. —種半導體裝置,包含: 基板部; 5· 36 201214670 的相關直角側邊所形成之外緣;及固係疋義成具有由多於四個 -共同方向在該層僅包含定義成以單 複數個線形閘極層片段中若二數片段’其中該 個線形閘極層片置的對應閘極,其中該複數 分。 乂者不包含形成電晶體裝置的閘極之部 29. 如申請專利範圍第28項之半 極層片段的,者係沿騎應 j道個線形閘 該基板部對應到該轉财置的單元閘丄且其中 個線形閘極執道,而其上定義有線形咖段X早边3至少四 30. 如申請專利範圍第28項之半導體 片段係由平行於該基板部·直於閘極層 量的寬度加以定義’且其中在該閘極層中=所測 ^内之該線形閘極層片段的寬度尺寸係小於用於光^制2半 衣造該線形閘極層片段的光線波長。 、、办衣#王中以 31.如申_專利範圍第28項之半導體裝置, 更包含 互=ί;ίί在ί閘極層上方以包含線形導電性互連 向且平行連r係定位成平行 結構’該線形導電性互連結構係定 於該基板部而延伸,或 ^早共冋方向且平;^ 互連線層」其係定義在該閘極層上方以包含線形 何層別以 第-互連線層,其係定義成位在該_層上方的任 37 201214670 3含線形導電性互連結構,該線 早-共同方向且平行於絲板部 沿該 係定義成位在該第-互連線層上方的二^’其 rr_互連線層的該線形輸 包含.=:2=5:¾ 於該基板部域直於鮮—共同^ ff、、,。構做位成平行 層’其係定義成位在該第早一互;^向上^ 導電性互連結構,該線形導電性互連結構:以包含線形 直於該第—互連線層·的該線形導 圖式 S. 38 "201214670 VII. Patent application scope: 1. A semiconductor device comprising a substrate portion; a plurality of diffusion regions defined by the limitation of the wire-striped f--(four) kawasaki, = and wireless features; and γ into plural The gate layer of the diffusion region is fixed above the substrate portion, and the gate layer only bites a plurality of linear gates U ^ a plurality of linear gate segments in the common direction of the substrate portion In addition, at least one of the bungee layer segments does not include a gate device that forms a crystal device, such as a semiconductor device that applies for a special fiber, and the towel product contains at least a P diffusion region and at least -n Diffusion zone, suspension s electricity _ eye _, sigh pin knot 1 expansion and 3. such as the 半 凊 patent scope of the second half of the semi-conducting semiconductor device (four) unit, and the needle substrate portion corresponds to the The sum of the MMOS transistor devices in the cell is equal. A semiconductor device in which the number of 曰 装置 装置 装置 , , , , , , 基板 且 且 且 且 且 且 且 且 且 且 且 且 且 且 且 且 且 且 且 且 且 且 且 且 且 且 且 且 且 且 且 且 且 且 且 且 且 且Each of the semi-conducting fault layer segments is defined as a linear gate gate along the - corresponding linear gate track - a single direction extending in the direction of the line. Each of the - 201214670 6. If the application for the scope of the search for the fifth item The unit of the semiconductor device, and the middle portion, wherein the substrate portion corresponds to the wire-shaped closed-pole layer, and the segment/early layer comprises at least four linear gates, 7. There is a uniform_straight interval between the tracks of item 5. Difficult to set 'the towel in the adjacent linear gate 8. As in the fifth part of the patent scope, Si: between the linear gate segments defined by the touch = the semi-conductor of the first range of the range _门脑 y 10· The amount of the plurality of linear gate plies, the core 2 is end-to-end as defined by the semi-guided method of claim 9th paragraph; the segment is a fine layer and is - the measurement in the direction perpendicular to the common direction is parallel to the substrate portion. 12. The semiconductor segment of the third paragraph of the patent application is defined by the width of the substantially uniform sentence, and the parent-line gate layer is Measured in a direction perpendicular to the single-common direction, the width is flat from the substrate portion. 13. The semiconductor device according to the scope of the patent application, further comprising: S 32 201214670 The wire tie i is placed for contact - a predetermined linear gate layer segment and perpendicular to the single-common = length ^ from the parallel to the substrate length is greater than the predetermined green shape i segment 2 3 复 complex = the gate contact of the slave and the substrate portion Parallel two single == M. The semiconductor device of the first item of the range includes: Above the electrical interconnect, the interconnect layer comprises a plurality of linear interconnect layers, and a plurality of the interconnects are electrically connected to the 15. The plurality of interconnected structures in the 14th connection structure are linear. The enthalpy of the item is extended by the plurality of linear gate layer segments in the plurality of conductive mutual threshold gate layers. 17. The linear conductive interconnect structure adjacent to the semiconductor of claim π of the patent range is located adjacent to the linear interrogation layer segment in the middle layer of the layer, if the interpole, 33 201214670 is substantially perpendicular to the The plurality of linear gate layer segments in the layer extend. 2〇·1st semi-conductive 11 device, further comprising: 社媸兮绿二道=系疋 meaning above the gate layer to include a linear conductive interconnect: the system is positioned along the single-common direction And 单; the single-common direction is extended to be parallel to the substrate portion and perpendicular to any layer defined to be positioned above the gate layer in a single-common direction and a flat (b) basis = system defined in position In the first mutual green exhibition gentleman = and for the interconnection layer, its ί, or the connection layer of the line direction of the structure of the interconnect structure, the extension includes, the shape is defined in the gate Any layer above the pole layer for the substrate portion and perpendicular to the single-common direction ^ ^ ^ ^ ^ Ϊ Ϊ 定义 定义 定义 任何 任何 任何 任何 任何 任何 任何 且 且 且 且 且 且 且 且Hanging, ϊ ϊΐΐ ϊΐΐ 结构 定位 定位 定位 定位 定位 ϊ ϊ ϊ ϊ ϊ ϊ ϊ ϊ ϊ ϊ ϊ ϊ ϊ ϊ ϊ ϊ ϊ ϊ ϊ ϊ 21. 21. 21. 21. 21. 21. 21. 21. 21. The semiconductor device of the present invention, wherein each segment is parallel to the substrate portion and perpendicular to the single-common square (in the middle of the interlayer layer), the light micro-shadow is used in the county; In the shadow manufacturing process, the semiconductor device of the §. 34 201214670 gas profit range item 21, wherein the wavelength of the light lithography line is less than or equal to 193 nm, and wherein the light lithography interaction + Zuo Lin Lin Yu Yu + the wire wavelength of the jade times. 23. A semiconductor device comprising: a substrate portion; a St. 纽 定 _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ Several of the layer segments - or multiple parts " ί = r layer segments of each of the 'series' each have 2 defined on it, 宕 green is Ming Quan, fen, ... / 夕 1 solid line a gate layer segment in which a plurality of line shapes are separated along a slice-shaped gate of the I-pole layer. τ: You place it by: Adding a team------- The end portion is "between the ends of the line 24" as claimed in the patent scope, the illusion includes at least - the diffusion region is associated with at least one of the plurality of diffusion regions and the PMOS transistor, and the region thereof, and at least a P-diffusion region is associated, and wherein the n-diffusion region and the NMOS transistor-unit M NMOS transistor 妓 in the first cell are recorded and numbered in the phantom layer segment of the 25. Or more than not formed, its t difficult number of linear gates 35 201214670 26.i=Lead ^^ semi-guided _, more package : The single common direction extends, or contains;: Γ Γ - 4; ί ^ rr, the line of the connection layer is reversed ^ the substrate 敎 extends perpendicular to the single-co-finance, i electric contains linear = straight The linear conductive interconnect structure of the first interconnect layer: = 27. The semiconductor region of the semiconductor device is as claimed in claim 23, and there is a middle in the Wei domain _^^ ^ The first diffusion shape size is different from that of his 28. semiconductor device, comprising: the substrate portion; 5· 36 201214670 The outer edge formed by the relevant right-angle side; and the solid system has more than four-common directions In this layer, only the corresponding gates defined as the two or more of the linear gate layer segments, wherein the linear gate layer is placed, are included. The latter does not include the portion of the gate forming the transistor device. 29. If the half-pole segment of the 28th article of the patent application is applied, the device is connected to the substrate and corresponds to the unit of the turn-over. The gate and one of the linear gates are in the way, and the line-shaped coffee section X is defined on the early side 3 by at least four 30. The semiconductor segment according to the scope of claim 28 is parallel to the substrate portion and is directly to the gate layer. The width of the quantity is defined 'and wherein the width dimension of the linear gate layer segment in the gate layer = measured is less than the wavelength of light used to fabricate the linear gate layer segment. ,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,, Parallel structure 'the linear conductive interconnect structure is defined to extend in the substrate portion, or to be in the direction of the common and flat; ^ interconnect layer" is defined above the gate layer to include a line shape a first interconnect layer defined as any of the 37 201214670 3 having a linear conductive interconnect structure positioned above the _ layer, the line being defined in the early-common direction and parallel to the wire portion along the line The linear input of the rr_interconnect layer above the first interconnect layer contains .=:2=5:3⁄4 in the substrate portion straight to the fresh-common ff,,. Constructing a parallel layer' is defined as being in the first mutual mutual; ^ upward ^ conductive interconnect structure, the linear conductive interconnect structure: comprising a line shape directly to the first interconnect layer The line pattern S. 38 "
TW100138488A 2006-03-09 2007-03-09 Dynamic array architecture TWI472015B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US78128806P 2006-03-09 2006-03-09

Publications (2)

Publication Number Publication Date
TW201214670A true TW201214670A (en) 2012-04-01
TWI472015B TWI472015B (en) 2015-02-01

Family

ID=44766138

Family Applications (8)

Application Number Title Priority Date Filing Date
TW104137264A TWI596739B (en) 2006-03-09 2007-03-09 Semiconductor chip implementing dynamic array architecture and method for manufacturing the same
TW96108275A TWI362748B (en) 2006-03-09 2007-03-09 Dynamic array architecture
TW103137488A TWI521678B (en) 2006-03-09 2007-03-09 Dynamic array architecture
TW100138488A TWI472015B (en) 2006-03-09 2007-03-09 Dynamic array architecture
TW107131181A TWI671882B (en) 2006-03-09 2007-03-09 Semiconductor chip and method for manufacturing an integrated circuit
TW106117072A TWI615944B (en) 2006-03-09 2007-03-09 Regions of semiconductor chip and methods of manufacturing the same
TW106138883A TWI644412B (en) 2006-03-09 2007-03-09 Semiconductor chip and method for manufacturing an integrated circuit
TW106110932A TWI614879B (en) 2006-03-09 2007-03-09 Semiconductor chip implementing dynamic array architecture and method for manufacturing the same

Family Applications Before (3)

Application Number Title Priority Date Filing Date
TW104137264A TWI596739B (en) 2006-03-09 2007-03-09 Semiconductor chip implementing dynamic array architecture and method for manufacturing the same
TW96108275A TWI362748B (en) 2006-03-09 2007-03-09 Dynamic array architecture
TW103137488A TWI521678B (en) 2006-03-09 2007-03-09 Dynamic array architecture

Family Applications After (4)

Application Number Title Priority Date Filing Date
TW107131181A TWI671882B (en) 2006-03-09 2007-03-09 Semiconductor chip and method for manufacturing an integrated circuit
TW106117072A TWI615944B (en) 2006-03-09 2007-03-09 Regions of semiconductor chip and methods of manufacturing the same
TW106138883A TWI644412B (en) 2006-03-09 2007-03-09 Semiconductor chip and method for manufacturing an integrated circuit
TW106110932A TWI614879B (en) 2006-03-09 2007-03-09 Semiconductor chip implementing dynamic array architecture and method for manufacturing the same

Country Status (1)

Country Link
TW (8) TWI596739B (en)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100200996A1 (en) * 2009-02-12 2010-08-12 Arm Limited Structural feature formation within an integrated circuit
KR102495912B1 (en) * 2018-08-10 2023-02-03 삼성전자 주식회사 Integrated circuit including standard cell and method for manufacturing the same
US10878160B1 (en) * 2019-07-31 2020-12-29 Taiwan Semiconductor Manufacturing Co., Ltd. Analog cells utilizing complementary mosfet pairs
TWI799173B (en) * 2022-03-07 2023-04-11 力晶積成電子製造股份有限公司 Layout design method of metal layer

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7062761B2 (en) * 2001-07-10 2006-06-13 Micron Technology, Inc. Dynamic arrays and overlays with bounds policies
US7127559B2 (en) * 2001-07-10 2006-10-24 Micron Technology, Inc. Caching of dynamic arrays
US6633182B2 (en) * 2001-09-05 2003-10-14 Carnegie Mellon University Programmable gate array based on configurable metal interconnect vias
US6765245B2 (en) * 2002-03-25 2004-07-20 Bae Systems Information And Electronic Systems Integration Inc. Gate array core cell for VLSI ASIC devices
US6953956B2 (en) * 2002-12-18 2005-10-11 Easic Corporation Semiconductor device having borderless logic array and flexible I/O
TWI220268B (en) * 2003-09-17 2004-08-11 Faraday Tech Corp Method for programming a routing layout design through one via layer
US7423298B2 (en) * 2004-03-17 2008-09-09 Sharp Kabushiki Kaisha Bidirectional photothyristor chip, optical lighting coupler, and solid state relay
WO2005098954A1 (en) * 2004-04-02 2005-10-20 Triad Semiconductor, Inc. Via configurable architecture for customization of analog circuitry in a semiconductor device

Also Published As

Publication number Publication date
TWI521678B (en) 2016-02-11
TWI362748B (en) 2012-04-21
TW201721836A (en) 2017-06-16
TWI644412B (en) 2018-12-11
TW200805647A (en) 2008-01-16
TW201507103A (en) 2015-02-16
TWI614879B (en) 2018-02-11
TW201606987A (en) 2016-02-16
TWI615944B (en) 2018-02-21
TW201842647A (en) 2018-12-01
TWI472015B (en) 2015-02-01
TW201731074A (en) 2017-09-01
TWI671882B (en) 2019-09-11
TWI596739B (en) 2017-08-21
TW201804597A (en) 2018-02-01

Similar Documents

Publication Publication Date Title
US10186523B2 (en) Semiconductor chip having region including gate electrode features formed in part from rectangular layout shapes on gate horizontal grid and first-metal structures formed in part from rectangular layout shapes on at least eight first-metal gridlines of first-metal vertical grid
US9035359B2 (en) Semiconductor chip including region including linear-shaped conductive structures forming gate electrodes and having electrical connection areas arranged relative to inner region between transistors of different types and associated methods
TW200813765A (en) Design data producing method, design data producing program product, and method for manufacturing semiconductor device
TW201214670A (en) Dynamic array architecture
JP2006173186A (en) Semiconductor device, pattern layout creation method and exposure mask
JP2012060142A (en) Semiconductor device, pattern layout creation method, and exposure mask

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees