TW200937636A - Self-assembled sidewall spacer - Google Patents

Self-assembled sidewall spacer Download PDF

Info

Publication number
TW200937636A
TW200937636A TW097138380A TW97138380A TW200937636A TW 200937636 A TW200937636 A TW 200937636A TW 097138380 A TW097138380 A TW 097138380A TW 97138380 A TW97138380 A TW 97138380A TW 200937636 A TW200937636 A TW 200937636A
Authority
TW
Taiwan
Prior art keywords
block
polystyrene
annealing
spacer
semiconductor structure
Prior art date
Application number
TW097138380A
Other languages
Chinese (zh)
Inventor
Bruce B Doris
Carl J Radens
Original Assignee
Ibm
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US11/869,171 external-priority patent/US7808020B2/en
Priority claimed from US11/869,178 external-priority patent/US8105960B2/en
Application filed by Ibm filed Critical Ibm
Publication of TW200937636A publication Critical patent/TW200937636A/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4983Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET with a lateral structure, e.g. a Polysilicon gate with a lateral doping variation or with a lateral composition variation or characterised by the sidewalls being composed of conductive, resistive or dielectric material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/266Bombardment with radiation with high-energy radiation producing ion implantation using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • H01L29/6659Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate with both lightly doped source and drain extensions and source and drain self-aligned to the sides of the gate, e.g. lightly doped drain [LDD] MOSFET, double diffused drain [DDD] MOSFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7843Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being an applied insulating layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28247Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon passivation or protection of the electrode, e.g. using re-oxidation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/665Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate

Abstract

A semiconductor structure is provided that includes a spacer directly abutting a topographic edge of at least one patterned material layer. The spacer is a non-removable polymeric block component of a self assembled block copolymer. A method of forming such a semiconductor structure including the inventive spacer is also provided that utilizes self-assembled block copolymer technology.

Description

200937636 九、發明說明: 【發明所屬之技術領域】 本發明有關一種奈米結構(特別是半導體結構)及其製 造方法。尤其,本發明有關一種包含至少一圖案化區域的 奈米結構,此圖案化區域包含至少一材料及具有包含侧壁 間隙壁(由自組裝聚合物的聚合嵌段成分所構成)之形貌邊 緣,及有關利用自組裝聚合物技術製造此結構的方法。 相關申請案 本申請案有關美國第11/869171號(代理人槽案號碼 FIS920070087US1 ; SSMP20946-1),此交又參照申請案係 與本申請案同一天同時申請;且有關美國第11/869178號 (代理人檔案號碼 FIS920070087US2 ; SSMP 20946-2),此 交叉參照申請案係與本申請案同一天同時申請。 【先前技術】 場效電晶體(FET)是今日積體電路的基本架構此類電 晶體可在習用塊狀基板(諸如石夕)或絕緣體上半導體(s〇I)基 板中形成。 先進的FET係藉由在閘極介電質及基板上沈積閘極電 極來製造。一般而言,電晶體製程實施微影及蝕刻製程以 界定導電(如’多晶石夕)閘極結構。接著通常(但未必總是) 使閘極結構及基板熱氧化,及在此之後,藉由植入形成源 200937636 極/沒極延伸。有時,制祕/輸__錄行植入, =在間極及植人接面之間建立特定距離。在—些例子令, ^如在|Lie n_FET裝置時,在沒有源極/祕延賴隙壁的 情況下植人n~FET裝㈣祕/汲極延伸。對於p_FET裝 置’通常在·/祕延伸間_存麵情況下植入源極/ 錄延伸。通常在植入源極/没極延伸之後,形成比源極/ 沒極延伸_壁厚的間隙壁。接著在厚聰壁存在的情況 下執仃深雜/祕植人。執行高溫社贿接面活化,其 後-般是使雜/汲極及_的上方部分魏。雜物形成 通常需要在含si基板上沈積耐火金屬(refrae_咖⑽然 後進行1¾溫熱退火製㈣生録化物材料,化物製程形 成至深源極/汲極區域及閘極導體的低電阻率接觸。 在上文中,較厚間隙壁在閘極電極(即,多晶矽或任何 其他導電材料)及用以自訂FET之半導體電特性的植入捧 雜物之間提供自對準偏移。 v 為了製成比現有可行積體電路之較高集成的諸如記憶 體、邏輯及其他裝置的積體電路(1C),必須找到一種進一 步縮小FET之尺寸的方式。縮小電晶體尺寸即可提高效能 與緊密性,但此種縮小有一些裝置降級效應。藉由降低電 晶體線寬、減少閘極氧化物厚度、及降低源極/汲極延伸電 阻,即可改良新一代的高效能FET裝置。較小電晶體線寬 使得源極及汲極之間的距離變小。這又使得互補金氧半導 6 200937636 體(CMOS)電路的開關速度變得更快。 除了上文所述,縮小型FET所使用的間隙壁也必須跟 著縮小’以提供小型裝置。然而,包括沈積介電材料(諸如 矽的氧化物或矽的氮化物)及各向異性蝕刻之習用形成間 隙壁的方法因為裝置持續縮小而變得比較不實用。間隙壁 形成中所使用的各向異性蝕刻步驟也不合宜,因為其通常 更改、移除及/或損壞在FET場内的各種材料。 注意,上述問題不僅有關於FET裝置。事實上,在任 ^可包含鄰接結構内存在之材料或材料堆疊形貌邊緣之間隙 壁的奈米結構巾’都有上述㈣間隙郷成及裝置縮小的 問題。 有鑑於上述,因此需要提供可在各種奈米結構中使用 =及改良__ ’以保護結構内所存在之材料或材 邊緣貌邊緣’且尤其需要用以保護閘極堆疊結構之 瓊緣的新型及改良間隙壁。 【發明内容】 以保在各種奈米結構中使用的間隙壁, Β 子在之材料或材料堆疊的形貌邊緣。尤並 聚物之聚合嵌段成分的間隙 ”"材料^的形貌邊緣。本發明間隙壁可 7 200937636 或其可為保留在 以是在一些應用中可移除的犧牲間隙壁, 結構中的永久間隙壁。 一般而言,本發明提供一種奈米結構,包含 一包含至少一材料層及具有至少一 區域;及 形貌邊緣的圖案化200937636 IX. Description of the Invention: TECHNICAL FIELD OF THE INVENTION The present invention relates to a nanostructure (particularly a semiconductor structure) and a method of fabricating the same. In particular, the present invention relates to a nanostructure comprising at least one patterned region comprising at least one material and a topographical edge having a sidewall spacer comprising a polymeric block component of a self-assembling polymer And methods for making this structure using self-assembling polymer technology. Related Application This application is related to US No. 11/869171 (agent slot number FIS920070087US1; SSMP20946-1), this application is also applied at the same time as the application on the same day as the application; and related to US No. 11/869178 (Agency file number FIS920070087US2; SSMP 20946-2), this cross-reference application is filed at the same time as the same application. [Prior Art] Field effect transistors (FETs) are the basic architecture of today's integrated circuits. Such transistors can be formed in conventional bulk substrates (such as Shi Xi) or semiconductor-on-insulator (s〇I) substrates. Advanced FETs are fabricated by depositing gate electrodes on the gate dielectric and substrate. In general, the transistor process implements a lithography and etching process to define a conductive (e.g., 'polycrystalline slate') gate structure. The gate structure and substrate are then typically (but not necessarily always) thermally oxidized, and thereafter, by implanting the source 200937636 pole/no-pole extension. Sometimes, secrets/transmissions __ recordings are implanted, = a specific distance is established between the interpolar and implanted junctions. In some examples, ^, in the case of the |Lie n_FET device, implant the n~FET package (4) secret/dip pole extension without the source/secret wall. For the p_FET device, the source/record extension is typically implanted in the case of the inter-extension. Typically, after implantation of the source/no-pole extension, a spacer is formed that is thicker than the source/no-pole extension. Then, in the presence of Hou Congbi, he is obsessed with deep miscellaneous/secret. Execution of high-temperature bribery joint activation, followed by the general part of the miscellaneous / bungee and _ Wei. The formation of debris usually requires the deposition of refractory metal on the Si-containing substrate (refrae_ca (10) and then the thermal annealing of the material (4), the formation of the material into the deep source/drain region and the low-resistivity contact of the gate conductor. In the above, the thicker spacers provide a self-aligned offset between the gate electrode (i.e., polysilicon or any other conductive material) and the implant handle used to customize the semiconductor electrical properties of the FET. Integral circuits (1C) such as memory, logic, and other devices that are more integrated than existing feasible integrated circuits must find a way to further reduce the size of the FET. Reduce the size of the transistor to improve performance and tightness. Sexuality, but this reduction has some device degradation effects. By lowering the transistor linewidth, reducing the gate oxide thickness, and lowering the source/drain extension resistance, a new generation of high performance FET devices can be improved. The transistor line width reduces the distance between the source and the drain, which in turn makes the switching speed of the complementary MOS6 200937636 body (CMOS) circuit faster. The spacers used by the FET must also be reduced to provide a small device. However, methods including depositing dielectric materials (such as tantalum oxide or tantalum nitride) and anisotropic etching are used to form the spacer because the device continues It is less practical to shrink. The anisotropic etching step used in the formation of the spacers is also undesirable because it typically modifies, removes, and/or damages various materials within the FET field. Note that the above problems are not only related to FETs. In fact, there is a problem in the above-mentioned (4) gap formation and device shrinkage in any of the nanostructured towels which may include the spacers of the material or the stacking topography of the material in the adjacent structure. In view of the above, it is necessary to provide It is possible to use = and improve __ ' in various nanostructures to protect the edges or edges of the material or material present in the structure' and in particular to protect the new and improved spacers of the gate of the gate stack structure. Contents] To protect the gaps used in various nanostructures, the edge of the material or the stack of materials on which the rafters are stacked. The gap of the block component "" is the edge of the material. The spacer of the present invention may be 7 200937636 or it may be a permanent spacer that remains in the structure, which is removable in some applications. The present invention provides a nanostructure comprising a pattern comprising at least one material layer and having at least one region; and a topographical edge

一直接鄰接开>貌邊緣的間隙壁,此間隙壁包含一自 裝嵌段共聚物的一聚合嵌段成分。 在本發明之某些具體實施例中,本發明所採用的自組 裝嵌段共聚物係選自由以下項目組成的群組:聚苯乙婦_ 散段-聚甲基丙烯酸醋(PS-b-PMMA)、聚苯乙稀·嵌段-聚異 戊二烯(PS-b-PI)、聚苯乙烯-漱段-聚丁二烯(pS-b-PBD)、聚 苯乙烯··嵌段-聚乙烯吡啶(PS-b-PVP)、聚笨乙烯·嵌段-聚環 氧乙烷(PS-b-PEO)、聚苯乙烯-嵌段-聚乙烯(PS-b-PE)、聚 苯乙烯-b-聚有機矽酸鹽(PS-b-POS)、聚苯乙烯-嵌段-聚二 茂鐵二甲基矽烷(PS-b-PFS)、聚環氧乙烷-嵌段-聚異戊二烯 (PEO-b-PI)、聚環氧乙烷-嵌段-聚丁二烯(PEO-b-PBD)、聚 環氧乙烷·嵌段-聚甲基丙烯酸酯(PEO-b-PMMA)、聚環氧乙 烷-嵌段-飽和聚乙稀(PEO-b-PEE)、聚丁二烯-嵌段-聚乙烯 吡啶(PBD-b-PVP)、及聚異戊二烯-嵌段-聚甲基丙烯酸酯 (PI-b-PMMA)。 在本發明之特定具體實施例中,提供一種奈米結構’ 200937636 包含: - 一半導體基板; 一包含至少一圖案化閘極電極的圖案化材料堆疊,此 圖案化閘極電極具有一形貌邊緣;及 一直接鄰接形貌邊緣的間隙壁,此間隙壁包含一自組 裝嵌段共聚物的一聚合嵌段成分。 除了上述半導體結構,本發明亦提供一種製造本發明 間隙壁的方法’其可實施於任何制的奈米結構處理流程 中。本發明的職壁制用自組裝嵌段絲物技術形成, 因此,其不會更改、損壞及/或移除任何存在於周圍場的材 料。此外,本發明方法在製造間隙壁時並不利用任何各向 異性钱刻技術。 一般而言’本發明之方法包含: 提供-包含至少-材料層及具有至少一形貌邊緣的圖 © 案化區域,及 形成一直接鄰接形貌邊緣的間隙壁,此間隙壁包含一 自組裝傲段共聚物的一聚合傲段成分。 山更明確地說,形成間隙壁的製程包括:塗覆一自組裝 ⑽段共聚物至包含上述至少—材料層的随化區域,退火 以形成可雜及不可歸之聚合成分的整齊陣列,及移除 上述可移除之聚合成分。 9 200937636 在本發明的另一具體實施例中,本方法包括以下步驟: 在一半導體基板之一表面上提供一包含至少一圖案化 閑極電極的圖案化材料堆疊,此圖案化閘極電極具有一形 貌邊緣;及 形成一直接鄰接形貌邊緣的間隙壁,此間隙壁包含一 自組裝嵌段共聚物的一聚合嵌段成分。A spacer directly adjacent to the edge of the surface, the spacer comprising a polymeric block component of the self-packaging block copolymer. In some embodiments of the invention, the self-assembling block copolymer employed in the present invention is selected from the group consisting of: polystyrene _ _ segment - polymethacrylic acid vinegar (PS-b- PMMA), polystyrene block-polyisoprene (PS-b-PI), polystyrene-rhodium-polybutadiene (pS-b-PBD), polystyrene block -Polyvinylpyridine (PS-b-PVP), polystyrene block-polyethylene oxide (PS-b-PEO), polystyrene-block-polyethylene (PS-b-PE), poly Styrene-b-polyorganosilicate (PS-b-POS), polystyrene-block-polyferrocene dimethyl decane (PS-b-PFS), polyethylene oxide-block- Polyisoprene (PEO-b-PI), polyethylene oxide-block-polybutadiene (PEO-b-PBD), polyethylene oxide block-polymethacrylate (PEO) -b-PMMA), polyethylene oxide-block-saturated polyethylene (PEO-b-PEE), polybutadiene-block-polyvinylpyridine (PBD-b-PVP), and polyisoprene Diene-block-polymethacrylate (PI-b-PMMA). In a particular embodiment of the invention, a nanostructure '200937636 is provided comprising: - a semiconductor substrate; a patterned material stack comprising at least one patterned gate electrode, the patterned gate electrode having a topographical edge And a spacer directly adjacent to the edge of the topography, the spacer comprising a polymeric block component of a self-assembling block copolymer. In addition to the above semiconductor structure, the present invention also provides a method of manufacturing the spacer of the present invention, which can be implemented in any process of nanostructure processing. The wall fabrication of the present invention is formed using a self-assembling block filament technique and, therefore, does not alter, damage and/or remove any material present in the surrounding field. Moreover, the method of the present invention does not utilize any anisotropic technique when fabricating the spacers. Generally, the method of the present invention comprises: providing - a layer comprising at least - a layer of material having at least one topographical edge, and a spacer forming a directly adjacent edge of the topography, the spacer comprising a self-assembly A proud segment of the copolymer of the proud segment. More specifically, the process of forming the spacers includes: coating a self-assembled (10) segment of the copolymer to a chemically-containing region comprising the at least one of the material layers, annealing to form a neat array of heterogeneous and non-returnable polymeric components, and The above removable polymeric ingredients are removed. 9 200937636 In another embodiment of the invention, the method comprises the steps of: providing a patterned material stack comprising at least one patterned idle electrode on a surface of a semiconductor substrate, the patterned gate electrode having a topographical edge; and a spacer formed directly adjacent to the edge of the topography, the spacer comprising a polymeric block component of a self-assembling block copolymer.

【實施方式】 本發明&供一種保護奈米結構内的材料或材料堆最之 =貌邊緣的間隙壁及其製造方法,現將參考以下論^本 說明。請注意,本中請案圖式僅提供 鮮況目的之用,因而各圖式並未按比例緣製。 八在以下說明中,提出許多具體細節,如特定結構、成[Embodiment] The present invention is directed to a spacer for protecting a material or a stack of materials in a nanostructure, and a method of manufacturing the same, and will now be referred to the following description. Please note that the illustrations in this section are for general purpose purposes only and therefore the drawings are not proportional. In the following description, many specific details are proposed, such as specific structure,

程步驟、及技術,以全面瞭解本發明。 亦可實施,在沒扣场定細節的情況下, 焦點,並未其:例子中’為了避免模糊本發明的 亚禾忒明所熟知的結構或製程步驟。 另-:表Γ個7"件(如薄層、區域或基板)位於 可存有中門元社 係表示直接位於其他元件的上面或 〜元::當表示一個元件「直接」位於另 表不其間沒有中間元件。另應明白,當表示 200937636 某元件為「連接」或「耦合」至另一元件時,此元件係直 接連接或耦合至另一元件或可存有中間元件。反之當表 示一個元件「直接連接」或「直接耦合」至另一元件:便 表示其間沒有中間元件。 ❹ ❹ Μ Γ确述代表本發明之極佳具體實施例,其中在FET 結構中使用本發明之間_。_本發明U猶壁係結合 一 fET結構而顯示及說明,但本發明並不限於本文所述及 所示的FET應用。事實上,本發明之_壁可在任何奈米 結構應用巾使用’其巾用直接鄰接_壁保護至少一材料 層的形貌邊緣。本發明間隙壁的其他應用包含但不限於: 保護電容結構之形貌邊緣的間隙壁、保護雙極電晶體結構 之形貌邊緣_隨、保護電子紐絲之形貌邊緣的間隙 壁、保護MEMS裝置之形貌邊緣的赚壁、保護電感器之 形貌邊緣的間隙壁、保護感測器之形貌邊緣的間隙壁、及 保遵光電裝置之形貌邊緣的間隙壁。 現參考圖1Α·1Ε’_解在附結_實麵本發明 間隙壁。本發财法始於首先提供圖1Α顯示的初始結構, 其包括位在半導體基板10之表面上包含閘極介電質Η及 閘極電極16的材料堆疊12。 圖1Α所示初始結構的半導體基板1〇包含任何半導體 材料,其包含但不限於·· Si、Ge、SiGe、SiC、SiGeC、QaAs、 200937636The procedures and techniques are to provide a comprehensive understanding of the present invention. It can also be implemented, in the absence of deduction of details, the focus is not: in the example 'to avoid obscuring the structure or process steps well known to the invention. Another-: table 7" pieces (such as thin layers, regions or substrates) located in the middle of the door can be stored directly above other components or ~ yuan: when indicating that a component is "directly" on the other table There are no intermediate components in between. It should also be understood that when a component is referred to as "connected" or "coupled" to another component, the component is directly connected or coupled to the other component or may have intermediate components. Conversely, when a component is referred to as being "directly connected" or "directly coupled" to another component, it means that there is no intermediate component in between.极 ❹ Γ Γ Γ 代表 代表 代表 代表 代表 代表 极 极 极 极 极 极 极 极 极 极 极 极 极 极 极 极 极 极 极 极The U-wall of the present invention is shown and described in connection with a fET structure, but the invention is not limited to the FET applications described and illustrated herein. In fact, the wall of the present invention can be used in any nanostructure application towel to have a top edge that protects at least one of the layers of material from the wall. Other applications of the spacer of the present invention include, but are not limited to,: a spacer for protecting the edge of the topography of the capacitor structure, a topography edge for protecting the bipolar transistor structure, a spacer for protecting the edge of the electronic wire, and a MEMS protection The wall of the device is edged, the gap of the edge of the inductor is protected, the gap of the edge of the sensor is protected, and the gap of the edge of the optoelectronic device. Referring now to Fig. 1 Ε 1 Ε ' _ solution in the attachment _ solid surface of the present invention. The present invention begins with the initial construction of Figure 1A, which includes a stack of materials 12 comprising a gate dielectric and a gate electrode 16 on the surface of the semiconductor substrate 10. The semiconductor substrate 1 of the initial structure shown in FIG. 1A includes any semiconductor material including but not limited to Si, Ge, SiGe, SiC, SiGeC, QaAs, 200937636

GaN、InAs、InP及所有其他m/v或II/Vi化合物半導體。 半導體基板10亦可包含有機半導體或分層半導體,如 Si/SiGe、絕緣體上石夕(s〇l)、絕緣體上矽鍺(犯〇1)或絕緣體 上鍺(GOI)。在本發明的某些具體實施例中,較佳是半導體 基板10由含Si半導體材料(即包含矽的半導體材料)所構 成。 ❹ 半導體基板10可為摻雜、未摻雜或其中含有摻雜及未 摻雜區域。半導體基板10可包含單晶向’或其可包含至少 兩個具有不同晶向的共面表面區域(此基板在本技術中稱 為混合基板)。在採用混合基板時,nFET通常形成於(100) 結晶表面,而pFET通常形成於(110)結晶平面。混合基板 y利用諸如美國專利申請公開案第2004/0256700 A1號、 = 2005/0093104A1號、及第2〇〇5舰629〇A1號中所述技 術形成’各公開案之整體内轉併人本文作為參考。 第- H體基板10亦可包含第一摻雜(n型或P型)區域及 區域。為清楚之故,在本申請案的圖 域可二门c域及第二摻雜區 摻雜區域二「t有Γ導電率及/或摻雜濃度。這些 成。^為彳」’且其係利用習用的離子植入製程形 妾著通$在半導體基板ig中形成至少-隔離區域(未 12 200937636 顯不)。隔離區域可以是溝渠隔離區域或場氧化物隔離區 域。利用此技藝人士所熟知之習用的溝渠隔離製程,即可 形成溝渠隔離區域。例如,形成溝渠隔離區域時可使用微 影、蝕刻、並以溝渠介電質填充溝渠^視情況,可在溝渠 填充之則,先在溝渠中形成襯墊,在溝渠填充後,可執行 稠密化(^bnSiflcati〇n)步驟,及可在溝渠填充後進行平面化 製程。場氧化物可儀所謂「梦製程的局部氧化」來形成。 ;主意上述至少一隔離區域提供鄰近閘極區域之間的隔 離,通常在鄰近閘極具有相反導電率(即,nFET及pFET) 時需要隔離。鄰近閘極區域可具有相同導電率(即,均為η 型或Ρ型)’或另一選擇是,其可具有不同導電率(即一 個為η型及另一個為ρ型)。 在處理半導體基板10之後,視情況在半導體基板10 =表面上形成介面層(未顯示)。介面層係利用熟習本技術 =知的㈣生長技術(包括如氧化錢氧化)而形成。當 二备1〇是含Si半導體時’介面層可由氧化石夕、氮氧化石夕 或氮化氧化矽所構成。當基板10不是含Si半導體時,介 包含半導體·物、半導體氮氧化物或氮化半導體 夂匕物。介面層的厚度通常從約〇·5至約】2贈,而從約 門至私約!細的厚度較為常見。然而,在以cm〇s製造期 曰、、、二吊需要的較高溫度處理之後,厚度可能不同。 接下來’可在介面層(若存在)的表面或半導體結構 13 200937636 ιο(如果沒有介面層〗的表面上,利用沈積製程,諸如化學 氣相沈積(CVD)、電漿辅助CVD、物理氣相沈積(pvj))、 金屬有機化學氣相沈積(MOCVD)、原子層沈積(ALD)、蒸 鑛、反應性賤鑛、化學溶液沈積及其他類似沈積製程,形 成閘極介電質14。在本發明之某些具體實施例中,閘極介 電質14可以例如熱氧化或熱氮化的熱生長製程來形成,亦 可利用上述製程的任何組合而形成閘極介電層14。 ❹ 閘極介電質14包含任何習用的介電材料,其可包含氧 化物、氮化物、氮氧化物或其包含多層的任何組合。一般 而言(但未必總是)’閘極介電質14是矽的氧化物、矽的氮 化物或矽的氮氧化物。在其他具體實施例中,閘極介電質 14係高k閘極介電質。本文用語「高k閘極介電質」是指 介電常數大於4.0(較佳是大於7.0)的介電材料。此類高k 閘極介電材料的範例包含但不限於:Ti02、八12〇3、Ζ:ιΌ2、 Hf〇2 Ta2〇5、La2〇3、混合金屬氧化物(諸如妈鈦礦型氧化 ® 物)/及其組合及多層。亦可使用上述金屬氧化物的石夕酸鹽 及氮化物作為高k閘極介電材料。 閘極介電質14的實體厚度可有所變化,但閘極介電質 I4通常具有厚度介於約0.5至約1G細,而介於約〇 5至約 3 nm的厚度較為常見。 · 繼形成閘極介電f 14之後,在問極介電f 14頂部形 14GaN, InAs, InP and all other m/v or II/Vi compound semiconductors. The semiconductor substrate 10 may also comprise an organic semiconductor or a layered semiconductor such as Si/SiGe, an insulator (S1), an insulator (1) or a barrier on insulator (GOI). In some embodiments of the invention, it is preferred that the semiconductor substrate 10 be comprised of a Si-containing semiconductor material (i.e., a semiconductor material comprising germanium).半导体 The semiconductor substrate 10 can be doped, undoped, or contain doped and undoped regions therein. The semiconductor substrate 10 may comprise a single crystal orientation or it may comprise at least two coplanar surface regions having different crystal orientations (this substrate is referred to herein as a hybrid substrate). When a hybrid substrate is employed, the nFET is typically formed on the (100) crystalline surface, while the pFET is typically formed on the (110) crystalline plane. The mixed substrate y is formed by the techniques described in, for example, U.S. Patent Application Publication No. 2004/0256700 A1, No. 2005/0093104A1, and No. 2, No. 5, ship 629, A1. Reference. The first H-body substrate 10 may also include a first doped (n-type or P-type) region and region. For the sake of clarity, in the field of the present application, the two-door c-domain and the second doped region doped region two "t have a germanium conductivity and/or a doping concentration. These are ^^ are 彳" and The at least-isolated region is formed in the semiconductor substrate ig by using a conventional ion implantation process to form a pass-through region (not shown in Figure 12). The isolation region can be a trench isolation region or a field oxide isolation region. Ditch isolation areas can be formed using conventional trench isolation processes well known to those skilled in the art. For example, when forming a trench isolation region, lithography, etching, and filling the trench with a trench dielectric can be used. When the trench is filled, a liner is formed in the trench, and after the trench is filled, the dense can be performed. (^bnSiflcati〇n) steps, and can be planarized after the trench is filled. Field oxides can be formed by the so-called "local oxidation of the dream process." The idea is that the at least one isolation region provides isolation between adjacent gate regions, typically requiring isolation when adjacent gates have opposite conductivities (i.e., nFETs and pFETs). The adjacent gate regions may have the same conductivity (i.e., both are n-type or Ρ-type) or alternatively, they may have different electrical conductivities (i.e., one is n-type and the other is p-type). After processing the semiconductor substrate 10, an interface layer (not shown) is formed on the surface of the semiconductor substrate 10 as appropriate. The interface layer is formed using familiar techniques (including, for example, oxidation of oxidized money). When the two devices are Si-containing semiconductors, the interface layer may be composed of oxidized oxidized stone, oxynitride or cerium nitride oxide. When the substrate 10 is not a Si-containing semiconductor, it contains a semiconductor material, a semiconductor oxynitride or a nitride semiconductor. The thickness of the interface layer is usually given from about 〇·5 to about 2, and from about the door to the private treaty! Thin thickness is more common. However, the thickness may be different after processing at a higher temperature required for the manufacturing process of 曰, , and 吊. Next, a deposition process such as chemical vapor deposition (CVD), plasma-assisted CVD, physical vapor phase can be utilized on the surface of the interface layer (if present) or on the surface of the semiconductor structure 13 200937636 ιο (if there is no interface layer). Sedimentation (pvj)), metal organic chemical vapor deposition (MOCVD), atomic layer deposition (ALD), vaporization, reactive antimony ore, chemical solution deposition, and other similar deposition processes to form gate dielectrics 14. In some embodiments of the invention, the gate dielectric 14 can be formed by a thermal growth process such as thermal oxidation or thermal nitridation, and the gate dielectric layer 14 can be formed using any combination of the above processes.闸 Gate dielectric 14 comprises any conventional dielectric material which may comprise an oxide, a nitride, an oxynitride or any combination thereof comprising multiple layers. In general (but not necessarily always), the gate dielectric 14 is an oxide of cerium, a nitrogen of cerium or an oxynitride of cerium. In other embodiments, the gate dielectric 14 is a high-k gate dielectric. The term "high-k gate dielectric" as used herein refers to a dielectric material having a dielectric constant greater than 4.0 (preferably greater than 7.0). Examples of such high-k gate dielectric materials include, but are not limited to, Ti02, 八12〇3, Ζ: ιΌ2, Hf〇2, Ta2〇5, La2〇3, mixed metal oxides (such as Maternal Oxidation® ()) / combinations thereof and multiple layers. The metal oxides and nitrides of the above metal oxides can also be used as the high-k gate dielectric material. The physical thickness of the gate dielectric 14 can vary, but the gate dielectric I4 typically has a thickness between about 0.5 and about 1 G, while a thickness between about 5 and about 3 nm is more common. · After forming the gate dielectric f 14 , the top of the dielectric f 14 is shaped 14

200937636 成閘極電極16。明確地說’利用已知沈積製程,諸如物理 氣相沈積、CVD或蒸鍵’在閘極介電質14上形成導電材 料的包覆層。用作閘極電極16的導電材料包含但不限於: 單晶、多晶或非晶形式的含Si材料,諸如Si或SiGe合金 層。導電材料亦可以是導電金屬、導電金屬合金及/或導電 金屬氮化物。本文亦考慮上述導體材料的組合。閘極電極 16以含Si材料較佳,而以多晶矽(polySi)最佳。 除了上述導電材料,本發明亦設想其中閘極電極16 70全石夕化或為包含梦化物及Si或SiGe之組合之堆疊的例 子。矽化物係使用熟習本技術者熟知的習用矽化製程製 成三完全矽化閘極可使用習用的取代閘極製程來形成;其 細節對於本發明之實施並非重點。 導電閘極材料的包覆層可為摻雜或未雜。若為摻 則在形成包覆層時可_原位摻雜沈積製程,或者可 措由沈積、離子狀及退火形成摻雜陳電極。離子植入 及退火可在圖案化材料堆疊的後軸刻步驟之前或之後發 ^閘極電極16的摻雜將改變所形成閘極導體的功函數。 1从SFfT之推雜物離子的解說性範例包含元素週期表VA 、、兀,、(形成pMOSFET時可使用IIIA族元素 15 200937636 20至約180nm的垂直厚度,而以約40 nm至約150 nm的 厚度較為常見。 在一些具體實施例中(未顯示),在閘極電極16頂部形 成介電硬遮罩。若存在,介電硬遮罩係由氧化物、氮化物 或氮氧化物構成,尤以矽的氧化物或矽的氮化物為介電硬 遮罩的極佳材料。介電硬遮罩用以保護閘極電極在FET製 造中不會受到一些其他處理步驟的影響。介電硬遮罩係藉 由習用的沈積製程形成(諸如化學氣相沈積、電漿增強化學 氣相沈積、原子層沈積)。或者,可藉由熱製程,例如氧化, 形成介電硬遮罩。 在形成圖1A中顯示的初始結構之後,藉由微影及蝕 =’圖案化至少材料12的閘極電極16。圖1B圖解在此圖 =化步驟已執行之後卿成的結構。在顯科具體實施例 明堆4 12的閉極電極16及閘極介電質14均以本發 2此步驟來圖案化。注意,雖賴式 但本發明並不僅限於此數目的圖案:: 二化鄰近圖案化材料堆疊鄰接圖-顯 影曝先先阻。在將圖案轉移至材料堆叠心 16 ❹ ❹ 200937636 後的任何時間,自此結構移除顯影的阻劑。在已200937636 becomes the gate electrode 16. Specifically, a coating of a conductive material is formed on the gate dielectric 14 by a known deposition process such as physical vapor deposition, CVD or steaming. The conductive material used as the gate electrode 16 includes, but is not limited to, a Si-containing material such as a Si or SiGe alloy layer in a single crystal, polycrystalline or amorphous form. The electrically conductive material can also be a conductive metal, a conductive metal alloy, and/or a conductive metal nitride. Combinations of the above conductor materials are also contemplated herein. The gate electrode 16 is preferably made of a Si-containing material, and polycrystalline germanium (polySi) is preferred. In addition to the above-described conductive materials, the present invention also contemplates an example in which the gate electrode 16 70 is entirely sinusoidal or is a stack comprising a combination of dreaming and Si or SiGe. The telluride system is formed using a conventional passivation process well known to those skilled in the art to form a fully enthalpy gate which can be formed using conventional replacement gate processes; the details of which are not critical to the practice of the present invention. The cladding of the conductive gate material can be doped or undoped. In the case of doping, the deposition process may be performed in the formation of the cladding layer, or the doped Chen electrode may be formed by deposition, ionization and annealing. Ion implantation and annealing can be performed before or after the post-axis engraving step of patterning the material stack. Doping of the gate electrode 16 will change the work function of the formed gate conductor. 1 An illustrative example of a dopant ion from SFfT includes the periodic table of elements VA, 兀, (when forming a pMOSFET, a vertical thickness of the IIIA element 15 200937636 20 to about 180 nm can be used, and from about 40 nm to about 150 nm The thickness is relatively common. In some embodiments (not shown), a dielectric hard mask is formed on top of the gate electrode 16. If present, the dielectric hard mask is comprised of oxide, nitride or oxynitride, In particular, the oxide of tantalum or niobium is an excellent material for dielectric hard masks. Dielectric hard masks are used to protect the gate electrodes from FET fabrication without some other processing steps. The mask is formed by a conventional deposition process (such as chemical vapor deposition, plasma enhanced chemical vapor deposition, atomic layer deposition). Alternatively, a dielectric hard mask can be formed by a thermal process such as oxidation. After the initial structure shown in FIG. 1A, at least the gate electrode 16 of the material 12 is patterned by lithography and etch=''''''''''''''''''''' Example of the closed pole of the stack 4 12 Both the pole 16 and the gate dielectric 14 are patterned in this step. Note that although the invention is not limited to this number of patterns:: Dimorphization of adjacent patterned material stacks adjacent to the image - development exposure The resistance is removed from the structure at any time after the pattern is transferred to the material stack core 16 ❹ ❹ 200937636.

圖案化之後,可使用f賴離製程,諸如灰化 D 化的阻劑。 砂陈園茶 上述钱刻步驟包含乾式綱製程(即,反應性離子钱 =::束_、繼刻及/或雷射酬、化學濕絲 刻劑製程’或可制乾式及濕式侧的組合。 牛-匕意’軸在製造圖1B顯示之結構時描述以上處理 ^ ’旦可彻習賴極取代製程實現圖1B顯示之结構。 =及僅限於上文為形成圓1B顯示之結構所 露形=:二:供;!形f圖案化材料堆養12.之裸 =12閉極電極及圖案化開極介電質二者,但 人^、區域僅包含單—材料層的情況中或圖案化區 域包含多於兩個材料層時同樣有用。 植入ίί::此時:通常利用此技藝之人士所熟知的離子 隙壁的情她極延伸區域21。通常,在沒有側壁間 圖;化二電極入, 步驟之後,可執:,形貌邊緣2〇)。在此離子植入 丁、擇性的退火製程,以活化在離子植入 17 200937636 製程期間植人轉雜&。在本 :二:::嶋_的離子植:在, ^ΐΖΤ,:;:ΤΤ^ 14^αν^ 後,移除閉極介電繼形成本發明間_之 ❹ 月垃f —層自組裝嵌段共聚物塗覆於圖1Β顯示之結構, ft 形成含有重複結構單_整齊圖案。此層自 、、且裝讀共聚物的高度具有與閘極電極16之厚度大體上 =同的厚度。因此,自組裝喪段共聚物並不會延伸超出圖 案化材料堆疊12,的最上方表面。至少圖案化_電極16 的形貌邊緣20用作保持此區域内需要圖案化之嵌段共聚 物的心轴。 有許多不同類型的嵌段共聚物可用來實施本發明。只 要嵌段共聚物含有二或多個彼此不互溶的不同聚合嵌段成 分,此二或多個不同聚合嵌段成分即能夠分成二或多個奈 米等級的不同相位,藉此在適合條件下形成隔離之奈米尺 寸結構單元的圖案。 在本發明之較佳但不一定要的具體實施例中,散段共 聚物實質上由不互溶的第一及第二聚合嵌段成分A及B組 18 200937636 成。喪段共聚物可含有以任何方式排列之任何數量的聚合 肷’又成刀A及B。嵌丨又共聚物可具有直鍵或支鍵結構。較 佳是,此嵌段聚合物是具有A-B分子式的線性雙嵌段共聚 物。此外,嵌段共聚物可具有以下分子式的任何一個:After patterning, a process can be used, such as a ashing resist. Sand Chenyuan Tea The above-mentioned money engraving step includes a dry process (ie, reactive ion money =:: bundle _, subsequent engraving and / or laser remuneration, chemical wet silk engraving process) or dry and wet side The combination of the "bovine-sounding" axis describes the above-described process when manufacturing the structure shown in Fig. 1B. The structure shown in Fig. 1B can be realized by the replacement process. = and is limited to the structure shown above for forming the circle 1B. Exposure =: two: for; ; shape f patterned material stacking 12. bare = 12 closed electrode and patterned open dielectric, but the person ^, the region only contains a single - material layer in the case Or the patterned area is equally useful when it contains more than two layers of material. Implantation ίί:: At this point: the ion-gap wall that is commonly known to those skilled in the art is generally extended to the region 21. Typically, there is no inter-sidewall map After the second electrode is inserted, after the step, it can be executed: the edge of the topography is 2〇). Here, the ion implantation process is performed in an ionic, selective annealing process to activate the implants during the ion implantation 17 200937636 process. In this: 2:::嶋 ion ion implant: after, ^ΐΖΤ,:;:ΤΤ^ 14^αν^, remove the closed-electrode dielectric to form the invention _ ❹ 垃 垃 f f-layer self-assembly The block copolymer is applied to the structure shown in Figure 1 ,, and ft forms a single-aligned pattern containing repeating structures. The height of the layer from and to the read copolymer has a thickness substantially the same as the thickness of the gate electrode 16. Therefore, the self-assembling segmented copolymer does not extend beyond the uppermost surface of the patterned material stack 12. At least the topography edge 20 of the patterned electrode 16 serves as a mandrel that maintains the block copolymer that needs to be patterned in this region. There are many different types of block copolymers that can be used to practice the invention. As long as the block copolymer contains two or more different polymeric block components that are immiscible with each other, the two or more different polymeric block components can be separated into different phases of two or more nanometer grades, thereby being suitable under suitable conditions A pattern of isolated nano-sized structural units is formed. In a preferred but not necessarily specific embodiment of the invention, the bulk copolymer is substantially comprised of the first and second polymeric block components A and B 18 200937636 which are immiscible. The stagnation copolymer may contain any number of polymeric oxime's arranged in any manner to form knives A and B. The inlaid copolymer can have a direct bond or a bond structure. Preferably, the block polymer is a linear diblock copolymer having the formula A-B. Further, the block copolymer may have any one of the following formulas:

AA

AA

B Α*Β·Α-Β A Β 等 ❹ 形成本發明結構單元可使用之合適嵌段共聚物的特定 範例可包含但不限於:聚苯乙烯-嵌段-聚甲基丙烯酸酯 (PS-b-PMMA)、聚苯乙烯-嵌段-聚異戊二烯(ps_b-pi)、聚苯 乙烯··嵌段-聚丁二烯(PS-b-PBD)、聚苯乙烯-嵌段-聚乙烯吡 啶(PS-b-PVP)、聚苯乙烯-嵌段-聚環氧乙烷(pS_b_PEO)、聚 苯乙烯-叙段-聚乙稀(PS-b-PE)、聚苯乙烯_b-聚有機矽酸鹽 (PS-b-POS)、聚苯乙烯-嵌段-聚二茂鐵二曱基石夕烧 (PS-b-PFS)、聚環氧乙烷-嵌段-聚異戊二烯(pEO_b-PI)、聚 環氧乙烷-散段-聚丁二烯(ΡΕΟ-b-PBD)、聚環氧乙烷-欲段· 5^甲基丙稀酸S旨(PEO-b-PMMA)、聚環氧乙炫彼段-飽和聚 乙稀(PEO-b-PEE)、聚丁二烯-嵌段-聚乙烯"比啶 (PBD-b-PVP)、及聚異戊二烯-嵌段_聚曱基丙烯酸酯 (PI-b-PMMA)。 可以第一及第二聚合嵌段成分A及B之間的分子量比 率決定由嵌段共聚物形成的特定結構單元。例如,當第一 19 200937636 聚合嵌段成分A的分子量與第二聚合嵌段成分B的分子量 之比大於約80:20時,嵌段共聚物將在由第一聚合喪段成 分A組成的矩陣中,形成由第二聚合嵌段成分b組成的整 齊球體陣列。當第一聚合嵌段成分A的分子量與第二聚合 嵌段成分B的分子量之比小於約80:20但大於約60:40時, 嵌段共聚物將在由第一聚合嵌段成分A組成的矩陣中,形 成由第二聚合嵌段成分B組成的整齊圓柱陣列。當第一聚 合嵌段成分A的分子量與第二聚合嵌段成分B的分子量之 比小於約60:40但大於約40:60時,嵌段共聚物將形成由第 一及第二聚合嵌段成分A及B組成的交替薄片。因此,可 在本發明的嵌段共聚物中調整第一及第二聚合嵌段成分A 及B之間的分子量比率,以形成所要的結構單元。 在本發明之較佳具體實施例中,第一聚合嵌段成分A 之为子里與第二聚合嵌段成分B之分子量的比率介於從約 80:20至約60:40,致使本發明的嵌段共聚物將在由第一聚 合嵌段成分A構成的矩陣中,形成由第二聚合嵌段成分b 構成之整齊的線陣列。 較佳疋’成分A及B中的—個相對於另一個為可選擇 性移目^形成由未移除成分構成之隔離及整齊排列的 結構單7G,或含有可移除成分所留下的隔離及整齊排列之 空腔或溝渠的連續結構層。 20 200937636 j圖1C中,將嵌段共聚物的不可移除成^標示為參 考數字22,將由喪段共聚物之可移除成分建立的溝渠標示 為參考數字24。注意,雖然當前具體實施例圖解線條/間隔 圖案化的形成,但本發明並不限於此。由於本發明方法中 所使用的自組裝嵌段共聚物,每一重複單元具有約5〇⑽ 或以下的寬度。可圖案化/形成之圖案的其他類型包含例如 球形、圓柱形、或薄片。 在本發明之尤佳的具體實施例中,形成本發明之自組 裝週期圖案所使用的嵌段共聚是PS:PMMA分子量比率從 約 80:20 至約 60:40 的 PS-b-PMMA。 通常,以項xN表示嵌段共聚物中不同聚合嵌段成分 間的互斥,其中X是佛-赫交互作用參數(m〇ry_Huggins interaction parameter) ’及N是聚合程度。别越高,嵌段共 聚物中不同嵌段間的互斥越高,且其間越有可能發生相位 Φ 分離。當λΝ>10(以下稱為「強分離限制」)時,在嵌段共 聚物中的不同嵌段間極有可能發生相位分離。 對於PS-b-PMMA雙嵌段共聚物’可將X計算為約 0.028+3.9/T,其中T為絕對溫度。因此,χ在473K(与2〇() C)為約0.0362。當PS-b-PMMA雙嵌段共聚物的分子量(Μη) 約64 Kg/mo卜且分子量比率(PS:PMMA)約66:34時,聚合 程度N為約622.9,因此在200°C時約22.5。 21 200937636 依此方式,藉由調整一或多個參數,如組成物、總分 子量、及退火溫度,即可控制本發明嵌段共聚物中不同聚 合嵌段成分間的互斥,以在不同嵌段成分之間實行所要的 相位分離。相位分離進而可形成含有重複結構單元之整齊 陣列的自組裝週期圖案(即,球形、直線、圓柱形、或薄片), 如上文所述。 為了形成自組裝週期性圖案,先在適合溶劑系統中溶 解嵌^又共聚物,以形成嵌段共聚物溶液,然後將此截段共 聚物溶劑塗覆於表面上,以形成薄的嵌段共聚物層,然後 退火此薄的嵌段共聚物層,藉此在嵌段共聚物中所含有的 不同聚合嵌段成分之間實行相位分離。 用於溶解嵌段共聚物及形成嵌段共聚物溶液的溶劑系 統可包含任何適合溶劑’其包含(但不限於):甲笨、單曱 ❿ 基醚丙二醇乙酸酯(PGMEA)、單曱基醚丙二醇(PGME)、及 丙嗣。嵌段共聚物溶液較佳是含有濃度介於溶液總重量約 0.1%至約2%的嵌段共聚物。更佳是,嵌段共聚物溶液含 有濃度介於約〇.5 wt%至約1.5 wt%的嵌段共聚物。在本發 明之尤佳的具體實施例中,嵌段共聚物溶液包含溶解於; 苯或 PGMEA 中約 〇.5 wt%至約 1.5 wt% 的 PS-b-PMMA。 可利用任何合適的技術,包含但不限於旋轉成型、塗 22 200937636 佈、喷麗、墨水塗饰、浸塗等,將嵌段共聚物溶液塗覆於 裝置結構的表面上。較佳是,將嵌段共聚物溶液旋轉成型 於裝置結構的表面,以在其上形成薄的嵌段共聚物層。 在塗覆薄的嵌段共聚物層於裝置之表面上後,將整個 裝置結構退火,以實行嵌段共聚物所含有之不同嵌段成分 的微相位分離’藉此形成具有重複結構單元的週期性圖案。 Ο 可利用本技術已知各種方法來退火嵌段共聚物,這些 方法包含(但不限於):熱退火(在真空中退火或在含有氮或 氬的惰性環境中退火)、紫外光退火、雷射退火、溶劑蒸氣 輔助退火(在室溫中退火或在室溫以上退火)、及超臨界流 體辅助退火;為了避免模糊本發明的焦點,將不詳細說明 這些技術。 在本發明之尤佳的具體實施例中,執行熱退火步驟, ® 以在高於嵌段共聚物之玻璃轉移溫度(Tg)但低於嵌段共聚 物之分解或降解溫度(Td)的升高退火溫度中退火嵌段共聚 物層。更佳是,在約200。〇300。(:的退火溫度中執行熱退 火步驟。熱退火可持續小於約1小時至約100小時,而以 介於約1小時至約15小時較為常見。 在本發明的替代性具體實施例中,利用紫外光(UV)處 理使嵌段共聚物層退火。 23B Α*Β·Α-Β A Β ❹ 特定 Specific examples of suitable block copolymers which can be used to form the structural unit of the present invention may include, but are not limited to, polystyrene-block-polymethacrylate (PS-b) -PMMA), polystyrene-block-polyisoprene (ps_b-pi), polystyrene block-polybutadiene (PS-b-PBD), polystyrene-block-poly Vinylpyridine (PS-b-PVP), polystyrene-block-polyethylene oxide (pS_b_PEO), polystyrene-segment-polyethylene (PS-b-PE), polystyrene_b- Polyorganophthalate (PS-b-POS), polystyrene-block-polyferrocene bismuth oxide (PS-b-PFS), polyethylene oxide-block-polyisoprene Alkene (pEO_b-PI), polyethylene oxide-dispersion-polybutadiene (ΡΕΟ-b-PBD), polyethylene oxide-segment·5^methyl acrylate acid (PEO-b) -PMMA), Poly Ethylene Ethylene - Saturated Polyethylene (PEO-b-PEE), Polybutadiene-Block-Polyethylene "Bipyridine (PBD-b-PVP), and Polyisoprene Diene-block_polydecyl acrylate (PI-b-PMMA). The specific structural unit formed from the block copolymer can be determined by the molecular weight ratio between the first and second polymeric block components A and B. For example, when the ratio of the molecular weight of the first 19 200937636 polymeric block component A to the molecular weight of the second polymeric block component B is greater than about 80:20, the block copolymer will be in a matrix consisting of the first polymeric segmental component A. In the middle, an array of neat spheres composed of the second polymeric block component b is formed. When the ratio of the molecular weight of the first polymeric block component A to the molecular weight of the second polymeric block component B is less than about 80:20 but greater than about 60:40, the block copolymer will consist of the first polymeric block component A. In the matrix, a neat cylindrical array consisting of the second polymeric block component B is formed. When the ratio of the molecular weight of the first polymeric block component A to the molecular weight of the second polymeric block component B is less than about 60:40 but greater than about 40:60, the block copolymer will form from the first and second polymeric blocks. An alternating sheet of ingredients A and B. Therefore, the molecular weight ratio between the first and second polymeric block components A and B can be adjusted in the block copolymer of the present invention to form a desired structural unit. In a preferred embodiment of the invention, the ratio of the molecular weight of the first polymeric block component A to the second polymeric block component B is from about 80:20 to about 60:40, resulting in the invention. The block copolymer will form a neat array of wires composed of the second polymeric block component b in a matrix composed of the first polymeric block component A. Preferably, the one of the components A and B is selectively movable relative to the other to form an isolated and neatly arranged structural single 7G consisting of unremoved components, or containing a removable component. A continuous structural layer of isolated or neatly arranged cavities or trenches. 20 200937636 j In Figure 1C, the non-removable block of the block copolymer is designated as reference numeral 22, and the trench established by the removable component of the segmented copolymer is designated as reference numeral 24. Note that while the current embodiment illustrates the formation of line/space patterning, the invention is not limited thereto. Due to the self-assembling block copolymer used in the process of the invention, each repeating unit has a width of about 5 Å (10) or less. Other types of patterns that can be patterned/formed include, for example, spheres, cylinders, or sheets. In a particularly preferred embodiment of the invention, the block copolymer used to form the self-assembly cycle pattern of the present invention is PS-PM-PMMA having a PS:PMMA molecular weight ratio of from about 80:20 to about 60:40. Typically, the term "X" indicates the mutual exclusion between different polymeric block components in the block copolymer, where X is the m〇ry_Huggins interaction parameter' and N is the degree of polymerization. The higher the degree, the higher the mutual repulsion between the different blocks in the block copolymer, and the more likely the phase Φ separation occurs. When λ Ν > 10 (hereinafter referred to as "strong separation limit"), phase separation is highly likely to occur between different blocks in the block copolymer. For the PS-b-PMMA diblock copolymer', X can be calculated to be about 0.028 + 3.9 / T, where T is the absolute temperature. Therefore, χ is at 473K (with 2〇() C) of about 0.0362. When the molecular weight (?η) of the PS-b-PMMA diblock copolymer is about 64 Kg/mo and the molecular weight ratio (PS:PMMA) is about 66:34, the degree of polymerization N is about 622.9, so about 200 ° C 22.5. 21 200937636 In this way, by adjusting one or more parameters, such as composition, total molecular weight, and annealing temperature, the mutual exclusion between different polymeric block components in the block copolymer of the present invention can be controlled to be differently embedded. The desired phase separation is performed between the segment components. Phase separation, in turn, can form a self-assembled periodic pattern (i.e., spherical, linear, cylindrical, or flake) containing a neat array of repeating structural units, as described above. In order to form a self-assembled periodic pattern, the copolymer is first dissolved in a suitable solvent system to form a block copolymer solution, and then the cross-section copolymer solvent is applied to the surface to form a thin block copolymer. The layer is then annealed to the thin block copolymer layer whereby phase separation is effected between the different polymeric block components contained in the block copolymer. The solvent system for dissolving the block copolymer and forming the block copolymer solution may comprise any suitable solvent 'which includes but is not limited to: methyl, monodecyl ether propylene glycol acetate (PGMEA), monoterpene Ether propylene glycol (PGME), and propylene glycol. Preferably, the block copolymer solution contains a block copolymer having a concentration of from about 0.1% to about 2% by weight based on the total weight of the solution. More preferably, the block copolymer solution contains a block copolymer having a concentration of from about 0.5% by weight to about 1.5% by weight. In a particularly preferred embodiment of the invention, the block copolymer solution comprises PS-b-PMMA dissolved in from about 5% to about 1.5% by weight of benzene or PGMEA. The block copolymer solution can be applied to the surface of the device structure using any suitable technique including, but not limited to, rotational molding, coating 22, 200937636 cloth, spray, ink coating, dip coating, and the like. Preferably, the block copolymer solution is rotationally formed on the surface of the device structure to form a thin layer of block copolymer thereon. After coating the thin block copolymer layer on the surface of the device, the entire device structure is annealed to effect microphase separation of the different block components contained in the block copolymer ' thereby forming a cycle with repeating structural units Sexual pattern.嵌段 Various methods can be utilized to anneal block copolymers using, but not limited to, thermal annealing (annealing in a vacuum or annealing in an inert atmosphere containing nitrogen or argon), ultraviolet annealing, thunder Shot annealing, solvent vapor assisted annealing (annealing at room temperature or annealing above room temperature), and supercritical fluid assisted annealing; these techniques will not be described in detail in order to avoid obscuring the focus of the present invention. In a particularly preferred embodiment of the invention, the thermal annealing step is performed, ® at a temperature above the glass transition temperature (Tg) of the block copolymer but below the decomposition or degradation temperature (Td) of the block copolymer. The block copolymer layer is annealed at a high annealing temperature. More preferably, at about 200. 〇300. The thermal annealing step is performed in the annealing temperature of (: the thermal annealing may last for less than about 1 hour to about 100 hours, and it is more common to be between about 1 hour and about 15 hours. In an alternative embodiment of the invention, utilized Ultraviolet (UV) treatment anneals the block copolymer layer.

在圖1C 200937636 成八=製Γ後,可利用相對於嵌段共聚物的另-個 f刀對此絲㈣擇性的_,歸錄錄物成分的- 及非質子性溶劑: 例如可選自以下項目:極性 形貌「絲物的可雜成分讀,剩下直接鄰接 形貌邊緣20的「不可移除」成分可用作間隙壁 中,參考數字22,代表本發明的間隙壁。 由於本發明製程中所使用的自組裝聚合物技術 ,隙壁22·的寬度W從在半導體基板1G頂部的底部測量為 >、於50nm,而以約1〇至約4〇nm的寬度較為常見。 在本發明此時’可在每一包含間隙壁22,的圖案化材料 堆疊12’頂部形成嵌段遮罩(未顯示),及接著使用習用的剝 離製程自結構移除不可移除嵌段共聚物成分22,以提供例 如圖1D顯示之結構。注意,嵌段遮罩的使用係適用於;所 閣述的具體實施例,而在其他具體實施例中並可不需要使 用嵌段遮罩。 接下來,執行習用的CMOS處理步驟,以提供圖m 中圖解的結構。明確地說,利用習用的離子植入製裎,在 半導體基板10的表面中形成源極/汲極擴散區域26。可視 24 200937636 情況在本發明製程此時執行光暈植入(halo implant)。繼形 成源極/沒極擴散區域26之後,可使用退火製程以活化植 入半導體基板10中的掺雜物。也可以延遲退火及在本發明 製程稱後的熱情況期間(如在金屬半導體合金形成期間)執 行退火。 接下來,在源極/汲極擴散區域26上形成金屬半導體 〇 合金層28。本文用語「金屬半導體合金」代表自金屬與半 導體材料的熱反應形成的反應生成物。例如,用語「金屬 半導體合金」可描述金屬;6夕化物’其中金屬係Ti、W、c〇、 Νι、Pt、Pd、Er、Ir及其他稀土(rare earth)或過渡金屬之一。 其也可以是由這些金屬中的兩個或兩個以上之組合組 合金。通常,金屬係Ti、W、C〇及Ni之一。用語、「金屬 半導體合金」亦描述包含以上金屬之一的金屬鍺化物。 金屬半導體合金層28的形成係藉由首先在圖m县員示 Ο 之結構前上’沈積㈣與半導騎料產生減應的金 屬。金屬通常為Ti、W、Co、Ni、Pt及Pd之一,以Ti、 W、Co及Ni之一尤佳。金屬可包含合金添加劑,諸如^、 A卜 Si、SC、Ti、V、Cr、Mn、Fe、c〇、Ni、Cu、Ge、Y、After Fig. 1C 200937636 into eight = Γ, you can use the other f knife relative to the block copolymer to select the _, the recorded component - and the aprotic solvent: for example From the following item: Polar topography "The miscellaneous component of the filament material is read, leaving the "non-removable" component directly adjacent to the edge 20 of the topography as the spacer, reference numeral 22, representing the spacer of the present invention. Due to the self-assembled polymer technique used in the process of the present invention, the width W of the spacer 22 is measured from the bottom of the top of the semiconductor substrate 1G to > at 50 nm, and is wider at a width of about 1 〇 to about 4 〇 nm. common. At this point in the present invention, a block mask (not shown) may be formed on top of each patterned material stack 12' comprising spacers 22, and then the non-removable block copolymer is removed from the structure using conventional stripping processes. The composition 22 is provided to provide, for example, the structure shown in Figure 1D. Note that the use of a block mask is suitable for the particular embodiment described, while in other embodiments it may be unnecessary to use a block mask. Next, a conventional CMOS processing step is performed to provide the structure illustrated in Figure m. Specifically, the source/drain diffusion region 26 is formed in the surface of the semiconductor substrate 10 by conventional ion implantation. Visual 24 200937636 The case at this time the process of the invention performs a halo implant. Following the formation of the source/dot diffusion region 26, an annealing process can be used to activate the dopant implanted in the semiconductor substrate 10. Annealing may also be delayed and the annealing performed during the thermal conditions following the process of the present invention (e.g., during metal semiconductor alloy formation). Next, a metal semiconductor bismuth alloy layer 28 is formed on the source/drain diffusion region 26. The term "metal semiconductor alloy" as used herein refers to a reaction product formed by the thermal reaction of a metal with a semiconductor material. For example, the term "metal semiconductor alloy" can describe a metal; the metal is one of Ti, W, c, Ν, Pt, Pd, Er, Ir, and other rare earth or transition metal. It may also be a combination of two or more of these metals. Usually, the metal is one of Ti, W, C〇 and Ni. The term "metal semiconductor alloy" also describes a metal halide containing one of the above metals. The metal semiconductor alloy layer 28 is formed by first depositing (four) and semi-conductive material on the front of the structure of the county. The metal is usually one of Ti, W, Co, Ni, Pt and Pd, and is preferably one of Ti, W, Co and Ni. The metal may contain alloying additives such as ^, A, Si, SC, Ti, V, Cr, Mn, Fe, c, Ni, Cu, Ge, Y,

Zr、Nb、Mo、RU、Rh、Pd、ΐη、Sn、u、Hf、心 w、 Re、Ir、I>t、Ce、Pr、Nd、Sm、Eu、Gd、Tb、Dy、H〇、Zr, Nb, Mo, RU, Rh, Pd, ΐη, Sn, u, Hf, heart w, Re, Ir, I>t, Ce, Pr, Nd, Sm, Eu, Gd, Tb, Dy, H〇,

Tm、Yb、Lu及其混合物。若存在,合金添加劑存在的量 至多約50原子百分比。金屬的形成係藉由習用的沈· 25 200937636 程,包括例如:化學氣相沈積、電漿增強化學氣相沈積、 電鑛、濺鍍、化學溶液沈積、原子層沈積、物理氣相沈積 及其他類似技術。合金添加劑可與金屬同時形成,或其可 在金屬沈積之後添加至金屬中’或其可在金屬頂部的其他 層中共同沈積。 沈積金屬的厚度可根據相對於上邊界所形成的石夕化物 Φ 最終厚度及下邊界的所要電阻率的接面深度而變化。通 常,及對於FET中的應用,所沈積的金屬具有厚度從約5 至約15 nm。 繼形成金屬之後,可在退火之前,在金屬頂部形成諸 如TiN或TaN的可選擴散障壁。退火的執行條件如下:足 以使金屬及半導體一起進行反應,以形成金屬半導體合金 層,即金屬矽化物或金屬鍺化物。可按單一步驟執行退火 或可使用一步驟退火製程。退火執行溫度約3〇〇艽或以 ❹ 上’以從約400它至約70CTC的溫度較為常見。在單一退火 製私之後或在二步驟退火的第一退火之後,利用熟習本技 術者熟知的習用製程,移除可選擴散障壁。退火可在組成 氣體(He、Ar、或叫)中執行。退火包含熔爐退火、快速熱 退火、峰值退火、微波退火或雷射退火。通常,退火為快 速熱退火’其退火時間通常約少於〗分鐘。繼最終退火步 驟之後,自結構移除任何未發生反應的金屬。 26Tm, Yb, Lu and mixtures thereof. If present, the alloying additive is present in an amount up to about 50 atomic percent. The formation of metals is carried out by conventional methods, including, for example, chemical vapor deposition, plasma enhanced chemical vapor deposition, electrowinning, sputtering, chemical solution deposition, atomic layer deposition, physical vapor deposition, and others. Similar technology. The alloying additive may be formed simultaneously with the metal, or it may be added to the metal after metal deposition' or it may be co-deposited in other layers on top of the metal. The thickness of the deposited metal may vary depending on the junction thickness of the final thickness of the lithium Φ formed with respect to the upper boundary and the desired resistivity of the lower boundary. Typically, and for applications in FETs, the deposited metal has a thickness from about 5 to about 15 nm. Following the formation of the metal, an optional diffusion barrier such as TiN or TaN can be formed on top of the metal prior to annealing. The annealing is performed under the following conditions: the metal and the semiconductor are reacted together to form a metal semiconductor alloy layer, i.e., a metal telluride or a metal telluride. Annealing can be performed in a single step or a one-step annealing process can be used. Annealing is performed at a temperature of about 3 Torr or ❹ at a temperature of from about 400 to about 70 CTC. The optional diffusion barrier is removed after a single annealing process or after a first annealing of the two-step annealing, using conventional processes well known to those skilled in the art. Annealing can be performed in a constituent gas (He, Ar, or called). Annealing includes furnace annealing, rapid thermal annealing, peak annealing, microwave annealing, or laser annealing. Typically, annealing is a rapid thermal anneal' where the annealing time is typically less than about one minute. After the final annealing step, any unreacted metal is removed from the structure. 26

200937636 注意,在間極 16由含Si導電材料(即,多晶 石=)構斜,金屬半導體合金層28,亦可在雛電極Μ的 上表面上形成。在介電硬料存在且在金屬半導體合金層 形成期間保留於結構中的具體實_中,在閘極電極Μ 頂部上,未形成任何此種金屬半導體合金層。 包含氧化物、氮化物、氮氧化物或其組合的介電概塾 3〇通常(但未必總是)形成於此結構上。可使用介電概塾3〇 =力引人裝置通道;如熟f本技術者熟知的裝置通道係 +導體基板在_導體下的區域,其在—側上橫向受限於 裝置源極區域及在另-侧上橫向受限於裝置汲極區域。介 電襯墊30係利用熟習本技術者熟知的習用沈積形成,及介 電襯墊的厚度通常從約20至約1〇〇 nm。 接下來,利用沈積(通常利用化學氣相沈積、電漿增強 化學氣相沈積或旋塗形成互連介電材料32),及利用微影 及姓刻在互連介電材料32中形成開σ。互連介電材料Μ 包含介電常數相對於真空為約4.〇或以下的任何介電材 料。可用作互連介電材料32之合適介電質的一些範例包含 但不限於:Si〇2、倍半矽氧烷(silsesqui〇xane)、包含別、 c Ο及Η等原子之C摻雜的氧化物(即,有機石夕酸鹽)、 熱固聚芳香醚、或其多層。本說明書用語「聚芳香基」代 表芳香基部分或以鍵、稠環、或惰性鍵聯基(諸如氧、硫、 颯(sulfone)、亞砜(sulfoxide)、羰基及其類似物)鍵聯一起的 27 200937636 惰性取代芳香基部分。 開口通常襯有擴散障壁材料,諸如Ti、Ta、w、TaN、 TiN或WN,及其後用導電材料(諸如w、A卜Cu或Al(:u 合金)填充(例如,藉由電鍍)開口❶延伸至源極/汲極擴散區 域的開口稱為擴散接觸,及其在圖式中以參考數字34標 示。通常亦形成閘極電極16的接觸34,。 如上述,先前論述代表本發明之極佳具體實施例,其 中在FET結構巾使用本發_ _。軸結合FET結構^ 示及說明本發明間隙壁,但本發明並不限於本文所述及圖 解的FET應用。事實上,本發明間隙壁可在任何奈米結構 應用中使用’其中用直接鄰接_魏護至少—材料層的 形貌邊緣。本發明間隙壁的其他應用包含例如上述的應用。 ^現參考圖2A_2B,其中顯示本發明的其他結構具體實 施例。明確地說,圖2A顯示具體實施例如下:利用微影 及蝕刻及其後採用上述自組裝技術,在材料層或材料堆疊 (參考數字5〇)中’形成至少一寬開口(具有溝渠高度對溝渠 寬度的縱橫比大於1:3)。在此圖式t,將嵌段共聚物的不 y移除成分標示為參考數字22,及將鄰接材料層或材料堆 形貌邊緣的間隙壁(亦包含嵌段共聚物的不可移除成 分)標示為22,。材料層或材料堆疊可包含半導體材料、絕 緣材料、導電材料或其任何多層組合。圖2B顯示具體實 28 200937636200937636 Note that the inter-pole 16 is formed by a Si-containing conductive material (i.e., polycrystalline stone =), and the metal semiconductor alloy layer 28 may be formed on the upper surface of the green electrode. In the concrete case where the dielectric hard material is present and remains in the structure during the formation of the metal semiconductor alloy layer, on the top of the gate electrode ,, no such metal-metal alloy layer is formed. A dielectric profile comprising oxides, nitrides, oxynitrides, or combinations thereof, is typically, but not always, formed on the structure. A dielectric profile can be used to introduce a device channel; as is well known in the art, the device channel + conductor substrate is under the _ conductor, which is laterally constrained laterally to the device source region and The lateral direction is limited to the device drain region on the other side. The dielectric liner 30 is formed using conventional depositions well known to those skilled in the art, and the thickness of the dielectric liner is typically from about 20 to about 1 〇〇 nm. Next, the interconnect dielectric material 32 is formed by deposition (usually by chemical vapor deposition, plasma enhanced chemical vapor deposition or spin coating), and σ is formed in the interconnect dielectric material 32 using lithography and surnames. . The interconnect dielectric material 包含 comprises any dielectric material having a dielectric constant of about 4. Torr or less relative to a vacuum. Some examples of suitable dielectrics that can be used to interconnect dielectric material 32 include, but are not limited to, Si 〇 2, silsesquioxanes, C-doped atoms containing other, c Ο and Η atoms. Oxide (ie, organic oxalate), thermoset poly(aryl ether), or multiple layers thereof. The term "polyaryl" as used in the specification means an aromatic moiety or a bond, such as an oxygen, sulfur, sulfone, sulfoxide, carbonyl or the like, bonded together by a bond, a fused ring, or an inert bond. Of 27 200937636 inert substituted aryl moiety. The openings are typically lined with a diffusion barrier material such as Ti, Ta, w, TaN, TiN or WN, and thereafter filled with a conductive material such as w, A, Cu or Al (:u alloy) (eg, by electroplating) openings The opening of the crucible extending to the source/drain diffusion region is referred to as a diffusion contact, and is indicated in the drawings by reference numeral 34. The contact 34 of the gate electrode 16 is also typically formed. As discussed above, the foregoing discussion represents the invention. DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS In the preferred embodiment of the present invention, the present invention is not limited to the FET applications described and illustrated herein, but the invention is not limited to the FET applications described and illustrated herein. The spacers can be used in any nanostructure application where the direct abutment is used to at least the topographical edges of the material layer. Other applications of the spacers of the present invention include, for example, the applications described above. ^ Referring now to Figures 2A-2B, Other structural examples of the invention. In particular, FIG. 2A shows a specific implementation such as: using lithography and etching and thereafter using the self-assembly techniques described above, in the formation of a material layer or material stack (reference numeral 5) a wide opening (having an aspect ratio of the trench height to the width of the trench greater than 1:3). In this figure t, the non-y removed component of the block copolymer is designated as reference numeral 22, and the adjacent material layer or material stack is to be The spacers at the edge of the topography (also including the non-removable components of the block copolymer) are designated 22. The material layer or stack of materials may comprise a semiconductor material, an insulating material, a conductive material, or any combination thereof. Figure 2B shows the actual 28 200937636

’將由喪段共聚物鄰接材料層或材料堆疊之 :主少一窄開口 :1)。在此圖式 丨之形餘邊綠夕'The stack of adjacent layers of material or material will be stacked by the stagnation copolymer: the main one has a narrow opening: 1). In this pattern, the shape of the 丨 绿

雖然已經參考較佳具體實施例詳細說明本發明但熟 習^技術者_自’可在不脫離本發明的精神及範嘴下*,、 進行上述及其他形式及細節的改變^因此,本發明的目的 並不限於所說明及圖示的確娜式及細節,而應以隨附申 請專利範圍的範疇為主。 【圖式簡單說明】 圖1A-1E係透過橫截面圖描繪根據本發明所使用的基 本處理步驟的圖式。 圖2A-2B係透過橫截面圖描繪本發明之兩個附加具體 實施例的圖式,其中使用自組裝技術提供鄰接材料層或材 料堆疊之形貌邊緣的間隙壁。 【主要元件符號說明】 10半導體基板 12材料堆疊 29 200937636 12’圖案化材料堆疊 14閘極介電質 16閘極電極 20形貌邊緣 21源極/汲極延伸區域 22嵌段共聚物的不可移除成分 22’間隙壁 24由嵌段共聚物之可移除成分建立的溝渠 26源極/汲極擴散區域 28金屬半導體合金層 28’金屬半導體合金層 30介電襯墊 32互連介電材料 34擴散接觸 34’接觸 50材料層或材料堆豐Although the present invention has been described in detail with reference to the preferred embodiments of the present invention, it is to be understood that the invention may be modified without departing from the spirit and scope of the invention. The purpose is not limited to the illustrated and illustrated details and details, but should be based on the scope of the patent application. BRIEF DESCRIPTION OF THE DRAWINGS Figures 1A-1E are schematic cross-sectional views depicting the basic processing steps used in accordance with the present invention. 2A-2B are cross-sectional views depicting two additional embodiments of the present invention in which a self-assembly technique is used to provide a spacer for a topographical edge of a material layer or stack of materials. [Main component symbol description] 10 semiconductor substrate 12 material stack 29 200937636 12' patterned material stack 14 gate dielectric 16 gate electrode 20 topography edge 21 source/drain extension region 22 block copolymer non-shiftable In addition to the component 22' spacer 24 is formed by the removable component of the block copolymer 26 source/drain diffusion region 28 metal semiconductor alloy layer 28' metal semiconductor alloy layer 30 dielectric liner 32 interconnect dielectric material 34 diffusion contact 34' contact 50 material layer or material stack

Claims (1)

200937636 十、申請專利範圍: 1. 一種半導體結構,其包含: 一包含至少一材料層及具有至少一形貌邊緣的圖 案化區域;及 一直接鄰接該形貌邊緣的間隙壁,該間隙壁包含一 自組裝嵌段共聚物的一聚合嵌段成分。 2. 如請求項1之半導體結構,其中該自組裝嵌段共聚物包 ® 含:聚苯乙烯-嵌段-聚曱基丙烯酸酯(PS-b-PMMA)、聚苯 乙烯-嵌段-聚異戊二烯(PS-b-PI)、聚苯乙烯-嵌段-聚丁二 烯(PS-b-PBD)、聚苯乙烯-嵌段-聚乙烯吡啶(PS-b-PVP)、 聚苯乙烯-嵌段-聚環氧乙烷(PS-b-PEO)、聚苯乙烯-嵌段-聚乙烯(PS-b-PE)、聚笨乙烯各聚有機矽酸鹽 (PS-b-POS)、聚苯乙烯-嵌段-聚二茂鐵二甲基石夕烧 (PS-b-PFS)、聚環氧乙烷-嵌段-聚異戊二烯(PE〇-b-PI)、 聚環氧乙烷-嵌段-聚丁二烯(PEO-b-PBD)、聚環氧乙烷-❹ 欲段-聚甲基丙稀酸酯(PEO-b-PMMA)、聚環氧乙烧-後段 -飽和聚乙稀(PEO-b-PEE)、聚丁二浠-敌段·聚乙烯吡啶 (PBD-b-PVP)、或聚異戊二烯-嵌段-聚甲基丙烯酸酯 (PI-b-PMMA)。 3. 如請求項1之半導體結構,其中該間隙壁具有一於其最 底部分所測量之小於50 nm的寬度。 31 至約40 圖案化 ❹ 譬 200937636 4.如睛求項3之半導體結構,其中該寬度從約10 nm I.如凊求項1之半導體結構,其中利用微影界 區域。 6.如凊求項1之半導體结構,其中該圖案化區域包含一半 導體材料、-介電材料、一導電材料或其任何多層組合。 7·如請求項丨之半導體結構,其中關案倾域包含 效電晶體的一圖案化閘極電極。 之半=構,其中該圏案化_電極包含 化物、一金屬氮化物或其任何多層一金屬石夕 9如:半導體結構,其令該圖案化區域另外包含 -位在該_化_電極下方_極介電質。匕3 10. —種半導體結構,其包含: 一半導體基板; 一包含至少一圖案化閘椏電極 該圖案化祕電極具有—形貌邊緣;及〃 曼’ 一直接鄰接該形貌邊緣的間隙壁,該間隙壁包含一 32 200937636 自組裝嵌段共聚物的一聚合嵌段成分。 11.如請求項10之半導體結構,其中該自組裝嵌段共聚物包 含:聚苯乙烯-嵌段-聚曱基丙烯酸酯(PS七-PMMA)、聚苯 乙烯-嵌段-聚異戊二烯(PS-b-PI)、聚苯乙烯-嵌段-聚丁二 稀(PS-b-PBD)、聚苯乙婦-嵌段-聚乙稀η比咬(ps-b-PVP)、 聚苯乙烯-嵌段-聚環氧乙烷(PS-b-PEO)、聚笨乙烯-嵌段_ 聚乙稀(PS-b-PE)、聚苯乙稀-b-聚有機石夕酸鹽 ® (PS-b-p〇s)、聚苯乙烯-嵌段-聚二茂鐵二甲基矽烷 (PS-b-PFS)、聚環氧乙烷-散段-聚異戊二烯(PE0_b_pi)、 聚環氧乙烷-嵌段聚丁二烯(PEO-b-PBD)、聚環氧乙烧· 概段-聚曱基丙稀酸酯(PEO-b-PMMA)、聚環氧乙烧-叙段 -飽和聚乙稀(PEO-b-PEE)、聚丁二埽-嵌段_聚乙埽啦唆 (PBD-b-PVP)、或聚異戊二烯-嵌段-聚甲基丙烯酸酯 (PI-b-PMMA)。 ® 12.如請求項之半導體結構,其中該間隙壁具有一於其最 底部分所測量之小於50 nm的寬度。 13.如請求項12之半導體結構,其中該寬度從約1〇至約4〇 nm 〇 14.如請求項1〇之半導體結構,其中該圖案化閘極電極包含 一含Si導體 '一導電金屬、一導電金屬合金、一金屬矽 33 ❹ ❷ 200937636 化物、-金錢化物或私何多層堆疊組合。 15. 如請求項10之半導體結構,其 包含-位在該圓案化閘極電極下方的間極介電質隹叠另外 16. 如請求項15之半導體結構,其所 -介電常數大於4.0的介電材料。°” r貝糸一具有 18.如請求項10之半導體钟 及該圖案化材料堆疊頂部的介d該半導體基板 A ,另外包含-在該半導體基板 介電材才斗。 丁頁部之導電接觸形成於其中的互連 2〇·-種製造-半導體結構之方法其包含: 二包:至少-材料層及具有至少-形貌邊緣的 含-貌邊緣的間隙壁,該間隙壁包 3自碰祕絲_—聚合嵌段成分。 34 200937636 21. 如請求項20之方法,其中該提供該圖案化區域包含一微 影圖案化製程。 22. 如請求項20之方法’其中該自組裝嵌段共聚物係選自由 以下項目組成的群組:聚苯乙烯-嵌段_聚曱基丙烯酸酯 (PS-b-PMMA)、聚苯乙烯-嵌段-聚異戊二烯(PS_b_pi)、聚 〇 笨乙烯·嵌段-聚丁二烯(PS-b-PBD)、聚苯乙烯-敌段-聚乙 稀°比咬(PS-b-PVP)、聚笨乙烯-嵌段-聚環氧乙烷 (PS-b-PEO)、聚苯乙烯-嵌段-聚乙烯(PS_b_PE)、聚苯乙烯 七·聚有機矽酸鹽(PS_b_P0S)、聚苯乙烯_嵌段_聚二茂鐵二 甲基矽烷(PS-b-PFS)、聚環氧乙烷-嵌段-聚異戊二烯 (PEO-b-PI)、聚環氧乙烷-喪段-聚丁二烯(pE〇_b_pBD)、 聚環氧乙烧-嵌段-聚甲基丙烯酸酯(PE〇_b-PMMA)、聚環 氣乙院-嵌段-飽和聚乙稀(PEO-b-PEE)、聚丁二烯-鼓段-聚乙烯处啶(PBD-b-PVP)、及聚異戊二烯-嵌段-聚曱基丙 烯酸酯(PI-b-PMMA)。 23. 如請求項20之方法,其中該形成該間隙壁包含在一鄰接 該圖案化區域之區域中塗覆一自組裝嵌段共聚物,退火 以形成可移除及不可移除聚合成分之一整齊陣列,及移 除該等可移除聚合成分。 24. 如請求項23之方法,其中該塗覆包含旋轉成型、塗佈、 200937636 喷灌、墨水塗佈或浸塗β 25.如請求項24之方法, 物溶液。 其中該塗覆係旋轉成型 一嵌段共聚 2=請求項23之方法,其中該退火包含熱退火、紫外光退 ^、雷射社、溶舰相_退火或超臨界流體 火。 27.如請求項26之方法,其中該退火係執行於一從約2t 至約30〇°C之溫度的熱退火。 28·如請求項20之方法’其中該間隙壁具有一於其最底部分 所測量之小於50 nm的寬度。 29. 如請求項28之方法’其中該寬度從約1〇至約4〇nm。 30. —種形成一半導體結構之方法,其包含: 在一半導體基板之一表面上提供一包含至少一圖案 化閘極電極的圖案化材料堆疊,該圖案化閘極電極具有 一形貌邊緣;及 形成一直接鄰接該形貌邊緣的間隙壁,該間隙壁包 含一自組裝嵌段共聚物的一聚合嵌段成分。 36 200937636 31.如請求項30之方法,其中該自組裝嵌段共聚物係選自由 以下項目組成的群組:聚苯乙烯-嵌段-聚曱基丙烯酸酯 (PS-b-PMMA)、聚苯乙烯-叙段聚異戊二烯(ps-b-PI)、聚 苯乙烯-嵌段-聚丁二烯(PS-b-PBD)、聚笨乙烯-嵌段-聚乙 烯吡啶(PS-b-PVP)、聚苯乙烯-嵌段-聚環氧乙烷 (PS-b-PEO)、聚苯乙烯-嵌段-聚乙烯(PS_b_PE)、聚苯乙烯 -b-聚有機矽酸鹽(PS_b-POS)、聚苯乙烯-嵌段-聚二茂鐵二 ❹ 甲基矽烷(PS-b-pFS)、聚環氧乙烷-嵌段-聚異戊二烯 (PEO-b-PI)、聚環氧乙烷-嵌段_聚丁二烯(pE〇_b pBD)、 聚環氧乙烷-嵌段-聚曱基丙烯酸酯(pE〇_b_PMMA)、聚環 氧乙燒-嵌段-飽和聚乙稀(PEO_b_PEE)、聚丁二烯·喪段_ 聚乙烯吡啶(PBD-b-PVP)、及聚異戊二烯-嵌段_聚曱基丙 烯酸酯(PI-b-PMMA)。 髻 处如請求項3G之方法,其中該形成該間隙壁包含在一鄰接 該圖案化材料堆疊之區域t塗覆—自組裝嵌段共聚物, 退火以形射移除及*可移除聚合成分之—整齊 及移除該等可移除聚合成分。 塗佈 33.=:^。中該塗覆包含旋轉成型、 34·如請求項33 物溶液。 之方法’其中該塗覆係旋轉成型—嵌段共聚 37 200937636 35·如請求項32之方法,其中該退火包含熱退火、紫外光退 火、雷射退火、溶劑氣相辅助退火或超臨界流體輔助退 火0 36. 如請求項35之方法,其中該退火係執彳亍於—從約細。 至約300 C之溫度的熱退火。 ❹ 37. 如請求項30之方法’其巾該間隨具有 所測量之小於50 nm的寬度。 么冉攻底部分200937636 X. Patent Application Range: 1. A semiconductor structure comprising: a patterned region comprising at least one material layer and having at least one topographical edge; and a spacer directly adjacent to the edge of the topography, the spacer comprising A polymeric block component of a self-assembling block copolymer. 2. The semiconductor structure of claim 1, wherein the self-assembling block copolymer package comprises: polystyrene-block-poly(meth)acrylate (PS-b-PMMA), polystyrene-block-poly Isoprene (PS-b-PI), polystyrene-block-polybutadiene (PS-b-PBD), polystyrene-block-polyvinylpyridine (PS-b-PVP), poly Styrene-block-polyethylene oxide (PS-b-PEO), polystyrene-block-polyethylene (PS-b-PE), polystyrene, polyorganoantimonate (PS-b- POS), polystyrene-block-polyferrocene dimethyl sulphur (PS-b-PFS), polyethylene oxide-block-polyisoprene (PE〇-b-PI) , polyethylene oxide-block-polybutadiene (PEO-b-PBD), polyethylene oxide-ruthenium-polymethyl acrylate (PEO-b-PMMA), polyepoxy Ethylene-post-stage-saturated polyethylene (PEO-b-PEE), polybutane-enephrasing-polyvinylpyridine (PBD-b-PVP), or polyisoprene-block-polymethacrylic acid Ester (PI-b-PMMA). 3. The semiconductor structure of claim 1 wherein the spacer has a width of less than 50 nm as measured at its bottommost portion. 31 to about 40 patterning ❹ 譬 200937636 4. The semiconductor structure of claim 3, wherein the width is from about 10 nm. I. The semiconductor structure of claim 1, wherein the lithography region is utilized. 6. The semiconductor structure of claim 1, wherein the patterned region comprises a half conductor material, a dielectric material, a conductive material, or any combination thereof. 7. A semiconductor structure as claimed in claim 1, wherein the closed domain comprises a patterned gate electrode of the effect transistor. Half of the structure, wherein the patterned electrode comprises a compound, a metal nitride or any multilayer thereof, such as a semiconductor structure, such that the patterned region additionally includes a site under the _ _ electrode _ Extreme dielectric.匕3 10. A semiconductor structure comprising: a semiconductor substrate; a patterned gate electrode comprising at least one patterned gate electrode having a topography edge; and a 间隙man' a spacer directly adjacent to the edge of the topography The spacer comprises a polymeric block component of a 32 200937636 self-assembling block copolymer. 11. The semiconductor structure of claim 10, wherein the self-assembling block copolymer comprises: polystyrene-block-polydecyl acrylate (PS-7-PMMA), polystyrene-block-polyisoprene Alkene (PS-b-PI), polystyrene-block-polybutylene dichloride (PS-b-PBD), polystyrene-block-polyethylene η-bite (ps-b-PVP), Polystyrene-block-polyethylene oxide (PS-b-PEO), polystyrene-block_polyethylene (PS-b-PE), polystyrene-b-polyorganic acid Salt® (PS-bp〇s), polystyrene-block-polyferrocene dimethyl decane (PS-b-PFS), polyethylene oxide-dispersion-polyisoprene (PE0_b_pi) , polyethylene oxide-block polybutadiene (PEO-b-PBD), polyepoxybutane, poly-mercapto acrylate (PEO-b-PMMA), polyepoxy -Section - Saturated Polyethylene (PEO-b-PEE), Polybutadiene-Block_Polycene (PBD-b-PVP), or Polyisoprene-Block-Polymethyl Acrylate (PI-b-PMMA). ® 12. The semiconductor structure of claim 1, wherein the spacer has a width of less than 50 nm measured at a lowermost portion thereof. 13. The semiconductor structure of claim 12, wherein the width is from about 1 〇 to about 4 〇 〇 14. The semiconductor structure of claim 1 wherein the patterned gate electrode comprises a Si-containing conductor 'a conductive metal , a conductive metal alloy, a metal 矽 33 ❹ ❷ 200937636 compound, - money compound or private multi-layer stack combination. 15. The semiconductor structure of claim 10, comprising an inter-electrode dielectric stack below the rounded gate electrode. 16. The semiconductor structure of claim 15 having a dielectric constant greater than 4.0 Dielectric material. ” 糸 具有 has a semiconductor clock of claim 10 and the top of the patterned material stack d the semiconductor substrate A, additionally comprising - in the semiconductor substrate dielectric material. The method of forming an interconnection structure in a semiconductor structure comprises: a package: at least a material layer and a spacer having a top edge having at least a topography edge, the spacer wall 3 being self-touched The method of claim 20, wherein the method of claim 20, wherein the providing the patterned region comprises a lithography patterning process. 22. The method of claim 20, wherein the self-assembling block The copolymer is selected from the group consisting of polystyrene-block_polydecyl acrylate (PS-b-PMMA), polystyrene-block-polyisoprene (PS_b_pi), polyfluorene Stupid ethylene block-polybutadiene (PS-b-PBD), polystyrene-enemy-polyethylene-bite (PS-b-PVP), polystyrene-block-poly epoxy Alkane (PS-b-PEO), polystyrene-block-polyethylene (PS_b_PE), polystyrene-7 polyorganosilicate (PS_b_P0S), polystyrene Ene-block_polyferrocene dimethyl decane (PS-b-PFS), polyethylene oxide-block-polyisoprene (PEO-b-PI), polyethylene oxide- mourning Segment-polybutadiene (pE〇_b_pBD), polyepoxypyrene-block-polymethacrylate (PE〇_b-PMMA), polycyclohexene-block-saturated polyethylene ( PEO-b-PEE), polybutadiene-drum segment-polyethylene pyridine (PBD-b-PVP), and polyisoprene-block-polydecyl acrylate (PI-b-PMMA). 23. The method of claim 20, wherein the forming the spacer comprises coating a self-assembling block copolymer in a region adjacent the patterned region, annealing to form one of the removable and non-removable polymeric components. The array, and the removal of the removable polymeric components. 24. The method of claim 23, wherein the coating comprises rotational molding, coating, 200937636 sprinkler irrigation, ink coating or dip coating. The method is a solution, wherein the coating is a method of rotationally forming a block copolymerization 2 = claim 23, wherein the annealing comprises thermal annealing, ultraviolet light retreating, laser agency, solution phase annealing, supercritical fluid fire 27. If requested The method of claim 26, wherein the annealing is performed by a thermal annealing at a temperature of from about 2 t to about 30 ° C. 28. The method of claim 20 wherein the spacer has a smaller one measured at a lowermost portion thereof 29. The method of claim 28, wherein the width is from about 1 〇 to about 4 〇 nm. 30. A method of forming a semiconductor structure, comprising: providing on a surface of a semiconductor substrate a patterned material stack comprising at least one patterned gate electrode, the patterned gate electrode having a topography edge; and a spacer directly adjacent the edge of the topography, the spacer comprising a self-assembling block copolymer a polymeric block component of the material. The method of claim 30, wherein the self-assembling block copolymer is selected from the group consisting of polystyrene-block-poly(meth)acrylate (PS-b-PMMA), poly Styrene-segment polyisoprene (ps-b-PI), polystyrene-block-polybutadiene (PS-b-PBD), polystyrene-block-polyvinylpyridine (PS- b-PVP), polystyrene-block-polyethylene oxide (PS-b-PEO), polystyrene-block-polyethylene (PS_b_PE), polystyrene-b-polyorganosilicate ( PS_b-POS), polystyrene-block-polyferrocene dioxime methyl decane (PS-b-pFS), polyethylene oxide-block-polyisoprene (PEO-b-PI) , polyethylene oxide-block_polybutadiene (pE〇_b pBD), polyethylene oxide-block-polydecyl acrylate (pE〇_b_PMMA), polyepoxy-embedding Segment-saturated polyethylene (PEO_b_PEE), polybutadiene, stagnation _ polyvinyl pyridine (PBD-b-PVP), and polyisoprene-block _ polydecyl acrylate (PI-b-PMMA) ). The method of claim 3, wherein the forming the spacer comprises coating a self-assembling block copolymer in an area adjacent to the patterned material stack, annealing to form removal and *removable polymer composition - tidy and remove the removable polymeric components. Coating 33.=:^. The coating comprises a rotational molding, 34. solution as claimed in claim 33. Method of the invention wherein the coating is rotationally shaped - block copolymerization 37 200937636 35. The method of claim 32, wherein the annealing comprises thermal annealing, ultraviolet annealing, laser annealing, solvent vapor assisted annealing or supercritical fluid assist Annealing 0. 36. The method of claim 35, wherein the annealing is performed on-to-be. Thermal annealing to a temperature of about 300 C. ❹ 37. The method of claim 30, wherein the towel has a width of less than 50 nm measured. What is the bottom part? 38.如請求項37之方法’其中該寬度從約 川至約40 nm 3838. The method of claim 37, wherein the width is from about 30 to about 38 nm.
TW097138380A 2007-10-09 2008-10-06 Self-assembled sidewall spacer TW200937636A (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/869,171 US7808020B2 (en) 2007-10-09 2007-10-09 Self-assembled sidewall spacer
US11/869,178 US8105960B2 (en) 2007-10-09 2007-10-09 Self-assembled sidewall spacer

Publications (1)

Publication Number Publication Date
TW200937636A true TW200937636A (en) 2009-09-01

Family

ID=40076561

Family Applications (1)

Application Number Title Priority Date Filing Date
TW097138380A TW200937636A (en) 2007-10-09 2008-10-06 Self-assembled sidewall spacer

Country Status (4)

Country Link
KR (1) KR20100074159A (en)
CN (1) CN101952947B (en)
TW (1) TW200937636A (en)
WO (1) WO2009047191A1 (en)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9373727B2 (en) 2011-06-24 2016-06-21 Mediatek Inc. Semiconductor diode
CN103094325B (en) * 2011-11-02 2016-08-10 中芯国际集成电路制造(北京)有限公司 Semiconductor device and manufacture method thereof
US9298870B1 (en) 2012-05-16 2016-03-29 International Business Machines Corporation Method for designing topographic patterns for directing the formation of self-assembled domains at specified locations on substrates

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7202172B2 (en) * 2003-12-05 2007-04-10 Taiwan Semiconductor Manufacturing Company, Ltd. Microelectronic device having disposable spacer
WO2006112887A2 (en) * 2004-11-22 2006-10-26 Wisconsin Alumni Research Foundation Methods and compositions for forming aperiodic patterned copolymer films

Also Published As

Publication number Publication date
KR20100074159A (en) 2010-07-01
CN101952947A (en) 2011-01-19
CN101952947B (en) 2012-12-26
WO2009047191A1 (en) 2009-04-16

Similar Documents

Publication Publication Date Title
JP5559055B2 (en) Semiconductor structure with improved contact resistance and manufacturing method thereof (semiconductor structure with improved contact resistance)
US8105960B2 (en) Self-assembled sidewall spacer
TWI508145B (en) Structure and method to make replacement metal gate and contact metal
CN105097555B (en) FinFET and its manufacture method
TWI321831B (en) Method for integration of silicide contacts and silicide gate metals
TW200901475A (en) Advanced high-k gate stack patterning and structure containing a patterned high-k gate stack
US20080076216A1 (en) Method to fabricate high-k/metal gate transistors using a double capping layer process
TW201019419A (en) Method for fabricating semiconductor device
TW201015625A (en) Method of fabricating semiconductor device
TW201005808A (en) Structure and method to control oxidation in high-k gate structures
TW201044560A (en) Replacement gate CMOS
TW201036042A (en) Method of forming buried gate electrode
TW200845206A (en) Method for manufacturing insulated gate field effect transistor
TW201017776A (en) Method for making a semiconductor device
TWI594364B (en) Semiconductor integrated circuit with nano gap
US7808020B2 (en) Self-assembled sidewall spacer
US8487355B2 (en) Structure and method for compact long-channel FETs
CA3180862A1 (en) Sloped epitaxy buried contact
CN103871895A (en) Method for fabricating a field effect transistor device
TW200937636A (en) Self-assembled sidewall spacer
TWI254386B (en) Nitride and polysilicon interface with titanium layer
US20210384306A1 (en) Selective Shrink for Contact Trench
JP2011151134A (en) Semiconductor device and method of manufacturing the same
TW201239960A (en) Method for forming metal gate
TW201250857A (en) Semiconductor device having metal gate and manufacturing method thereof