TW200845232A - Method and apparatus for fabricating a high dielectric constant transistor gate using a low energy plasma system - Google Patents

Method and apparatus for fabricating a high dielectric constant transistor gate using a low energy plasma system Download PDF

Info

Publication number
TW200845232A
TW200845232A TW97107373A TW97107373A TW200845232A TW 200845232 A TW200845232 A TW 200845232A TW 97107373 A TW97107373 A TW 97107373A TW 97107373 A TW97107373 A TW 97107373A TW 200845232 A TW200845232 A TW 200845232A
Authority
TW
Taiwan
Prior art keywords
dielectric layer
substrate
layer
plasma
energy
Prior art date
Application number
TW97107373A
Other languages
Chinese (zh)
Other versions
TWI459471B (en
Inventor
Christopher Sean Olsen
Thai Cheng Chua
Steven Hung
Patricia M Liu
Tatsuya Sato
Alex M Paterson
Valentin Todorow
John P Holland
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US11/683,984 external-priority patent/US7645710B2/en
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of TW200845232A publication Critical patent/TW200845232A/en
Application granted granted Critical
Publication of TWI459471B publication Critical patent/TWI459471B/en

Links

Landscapes

  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

The present invention generally provides methods and apparatuses that are adapted to form a high quality dielectric gate layer on a substrate. Embodiments contemplate a method wherein a metal plasma treatment process is used in lieu of a standard nitridization process to form a high dielectric constant layer on a substrate. Embodiments further contemplate an apparatus adapted to "implant" metal ions of relatively low energy in order to reduce ion bombardment damage to the gate dielectric layer, such as a silicon dioxide layer and to avoid incorporation of the metal atoms into the underlying silicon. In general, the process includes the steps of forming a high-k dielectric and then terminating the surface of the deposited high-k material to form a good interface between the gate electrode and the high-k dielectric material.

Description

200845232 九、發明說明: 【發明所屬之技術領域】 本發明之實施例大致上是關於形成高k(介電常數) 介電層的方法與設備。特別是,本發明之實施例是關於形 成間極”電層(gate dieiectric iayer)的方法。 術 技 前 先 〇 積體電路是由數百萬個元件所組成,例如電晶體、電 谷器與電阻器。電晶體(例如場效電晶體)一般包括源極、 没極與閘極堆疊結構。閘極堆疊結構一般包括基材(例如矽 基材)、閘極介電層、與閘極電極(例如多晶矽)於閘極介電 層上閘極介電層由介電材料組成,例如二氧化矽(S丨〇 2 )、 或具有介電常數大於4.0的高k介電材料,如氮氧化矽 (Si〇N)、氮化矽(siN)、氧化铪(Hf〇2)、矽酸铪(Hfsi〇2)、 氮氧化矽铪(HfSiON)、氧化鍅(Zr02)、矽酸锆(ZrSi〇2)、鈦 酸鳃鋇(BaSrTi〇3 或 BST)、鈦锆酸鉛(Pb(ZrTi)〇3 或 ρζτ) 等。然應注意的是,膜堆疊結構可包含其他材料組成的膜 層。 第1Α圖繪示含有閘極介電層14之場效電晶體 (FET)l〇的截面。如圖示,基材12上設有閘極介電層14 與閑極電極1 6。侧壁間隙壁1 8鄰接閘極介電層1 4與閘極 電極16的垂直侧壁。源極/汲極接面13形成在實質鄰接閘 極電極1 6之相對垂直側壁的基材1 2中。 隨著積體電路尺寸和其上之電晶體尺寸縮小,提高電 200845232 晶體速度所需的閘極驅動電流亦增加。驅動電流會隨著門 極電容增加而增加’而電容==kA/d,其中k為閘極之介電 常數’ d為介電層厚度’ A為元件面積。減小介電層厚产 和提高閘極介電層的介電常數為增加閘極電容與驅動電流 的方法。200845232 IX. Description of the Invention: [Technical Field of the Invention] Embodiments of the present invention generally relate to a method and apparatus for forming a high-k (dielectric constant) dielectric layer. In particular, embodiments of the present invention are directed to methods of forming a gate die electrical iayer. Prior to surgery, a cascading circuit is composed of millions of components, such as a transistor, an electric grid, and A resistor, such as a field effect transistor, generally includes a source, a gate and a gate stack structure. The gate stack structure generally includes a substrate (eg, a germanium substrate), a gate dielectric layer, and a gate electrode. (eg, polysilicon) The gate dielectric layer on the gate dielectric layer is composed of a dielectric material such as hafnium oxide (S丨〇2) or a high-k dielectric material having a dielectric constant greater than 4.0, such as nitrogen oxide.矽(Si〇N), yttrium nitride (siN), yttrium oxide (Hf〇2), lanthanum strontium hydride (Hfsi〇2), yttrium oxynitride (HfSiON), yttrium oxide (Zr02), zirconium silicate (ZrSi) 〇 2), barium titanate (BaSrTi〇3 or BST), lead zirconate titanate (Pb(ZrTi)〇3 or ρζτ), etc. It should be noted that the film stack structure may comprise a film layer composed of other materials. FIG. 1 is a cross-sectional view showing a field effect transistor (FET) 10 including a gate dielectric layer 14. As shown, the substrate 12 is provided. The pole dielectric layer 14 and the idle electrode 16. The sidewall spacers 18 are adjacent to the vertical sidewalls of the gate dielectric layer 14 and the gate electrode 16. The source/drain junction 13 is formed in substantially adjacent gate In the substrate 12 of the opposite vertical sidewalls of the electrode 16. With the size of the integrated circuit and the size of the transistor thereon, the gate drive current required to increase the speed of the 200845232 crystal is also increased. The drive current will follow the gate. Capacitance increases and increases 'and capacitance == kA/d, where k is the dielectric constant of the gate' d is the dielectric layer thickness 'A is the component area. Reduces the dielectric layer thickness and improves the gate dielectric layer The dielectric constant is a method of increasing the gate capacitance and the drive current.

Si 〇2閘極介電層的厚度已試圖降至20埃(人)以下。然 使用小於20A的Si〇2閘極介電層已發現會對閘極的性能 與耐久性造成不良效應。例如,摻雜硼之閘極電極的爛會 穿過薄Si〇2閘極介電層而到達其下方的矽基材。並且薄介 電層會增加閘極所消耗的功率,因而提高閘極漏電流(即穿 遂電流;tunneling current)。薄Si02閘極介電層易受NM〇s 熱載子裂解的影響,其中穿越介電層的高能载子會傷害或 破壞通道。薄SiCh閘介電層還易受PM〇s負偏壓溫度不穩 定(NBTI)的影響,其中臨界電壓或驅動電流隨閘極操作漂 移。 形成適用於金氧半場效電晶體(M〇SFET)之閘極介電 層的方法包括在含氮之電漿中氮化氧化石夕薄膜。期以增加 閘極氧化層之淨含氮量來提高介電常數乃基於數個理由。 例如’氧化介電層塊體可在電漿氮化過程中稍微加入氮, 藉以降低原始氧化層上的等效氧化層厚度(E〇T)。因操作 FET時的穿遂效應之故,相較於具相同EOT之未氮化的氧 化介電層,其可減少閘極漏電流。同時,增加含氮量還可 減少後續處理操作時若介電層厚度落在 F〇wler-Nordheim(F-N)穿遂電流的範圍導致F-N穿遂電流 200845232 造成的破壞。增加閘極氧化層之淨含氮量的另一好處為, 經氮化的閘介電層較能抵擂閘極餘刻下切(u n d e r c u t)的問 題,進而減少閘極邊緣的缺陷及降低漏電流。 核發於西元2003年8月26日之美國專利證書號 6,610,615且專利名稱為「用於降低閘極介電層漏電的電漿 氮化製程(Plasma Nitridation For Reduced Leakage Gate Dielectric Layers)」之申請案中,McFadden等人比較了熱 氮化製程與電漿氮化製程之氧化矽薄膜的氮分佈情形(參 見第1B圖)。氮化之氧化層位於矽基材上。第iB圖更顯 示出氮在氧化薄膜下方之結晶矽中的分佈情形。熱氮化製 程所得的氮分佈曲線22顯示:在氧化層頂面之第一氮濃 度、通常隨著深入氧化層而降低之氮濃度、在氧化層/石夕層 界面之界面累積氮濃度、最後通常隨著深入基材而逐漸降 低之氮濃度梯度。反之,電漿氮化製程所得的氮分佈曲線 24顯示:氮濃度從氧化層頂面、經氧化層/矽層界面至基 材基本上是逐一降低。利用氮電漿進行離子轟擊不會產生 熱氮化製程所形成的不當界面累積氮濃度。再者,電裝氮 化製程中基材所有深度内的氮濃度皆比熱氮化製程低。 如前述,增加閘極電極/閘極氧化層界面之氮濃度的優 點可減少摻質(例如硼)從多晶矽閘極電極向外擴散到閘極 氧化層或穿過閘極氧化層。如此可減少例如因摻雜硼i多 晶矽閘極電極中的硼擴散(in_diffused)而產生於閘極氧化 層塊體的缺陷,進而改善元件的可靠度。降低閘極氧化層/ 梦通道界©之氮含4 H點係可減少固定電荷及降^低 8 200845232 界面形態密度。如此可改善通道移動性(mobility)與導通性 (transconductance)。因此電漿氮化製程優於熱氮化製程。 隨著半導體元件越變越小,氮化之閘極氧化梦層的尺 寸亦已達其可施行的極限。然而,進一步縮小氮化之閑極 二氧化石夕層的厚度時(自1 〇 A起),閘極漏電已增加到無法 應用元件的程度。為滿足元件尺寸持續縮小的要求,需要 新的閘極介電材料及/或製程。 以高k介電材料取代二氧化矽(si〇2)已面臨多項挑 戰。例如,兩k介電材料的沉積方法一般採用化學氣相沉 積(VD)或原子層沉積(Ald),其易造成含碳之前驅材料與 其他污染物混入沉積膜層。碳與其他污染物會惡化閘極介 電層的介電性質。另外,CVD《ALD沉積之冑k膜層與 通道區域的界面性質不如二氧化矽層扎實。 因此此技藝需要形成閘極介電層的方法與設備,所 开y成之閘極Μ電層具有較佳的介電性質與較小的Εοτ。 【發明内容】 發月大體上提供形成半導體元件的方法,包含:形 成八預疋厚度之介電層於基材表面·置入一含量之第一材 料至介電層内, + t成貝穿至少部分所形成之介電層厚度 的第一濃度梯度· ’置入一含量之二材料至介電層内,以形 成貫穿至少部八 / 刀所形成之介電層厚度的第二濃度梯度;以 及沉積第三材料认入命 竹料於介電層上。 本發明之眚 耳施例更提供形成半導體元件的方法,包 9The thickness of the Si 〇 2 gate dielectric layer has been attempted to fall below 20 angstroms (person). However, the use of a Si〇2 gate dielectric layer of less than 20 A has been found to have an adverse effect on the performance and durability of the gate. For example, a boron-doped gate electrode can pass through a thin Si〇2 gate dielectric layer to reach the underlying germanium substrate. And the thin dielectric layer increases the power consumed by the gate, thereby increasing the gate leakage current (ie, the tunneling current). The thin SiO2 gate dielectric layer is susceptible to cracking by the NM〇s hot carrier, where high-energy carriers that traverse the dielectric layer can damage or destroy the channel. The thin SiCh gate dielectric layer is also susceptible to PM〇s negative bias temperature instability (NBTI), where the threshold voltage or drive current drifts with gate operation. A method of forming a gate dielectric suitable for a gold oxide half field effect transistor (M〇SFET) includes nitriding a oxidized oxide film in a nitrogen-containing plasma. The increase in dielectric constant by increasing the net nitrogen content of the gate oxide layer is based on several reasons. For example, an oxide dielectric layer block may be slightly added with nitrogen during plasma nitridation to reduce the equivalent oxide thickness (E〇T) on the original oxide layer. Due to the pinch-through effect when operating the FET, the gate leakage current can be reduced compared to the un-nitrided oxide dielectric layer having the same EOT. At the same time, increasing the nitrogen content can also reduce the damage caused by the F-N through current of 200845232 if the thickness of the dielectric layer falls within the F〇wler-Nordheim (F-N) pass-through current during subsequent processing operations. Another benefit of increasing the net nitrogen content of the gate oxide layer is that the nitrided gate dielectric layer is more resistant to undercut problems, thereby reducing gate edge defects and reducing leakage current. . Issued in the US Patent No. 6,610,615 of August 26, 2003, and the patent name is "Plasma Nitridation For Reduced Leakage Gate Dielectric Layers" McFadden et al. compared the nitrogen distribution of the yttrium oxide film in the thermal nitridation process and the plasma nitridation process (see Figure 1B). The nitrided oxide layer is on the tantalum substrate. The iB diagram shows the distribution of nitrogen in the crystalline enthalpy below the oxide film. The nitrogen distribution curve 22 obtained by the thermal nitridation process shows: the first nitrogen concentration on the top surface of the oxide layer, the nitrogen concentration generally decreased with the deep oxide layer, the cumulative nitrogen concentration at the interface of the oxide layer/the layer, and finally The nitrogen concentration gradient is generally reduced as it goes deep into the substrate. On the contrary, the nitrogen distribution curve 24 obtained by the plasma nitridation process shows that the nitrogen concentration is substantially reduced one by one from the top surface of the oxide layer to the substrate through the oxide layer/ruthenium layer. Ion bombardment with nitrogen plasma does not produce an accumulation of nitrogen concentration at the improper interface formed by the thermal nitridation process. Furthermore, the nitrogen concentration in all depths of the substrate during the electrification nitrogenization process is lower than that of the thermal nitridation process. As previously mentioned, the advantage of increasing the nitrogen concentration at the gate/gate oxide interface reduces the diffusion of dopants (e.g., boron) from the polysilicon gate electrode to the gate oxide layer or through the gate oxide layer. This can reduce, for example, defects in the gate oxide layer due to boron diffusion (in_diffused) in the doped boron-polysilicon gate electrode, thereby improving the reliability of the device. Lowering the gate oxide/dream channel boundary. The nitrogen containing 4 H point system can reduce the fixed charge and lower the low 8 200845232 Interface morphology density. This improves channel mobility and transconductance. Therefore, the plasma nitridation process is superior to the thermal nitridation process. As semiconductor components become smaller and smaller, the size of the nitriding gate oxide oxide layer has reached its limit. However, when the thickness of the nitrided dolomite layer is further reduced (from 1 〇 A), the gate leakage has increased to the extent that the component cannot be applied. New gate dielectric materials and/or processes are required to meet the ever-decreasing component size requirements. The replacement of cerium oxide (si〇2) with high-k dielectric materials has faced several challenges. For example, a deposition method of a two-k dielectric material generally employs chemical vapor deposition (VD) or atomic layer deposition (Ald), which tends to cause a carbon-containing precursor material to be mixed with other contaminants into the deposited film layer. Carbon and other contaminants can deteriorate the dielectric properties of the gate dielectric layer. In addition, the interface properties of the CVD “ALD” film layer and the channel region are not as solid as the cerium oxide layer. Therefore, the art requires a method and apparatus for forming a gate dielectric layer, the gate dielectric layer of which is formed to have better dielectric properties and a smaller Εοτ. SUMMARY OF THE INVENTION The moon generally provides a method of forming a semiconductor device, comprising: forming a dielectric layer having an eight-thickness thickness on a surface of a substrate, and placing a content of the first material into the dielectric layer, a first concentration gradient of at least a portion of the thickness of the dielectric layer formed; 'a second amount of material is placed into the dielectric layer to form a second concentration gradient across the thickness of the dielectric layer formed by at least the octagonal knife; And depositing a third material to recognize the bamboo material on the dielectric layer. The embodiment of the present invention further provides a method of forming a semiconductor device, package 9

200845232 括:形成具預定厚度之含矽介電層於基材表面上;形 預定厚度之高k介電層於含矽介電層上;置入一含量 一材料至高k介電層内,以形成貫穿至少部分所形成 k介電層厚度的第一濃度梯度,其中第一材料係選 铪、鑭、紹、鈦、錄、總、錯、紀及鎖所組成之群組 入一含量之第二材料至介電層内,以形成貫穿至少部 形成之高k介電層厚度的第二濃度梯度,其中第二材 選自由給、鑭、銘、鈦、錯、錄、錯、紀及鋇所組成 組;在高k介電層、第一材料及第二材料上沉積一閘 極材料。 【實施方式】 本發明大致上是提供用來於基材上形成高品質之 介電層的方法與設備。實施例包含一方法,其中採用 電漿處理製程而代替標準的氮化製程,以於基材上形 介電常數層。實施例更包含用來”植入”較低能量之金 子的設備,以減少離子轟擊對閘極介電層(如二氧化; 的破壞及避免金屬原子與下面的矽結合。本發明之實 可用於形成半導體元件,例如邏輯或記憶元件。 製造高介電常數之電晶體閘極的方法 現今的元件製程難以製造出具5-1 0A之等效氧化 度(EOT)且具低漏電流的閘極介電層。目前用於65奈 90奈米之電晶體節點中的10-1 6人之EOT為採用電漿 成具 之第 之高 自由 ;置 分所 料係 之群 極電 閘極 金屬 成南 屬離 义層) 施例 層厚 米至 氮化 10 200845232 製程。然而,當氮化之二氧化矽閘極介電層變得更薄時(例 如1 0A),閘極漏電可能會增加到無法實降用於元件的程 度。為解決較薄之介電層的閘極漏電問題,以下製程可利 用形成例如含铪(Hf)、鑭(La)、鋁(A1)、鈦(Ti)、錘(Zr)、 鐵(Sr)、錯(Pb)、釔(Y)、或鋇(Ba)之高k介電氧化物或石夕 化物材料的沉積製程來替代電漿氮化製程。 本發明包含製造場效電晶體之閘極介電層的方法,用 於閘極介電層為約5-ioA之等效(電性)氧化層厚度(E〇T) 的邏輯型式應用。本發明還包含製造場效電晶體之閘極介 電層的方法,用於閘極介電層為約1〇-3〇人之等效(電性) 氧化層厚度(EOT)的記憶型式應用。此製程可用於製造積 體半導體元件與電路。 化層的方法與設備 為解決45奈米(nm)製程與較小MOS型元件所見之共 通間極性能的問題,已發展新穎的製程來減少及/或消除缺 P曰’例如費米能階釘扎(Fermi-level pinning)或臨界電麼釘 礼。一般而言,製程包括形成高k介電層、接著終止沉積 之高k材料的表面,以於閘極電極與高k介電材料間形成 良好界面。本發明之實施例還提供群集式工具(cluster tool )’用於形成高k介電材料、終止高k介電材料的表面、 進行一或多道後處理步驟、以及形成多晶矽及/或金屬閘極 層。 第2A圖繪示本發明一實施例之處理程序25 1,包含一 11 200845232 連串根據本發明之一實施例而用來製造場效電晶體之閘極 介電層的步驟。處理程序251 —般包括施行於基材的處理 步驟,用以形成一 MOS型元件實例的閘極結構。第3A-3F 圖繪示的基材401區域上為利用第2A圖之處理程序251 形成的閘極氧化層與閘極。第3A-3F圖並未按比例繪製且 已簡化圖示。至少部分的處理程序2 5 1可利用整合之半導 體基材處理系統(即群集式工具)的處理反應器(如第7圖所 示)來進行。 處理程序251開始於步驟252且進行到步驟268。步 驟252為提供矽(Si)基材401(例如200亳米之晶圓、300 毫米之半導體晶圓),其並暴露於清洗液中,以移除基材表 面的原生氧化層401A(例如二氧化矽(Si02))(第3A圖)。在 一實施例中,原生氧化層4〇1A的移除是使用含氟化氫(HF) 與去離子(DI)水的清洗液。在一實施例中,清洗液為保持 在約20°〇至約30。(:下、含有按重量計約〇.1-1〇%之^1?的 水溶液。在一實施例中,清洗液包含約〇 5以%之HF ,且 維持在約25°C。在步驟252中,基材401可浸入清洗液, 然後以去離子水洗滌。步驟252可施行於單一基材處理室 或多個批次型基材處理室,其在處理過程中可包括超音波 能量的傳送。或者,步驟252可施行於整合處理系統6〇〇(第 7圖)中的單一基材溼式清洗反應室。在另一實施例中,原 生氧化層401A的移除可採用RCA清洗法。完成步驟252 後,基材401放置到真空加載鎖定室或通入氮氣(no的環 或者步驟252可施行於整合處理系統6〇〇(第7圖) 12 200845232 中的單一基材溼式清洗反應室。 在步驟254中,熱氧化層(Si〇2)402形成於已清洗的基 材401表面401B(第3B圖)。熱氧化層402的厚度一般為 約3埃至約35埃。以邏輯型式應用為例,熱氧化層4〇2 的厚度為約6埃至約1 5埃;以記憶型式應用為例,熱氧化 層402的厚度為約15埃至約4〇埃。本發明之實施例還可 應用於熱氧化層402的厚度大於35埃。熱氧化步驟254 可形成二氧化石夕(Si〇2)次層(sUb-layer)於石夕介電層之界 面上。步驟254可改善沉積之介電層(如第3D圖的高k介 電層404)上之介電材料/矽界面的品質與可靠度,亦可增進 電荷载子於表面401B下方之通道區域的移動性。步驟254 可施行於快速熱處理(RTP)反應器,其位在整合處理系統 6〇〇之基材處理室614 A-614F的其中之一(第7圖)。一適 合的RTP室為從美國加州聖克拉拉市之應用材料公司 (Applied Materials,Inc·)取得之商品名稱為 RADIANCE® 的RTP室。在一實施例中,6A的二氧化矽(Si02)層是利用 18秒、75 0°C、2托耳(Torr)且氧氣(〇2)流速為2 slm之製程 而形成在基材401的表面40 1B。在此實施例中,氧氣是熱 氧化層402形成時注入到處理室的反應氣體;在某些情況 下’惰性载氣可加至處理室,以達預定室壓。或者在某些 情況下,步驟254可使用反應氣體,例如一氧化氮(NO)、 氧化亞氮(N20)、或混合反應氣體,例如氫氣(Ha)/氧氣 (〇2)、和氧化亞氮(Ν2〇)/氫氣(η2)。 在步驟257中,熱氧化層402為暴露於含金屬離子的 13 200845232 電漿,用以摻雜預定鉍輕^ ^ 材枓至熱軋化層中而形成高k介電層 403。步驟257所形忐+ ^ , 成之向k介電層403可為摻雜铪(Hf)、 鋼(La)或其他類似材料的二氧化石夕層。在-實施例中,低 能量沉積製程施行於類似參照帛4A-4C目以4F圖說明 於下的處理室在-實施例中,輸送摻質材料至熱氧化層 402疋期望藉由使用輸送至處理區域522的rf能量來產生 電漿,接著形成陰極偏壓至標靶(如第4A圖的元件符號5〇5200845232 includes: forming a germanium-containing dielectric layer having a predetermined thickness on a surface of the substrate; forming a high-k dielectric layer of a predetermined thickness on the germanium-containing dielectric layer; and implanting a content of a material into the high-k dielectric layer to Forming a first concentration gradient across at least a portion of the thickness of the formed k dielectric layer, wherein the first material is selected from the group consisting of 铪, 镧, 绍, 钛, 录, 总, 错, 纪, and 锁a second material into the dielectric layer to form a second concentration gradient across the thickness of the at least partially formed high-k dielectric layer, wherein the second material is selected from the group consisting of Giving, 镧, Ming, Titanium, Wrong, Record, Wrong, Ji and 钡Forming a group; depositing a gate material on the high-k dielectric layer, the first material, and the second material. [Embodiment] The present invention generally provides a method and apparatus for forming a high quality dielectric layer on a substrate. Embodiments include a method in which a plasma processing process is employed instead of a standard nitridation process to form a dielectric constant layer on a substrate. Embodiments further include apparatus for "implanting" lower energy gold to reduce ion bombardment damage to the gate dielectric layer (eg, dioxide) and to avoid metal atoms from bonding to the underlying germanium. For forming semiconductor components, such as logic or memory devices. Methods for fabricating high dielectric constant transistor gates Today's component processes are difficult to fabricate gates with an equivalent oxidation (EOT) of 5-1 0A and low leakage current. Dielectric layer. The EOT of 10-1 6 people currently used in the 65 nanometer 90 nanometer crystal node is the first high freedom to use plasma; the group electrode of the set system is south. Is a separation layer) Example layer thick rice to nitride 10 200845232 process. However, when the nitrided erbium gate dielectric layer becomes thinner (e.g., 10A), gate leakage may increase to such an extent that it cannot be used for components. In order to solve the gate leakage problem of a thin dielectric layer, the following processes can be utilized to form, for example, hafnium (Hf), hafnium (La), aluminum (Al), titanium (Ti), hammer (Zr), iron (Sr). A high-k dielectric oxide or a cerium material deposition process of erbium (Pb), yttrium (Y), or yttrium (Ba) is used instead of the plasma nitridation process. SUMMARY OF THE INVENTION The present invention comprises a method of fabricating a gate dielectric layer of a field effect transistor for use in a logic type application in which the gate dielectric layer is an equivalent (electrical) oxide layer thickness (E〇T) of about 5-io. The present invention also encompasses a method of fabricating a gate dielectric layer of a field effect transistor for use in a memory type application in which the gate dielectric layer is equivalent to an equivalent (electrical) oxide thickness (EOT) of about 1 〇 3 〇 . This process can be used to fabricate integrated semiconductor components and circuits. The method and apparatus for layering solve the problem of the common interpolar performance seen in the 45 nm process and the smaller MOS type components. A novel process has been developed to reduce and/or eliminate the lack of P曰' such as Fermi level. Termi-level pinning or critical electricity. In general, the process includes forming a high-k dielectric layer followed by termination of the deposited high-k material surface to form a good interface between the gate electrode and the high-k dielectric material. Embodiments of the present invention also provide a cluster tool 'for forming a high-k dielectric material, terminating a surface of a high-k dielectric material, performing one or more post-processing steps, and forming a polysilicon and/or a metal gate Floor. 2A is a diagram showing a processing procedure 25 1 of an embodiment of the present invention including a step 11 200845232 for serially fabricating a gate dielectric layer of a field effect transistor in accordance with an embodiment of the present invention. The processing program 251 generally includes a processing step performed on the substrate to form a gate structure of an MOS type element example. The region of the substrate 401 shown in FIGS. 3A-3F is a gate oxide layer and a gate formed by the processing procedure 251 of FIG. 2A. Figures 3A-3F are not drawn to scale and the illustration has been simplified. At least a portion of the process 251 can be performed using a processing reactor of the integrated semiconductor substrate processing system (i.e., a cluster tool) (as shown in Figure 7). The process 251 begins in step 252 and proceeds to step 268. Step 252 is to provide a bismuth (Si) substrate 401 (eg, a 200 mil wafer, a 300 mm semiconductor wafer) exposed to the cleaning solution to remove the native oxide layer 401A of the substrate surface (eg, two Cerium oxide (Si02)) (Fig. 3A). In one embodiment, the removal of the native oxide layer 4〇1A is a cleaning solution using hydrogen fluoride (HF) and deionized (DI) water. In one embodiment, the cleaning fluid is maintained at a temperature of from about 20 ° to about 30. (: an aqueous solution containing about 1-1% by weight of 1:1. In one embodiment, the cleaning solution contains about 5% HF in 5% and is maintained at about 25 ° C. In 252, the substrate 401 can be immersed in a cleaning solution and then washed with deionized water. Step 252 can be performed in a single substrate processing chamber or a plurality of batch type substrate processing chambers, which can include ultrasonic energy during processing. Alternatively, step 252 can be performed in a single substrate wet cleaning reaction chamber in integrated processing system 6 (Fig. 7). In another embodiment, the native oxide layer 401A can be removed using RCA cleaning. After step 252 is completed, the substrate 401 is placed into a vacuum-loaded lock chamber or a nitrogen-free (no ring or step 252 can be applied to the integrated processing system 6 (Fig. 7) 12 200845232. In step 254, a thermal oxide layer (Si〇2) 402 is formed on the surface 401B of the cleaned substrate 401 (Fig. 3B). The thickness of the thermal oxide layer 402 is generally from about 3 angstroms to about 35 angstroms. For example, the logic type application has a thermal oxide layer 4 〇 2 having a thickness of about 6 angstroms to about 15 angstroms; For example, the thickness of the thermal oxide layer 402 is from about 15 angstroms to about 4 angstroms. Embodiments of the invention may also be applied to the thermal oxide layer 402 having a thickness greater than 35 angstroms. The thermal oxidation step 254 may form a sulphur dioxide eve. The (Si〇2) sublayer (sUb-layer) is on the interface of the Shixi dielectric layer. Step 254 can improve the dielectric material on the deposited dielectric layer (such as the high-k dielectric layer 404 of FIG. 3D)/ The quality and reliability of the interface can also improve the mobility of the charge carriers in the channel region below the surface 401B. Step 254 can be performed on a rapid thermal processing (RTP) reactor, which is located in the substrate of the integrated processing system. One of the processing chambers 614 A-614F (Fig. 7). A suitable RTP chamber is the RTP chamber available under the trade name RADIANCE® from Applied Materials, Inc. of Santa Clara, California. In one embodiment, the 6A cerium oxide (SiO 2 ) layer is formed on the substrate 401 by a process of 18 seconds, 75 0 ° C, 2 Torr, and an oxygen (〇 2) flow rate of 2 slm. Surface 40 1B. In this embodiment, oxygen is the opposite of the injection of the thermal oxide layer 402 into the processing chamber. Gas should be; in some cases 'inert carrier gas can be added to the processing chamber to achieve a predetermined chamber pressure. Or in some cases, step 254 can use a reactive gas such as nitric oxide (NO), nitrous oxide ( N20), or a mixed reaction gas such as hydrogen (Ha) / oxygen (〇 2), and nitrous oxide (Ν 2 〇) / hydrogen (η 2). In step 257, the thermal oxide layer 402 is exposed to metal ions. 13 200845232 A plasma for doping a predetermined layer of light into a hot rolled layer to form a high-k dielectric layer 403. Step 257 is formed by 忐 + ^ , and the k-transist layer 403 may be a doped layer of erbium (Hf), steel (La) or the like. In an embodiment, the low energy deposition process is performed in a processing chamber similar to that described in reference to FIG. 4A-4C, which is illustrated in FIG. 4F. In the embodiment, the dopant material is transported to the thermal oxide layer 402. The rf energy of region 522 is processed to produce a plasma, followed by a cathode bias to the target (eg, component symbol 5〇5 of Figure 4A).

Ci 或第4B圖的元件符號571)上,以從其濺鍍出材料。在一 態樣中,還期望將基材支撐件562加以RF偏壓、Dc偏壓 或接地’使濺鍍與離子化的材料植入熱氧化層4〇2的預定 深度内。在另一態樣中,還期望電氣,,浮置(fl〇at)”基材支 標件562 ’且因產生自行偏壓之故,而使基材支撐件562 相對電漿之間所產生的電壓為低電壓,以減少離子化材料 撞擊熱氧化層402的能量。各種傳送低能量材料來摻雜熱 氧化層402的方法將參照第4a_4F圖及第5A-5C圖說明於 下。藉著小心控制室壓、rF功率、脈衝DC功率、施加於 基材支撐件5 6 2的偏壓、及/或處理時間,則可控制摻雜量 與濃度對應摻質材料於熱氧化層402内之深度的關係。在 一實施例中,電漿可包含氬離子與金屬離子,如铪、鑭、 鋁、鈦、锆、锶、鉛、釔和鋇,也可包含一或多種選擇性 惰性氣體。典型的惰性氣體可包括氖氣(Ne)、氦氣(He)、 氣氣(Kr)、氣氣(Xe)、氣氣(N2)等。 在一實施例中,熱氧化層402摻有約5-30原子%的給 (Hf)。一般期望降低熱氧化層402的摻質濃度,使濃度於 14 200845232 〇 熱氧化層402與矽通道表面(例如表面4〇 1B)間之界面或至 少數埃前即降至近乎為零。在一實施例中,當使用感應耦 合型式的處理室(第4A圖的元件符號500)時,其採用180 秒與室壓為10亳托耳(mT)(例如主要是氬氣)之製程來將 平均濃度為10原子%的铪(Hf)置入熱氧化層402内,此製 程施加- l5〇 VDC至铪標靶(元件符號5〇5),並使用5%之能 率週期(duty cycle)和,,浮置,,之基座、以13以MHz之頻率 與50瓦(W)之功率來輸送rf能量至線圈(元件符號5〇9)。 在另一實施例中,當使用類似第4G圖的製成配置時,其 才木用80 ^與至麗為10毫托耳(例如主要是氬氣)之製程來 將平均/辰度為7原子%的铪(Hf)置入熱氧化層4〇2内,且 製程施加平均約]〇 ^ r ϋ 0瓦之RF功率(即約5 %之能率週期與 約2000瓦之最大 RF功率)至含鈴之標靶5〇5,且使用,,浮 置”之基座、以13以 MHz之頻率來施加平均約10〇瓦之 RF功率(即約5%之能 <此率週期與約2〇〇〇瓦之最大rf功率) 至線圈509。在—音说 頁苑例中,為避免進行步驟2 5 7時破壞 了熱氧化層402, ^ ^ 丁 g RF功率乃維持小於約1 000瓦。在 另一實施例中,進γ ^ . 9Λλ 仃ν驟257時所用的平均RF功率為小 於約200瓦。在又一 1Α ϋτ?, 貫施例中,進行步驟2 5 7時所用的平 句 功率為小於約5〇 ¥ 於低处Θ泰 υ瓦。在一實施例中,步驟257施行 於低犯篁電漿處理 士杜人上 (如處理室5 00或處理室501),其位 在整合處理系統 之基材處理室614A-614F的其中之一 (弟/圖)。 在一實施例中,如笛ο α 次第2Α及3D圖所示,是進行步驟 15 200845232 256來採用金屬有機化學氣相沉積(m〇CVd)製程、原子層 沉積(ALD)製程或其他類似的沉積製程而沉積高k介電層 404至基材401的表面4〇1B,以代替進行步驟254與步驟 257來從熱氧化層402形成高k介電層403 ^高k介電層 ΟCi or element symbol 571) of Figure 4B to sputter material from it. In one aspect, it is also desirable to RF bias, Dc bias, or ground the substrate support 562 to implant the sputtered and ionized material within a predetermined depth of the thermal oxide layer 4〇2. In another aspect, it is also desirable to electrically, float the substrate support 562' and create a self-biasing to cause the substrate support 562 to be generated relative to the plasma. The voltage is low voltage to reduce the energy of the ionized material striking the thermal oxide layer 402. Various methods of transferring the low energy material to dope the thermal oxide layer 402 will be described below with reference to Figures 4a-4F and 5A-5C. Careful control of chamber pressure, rF power, pulsed DC power, bias applied to the substrate support 562, and/or processing time can control the doping amount and concentration corresponding to the dopant material in the thermal oxide layer 402. The relationship of depth. In one embodiment, the plasma may comprise argon ions and metal ions such as ruthenium, osmium, aluminum, titanium, zirconium, hafnium, lead, bismuth and antimony, and may also comprise one or more selective inert gases. Typical inert gases may include helium (Ne), helium (He), gas (Kr), gas (Xe), gas (N2), etc. In one embodiment, the thermal oxide layer 402 is doped with 5-30 atomic % of the (Hf). It is generally desirable to reduce the dopant concentration of the thermal oxide layer 402 to a concentration of 14 2008452. 32 The interface between the thermal oxide layer 402 and the surface of the germanium channel (e.g., surface 4〇1B) or at least a few angstroms is reduced to near zero. In one embodiment, when an inductively coupled type of processing chamber is used (Fig. 4A) When the component symbol 500) is used, a process of 180 seconds and a chamber pressure of 10 Torr (for example, mainly argon) is used to place 铪 (Hf) having an average concentration of 10 atomic % into the thermal oxide layer 402. Inside, this process applies -l5〇VDC to the target (component symbol 5〇5) and uses a 5% duty cycle and, floating, pedestal, at a frequency of 13 MHz 50 watts (W) of power to deliver rf energy to the coil (component symbol 5 〇 9). In another embodiment, when using a fabrication configuration similar to the 4G diagram, it uses 80 ^ and 丽丽A process of 10 mTorr (for example, mainly argon) is used to place yttrium (Hf) having an average/density of 7 atom% into the thermal oxide layer 4〇2, and the process applies an average of about 〇^r ϋ 0 watts. RF power (ie about 5% energy cycle with a maximum RF power of about 2000 watts) to the ring target 5〇5, and use, floating, pedestal, 13 to M The frequency of Hz is applied to an average of about 10 watts of RF power (i.e., about 5% of energy < this rate period and a maximum rf power of about 2 watts) to coil 509. In the case of the phonogram, in order to avoid the destruction of the thermal oxide layer 402 when the step 257 is avoided, the RF power is maintained to be less than about 1000 watts. In another embodiment, the average RF power used to enter γ^9Λλ 仃ν 257 is less than about 200 watts. In another example, in the example, the power of the phrase used in step 2 5 7 is less than about 5 〇 ¥ 低 Θ υ 。. In one embodiment, step 257 is performed on a low-frequency plasma treatment of a person (such as a process chamber 500 or a process chamber 501) located in one of the substrate processing chambers 614A-614F of the integrated processing system. (di brother / figure). In one embodiment, as shown in the second and third 3D drawings, step 15 200845232 256 is used to perform a metal organic chemical vapor deposition (m〇CVd) process, an atomic layer deposition (ALD) process, or the like. A deposition process is performed to deposit a high-k dielectric layer 404 to the surface 4〇1B of the substrate 401 instead of performing steps 254 and 257 to form a high-k dielectric layer 403 ^ high-k dielectric layer from the thermal oxide layer 402.

404可包含氧化錯(Zr〇2)、氧化铪(Η£χ〇〇、鋁酸銓 (HfA10x)、矽酸铪氧化物(HfxSii x〇y)、氧化鑭(Lh〇3)、 及/或氧化鋁(Ah〇3),但不以此為限。步驟256可施行於 原子層沉積系統,例如從應用材料公司取得之Centura ALD High-K糸統。ALD型反應器亦可位在整合處理系統 600之基材處理室614A-614F的其中之一(第7圖)。 在步驟259中,高k介電層403或高k介電層404的 表面是利用電漿沉積製程來終止,以形成終止區域4〇5。 終止區域405的形成方法一般是沉積一材料層及/或摻雜 兩k介電層403或高k介電層404的區域。增加含有鈍態 材料(如氧化鑭(La2〇3)或氧化銘(Al2〇3))的終止區域405認 為將可使表面成鈍態及解決傳統ALD或MoCVD之高k層 常見的費米能階釘扎定住或臨界電壓漂移問題。在一實施 例中,高k介電層403或高k介電層404摻有約0.1-10原 子%的鑭(La)及/或約0.1-10原子%的鋁(A1)。在另一實施 例中,高k介電層403或高k介電層404摻有約0.25-5原 子%的鑭(La)及/或約1-10原子°/❶的鋁(A1)。期望降低高k 介電層403或高k介電層404的摻質濃度,使濃度只擴展 至高k介電層403或高k介電層404的數埃深度。在一實 施例中,鑭(La)摻質使用下述第4A-4C圖之處理室來驅入 16 200845232 高k介電層4〇3 n。在一實施例中,採用i2〇秒與室 1〇毫托耳(例如主要是氬氣)之製程來將平均濃度為〇 子%的鑭(La)驅入摻雜1〇原子%之铪的冑k介電層 内,且製程施加-loo vdc至鑭標靶(如第4A圖的元件 505)並使用5%之能率週期和,,浮置,,之基座以13 56 -4頻率與5G瓦之功率來輸送RF能量至線圈(如第4A 元件符號509)。 在實施例中,步驟259可施行於類似第4A_4c 〇 處理室500或處理室501的處理室。在此結構中,終 域405的形成方法是採用類似上述步驟257的低能量 製钱。在一態樣中,輸送摻質材料至高k介電層4〇3 上層區域是藉由使用輸送至處理區域522的rf能量 生電聚’接著形成陰極偏壓至標靶5〇5,以從其藏鑛 料。基材支撐# 562可加以RF偏壓、Dc偏壓、接地 淨置,使濺鍍與離子化的材料植入高k介電層4〇3。 傳送低能量材料來摻雜高k介電層4〇3的方法將參 -4A-4F圖及第5A-5C圖說明於下。藉著小心控制室壓 功率、脈衝DC偏壓、施加於基材支撐件562的隨意偏 及/或處理時間,則可控制摻雜量與濃度對應摻質材料 k介電層403内之深度的關係。在一實施例中摻質 鋁材料、含鑭材料、或其他類似材料。 在一實施例中,步驟259可施行於處理室5〇〇, 在整合處理系統600之基材處理室614a_6i4f的其中 (第7圖)。在一態樣中,用來進行步驟259的處理室 壓為 .5原 403 符號 MHz 圖的 圖之 止區 植入 的最 來產 出材 、或 各種 照第 、RF 丨壓、 於高 為含 其位 之一 500 17 200845232 不同於用來進行步冑257的處理室。在另_實施例中,從 屬整合處理系統600的單一處理室5〇〇是用來進行步驟 257與步驟259,但各步驟是使用不同的靶材,其置於處理 室500的處理區域522中。 根據步驟259之另一實施 鏡製程沉積至高k介電層403 樣中,濺鍍製程施行於類似第 例,終止區域4 0 5是利用濺 表面的附加材料層。在一態 4A-4C圖之處理室50〇或處 Ο404 may comprise oxidized (Zr〇2), cerium oxide (HfA10x), cerium lanthanum oxylate (HfxSii x〇y), cerium oxide (Lh〇3), and/or Alumina (Ah〇3), but not limited to this. Step 256 can be applied to an atomic layer deposition system, such as the Centura ALD High-K system obtained from Applied Materials. The ALD reactor can also be integrated. One of the substrate processing chambers 614A-614F of system 600 (Fig. 7). In step 259, the surface of high-k dielectric layer 403 or high-k dielectric layer 404 is terminated using a plasma deposition process to The termination region 〇5 is formed. The termination region 405 is formed by depositing a material layer and/or a region doped with the two-k dielectric layer 403 or the high-k dielectric layer 404. The addition of a passive material (such as yttrium oxide ( The termination region 405 of La2〇3) or Oxide (Al2〇3) is believed to be a passive state of the surface and a common Fermi level pinning or critical voltage drift problem common to the high-k layer of conventional ALD or MoCVD. In one embodiment, the high-k dielectric layer 403 or the high-k dielectric layer 404 is doped with about 0.1-10 atomic percent of lanthanum (La) and/or about 0.1-10 atoms. Aluminum (A1). In another embodiment, the high-k dielectric layer 403 or the high-k dielectric layer 404 is doped with about 0.25-5 at% of lanthanum (La) and/or about 1-10 atoms/❶. Aluminum (A1). It is desirable to reduce the dopant concentration of the high-k dielectric layer 403 or the high-k dielectric layer 404 such that the concentration extends only to a depth of several angstroms of the high-k dielectric layer 403 or the high-k dielectric layer 404. In the embodiment, the lanthanum (La) dopant is driven into the 16 200845232 high-k dielectric layer 4 〇 3 n using the processing chamber of the following 4A-4C. In one embodiment, i2 〇 second and chamber 1 采用 are employed. A process of millitorr (for example, mainly argon) is used to drive lanthanum (La) having an average concentration of hafnium into a 胄k dielectric layer doped with 1 〇 atomic percent, and the process applies -loo vdc to镧 target (such as element 505 of Figure 4A) and use 5% of the energy cycle and, floating, the pedestal delivers RF energy to the coil at a frequency of 13 56 -4 and 5 watts (eg 4A) Element symbol 509). In an embodiment, step 259 can be performed in a processing chamber similar to the 4A_4c processing chamber 500 or processing chamber 501. In this configuration, the final domain 405 is formed by employing a low energy similar to the above step 257. system In one aspect, transporting the dopant material to the upper region of the high-k dielectric layer 4〇3 is accomplished by using the rf energy delivered to the processing region 522 to form a cathode bias to the target 5〇5, From its sorbent material, the substrate support # 562 can be RF biased, Dc biased, grounded, and the sputtered and ionized material is implanted into the high-k dielectric layer 4〇3. The method of doping the high-k dielectric layer 4〇3 will be described below with reference to Figures 4A-4F and 5A-5C. By carefully controlling the chamber pressure power, the pulsed DC bias, the random bias applied to the substrate support 562, and/or the processing time, the doping amount and concentration can be controlled to correspond to the depth of the dopant material k dielectric layer 403. relationship. In one embodiment, the aluminum material, the cerium-containing material, or other similar material is doped. In one embodiment, step 259 can be performed in the processing chamber 5(R), in the substrate processing chamber 614a-6i4f of the integrated processing system 600 (Fig. 7). In one aspect, the processing chamber pressure used to perform step 259 is the most produced material of the .5 original 403 symbol MHz map, or the various photo, RF, and high One of its bits, 500 17 200845232, is different from the processing chamber used to perform step 257. In another embodiment, the single processing chamber 5 of the slave integrated processing system 600 is used to perform steps 257 and 259, but each step uses a different target that is placed in the processing region 522 of the processing chamber 500. . According to another embodiment of step 259, a mirror process is deposited into the high-k dielectric layer 403. The sputtering process is performed in a similar manner to the first example, and the termination region 405 is an additional material layer utilizing the splashed surface. In the processing room of the 4A-4C diagram, 50〇 or Ο

Ci 理室5(H的處理室。在此結構中,终止區❺彻的形成是 藉由使用輸送至處理區域5 22的RF能量來產生電漿接 著形成陰極偏壓至標靶505而從其減鍍出材料,以沉積靶 材至高k介電層403上。基材支擇件562可加以rf偏壓、 接地、或電氣洋置,以控制將植入高k介電層4〇3的濺鍍 與離子化材料之能量及深度。在一實施例巾,沉積層含有 链(A1)、鑭(La)、或其他適合的材料。 一在實轭例中’選擇性步驟260採用含氧之rf電漿 來氧化暴露的材料並將其轉化成介電材料。在一實施例 中,高k介電層403、高k介電層4〇4、及/或終止區域4〇5 為暴露在含氧之電漿中,以形成氧化鋁或氧化鑭。在另一 實施例中,含氮(NO之電漿也可包含一或多種氧化氣體, 氧氣(〇2)、一氧化氮(No)、氧化亞氮(N2〇)。電漿還可 G 3 一或多種選擇性惰性氣體,例如氬氣(Ar)和氦氣 (ίί ^ \ 〇 | 卜 。V驟260例如可施行於整合處理系統6〇〇(第7圖) 的去耦合電漿氮化(DPN)電漿反應器。在一實施例中,熱 氧化步驟代替電漿氧化步驟來氧化暴露的材料並將其轉化 18 200845232 成介電材料。在一實施例中,電漿氧化步驟採用5 %之能率 週期與1000瓦之最大RF功率(即50瓦之平均功率)、以 13·56 MHz之頻率施加30秒,並採用流速約1 〇〇 seem的 氮氣與流速約1〇〇 sccm的氧氣與來氧化暴露的材料。 在另一實施例中,選擇性步驟262是用來代替步驟 260。在步驟262中,高k介電層403或高让介電層404、 和基材401以約600°C至約11〇〇°C進行退火處理。以較低 溫度來進行退火處理(例如退火溫度為約6〇〇°C至約800。〇 有助於防止在沉積材料前產生結晶,例如含矽(Si)、氧(〇2) 或二者之給。步驟262可施行於適當的熱退火室,例如整 合處理系統600的RADIANCE®反應器或RTP XE +反應器、 或單一基材或批次爐管。步驟262可形成矽酸化次層於高 k介電層403或終止區域405中。在一實施例中,步驟262 可至少採用約2_5〇〇〇sccm的氧氣(02)和約i〇〇-5000sccm 的一氧化氮(NO)其中之一來進行、或選擇性混入氮氣 (N2) ’且維持基材表面溫度為約600°C至約110(TC、處理室 壓力為約0·1-50托耳。此製程可進行約5_18〇秒。在一實 施例中’步驟262為15秒、900。(:、i托耳的製程,其採 用'爪速約60 scem的氧氣(02)與流速約940 seem的氮氣 (>h)。在另—實施例中,氧氣(〇2)供應量為約2〇〇 secm(例 如氧乳为壓為約2〇〇mT)、氮氣為約議,且在約 〇〇0 C下維持室壓為約1托耳、為期約1 5秒。在又-實施 例中NO為約5〇〇scem,且在基材溫度為約⑽代下維持 室壓為約〇 · 5杯互 ^ ^ 耳、為期約15秒。 19 200845232 在一實施例中,步驟260或步驟262是在步驟256、 步驟257或步驟259之後進行。根據程序251之一實施例, 類似步驟260或步驟262的氧化步驟可在步驟&與步驟 259 t間進行,以於終止區域405沉積至高k介電層4〇3 上之别,再次氧化步驟257所沉積的摻質材料。 在V驟264 +,終止區域405和高k介電層403或高 k介電層404以氮電漿處理來增加這些區域的含氮量。此 ζΛ 製程可使用DpN反應器且提供約1〇_2〇〇〇 sccm的氮氣 (N2)約20-500 C的基座溫度、及約5-2〇〇亳托耳的反應 室壓力。射頻(RF)電漿例如以13·56ΜΗζ或6〇MHz、和高 達約3-5仟瓦(kW)的連續波(cw)或脈衝電漿電源來供應能 Ϊ。產生脈衝時,最大RF功率、頻率與能率週期的範圍 一般分別為約10-3000瓦、約10kHz與約2%_100%。此製 程可進行約1秒至約180秒。在一實施例中,氮氣(N2)的 供應量為約200 sccm,且約1〇〇〇瓦的最大rf功率以約 1 0kHz與施加於感應電漿源之約5 %的能率週期、約2 5 C [) 之溫度、和約1〇-80亳托耳之壓力等條件來產生脈衝、為 期約15秒至約180秒。電漿可利用準遙(qiiasi_reni〇te)電 漿源、感應電漿源、輻射線帶槽天線(radial Hne slotted antenna ; RLSA)源、或其他電漿源等產生。在另一實施例 中,CW及/或脈衝微波電源可用來形成高含氮量的區域。 在步驟266中,基材401可經退火處理,以減少基材 401上各層間的漏電流,並增進電荷載子於表面4〇1B下方 之通道區域的移動性及改善形成元件的可靠度。步驟266 20 200845232 有助於減少形成於基材401上之膜層的缺陷數量。在步驟 266中’退火處理或鈍化(passivate)步驟264所形成之氮化 層認為將有助於促進有效阻障層的形成,以阻擋硼從摻雜 硼之多晶矽閘極電極擴散。步驟266可施行於適當的熱退 火室,例如整合處理系統600的RADIANCE®反應器或RTP XE+反應器、或單一基材或批次爐管。在一實施例中,步 驟2 66的退火製程可至少採用流速為約2-5000 seem的氧 氣(〇2)和流速為約100-5000 seem的一氧化氣(NO)其中之 一、或選擇性混入氮氣(N2),且維持基材表面溫度為約 800 °C至約1100 °C、處理室壓力為約o.i^o托耳。此製程 可進行約5-180秒。在一實施例中,氧氣(〇2)供應量為約 500 seem,且在約1〇〇〇艺下維持室壓為約〇」托耳、為期 約1 5秒。在一實施例中,步驟266使用類似上述步驟262 的製程配方(process recipe)。 一旦完成步驟260、262、264、或266後,進行步驟 268來沉積一或多層膜層至已形成之膜層上,以構成m〇s 元件的閘極區域或閘極電極。根據步驟2 6 8之一實施例, 多晶石夕層沉積到上述膜層上方的閘極區域中而提供閘極電 極。在一實施例中’多晶矽層的沉積是採用傳統多晶矽沉 積製程。在一實施例中,多晶矽沉積室(未繪示)為整合處 理系統600的一部分。在一實施例中,多晶矽利用evD或 ALD反應器而沉積於程序251所形成之膜層上方,而此反 應器例如從應用材料公司取得之Centura CVD反應器,其 包含整合處理系統600之基材處理室61 4A-61 4F的其中之 21 200845232 一(第7圖)。 根據步驟268之另一實施例,如第3F圖所示,閘極 區域408包含多層導體層,如薄金屬層4〇7與多晶石夕層 406。在一實施例中,閘極區域408包含薄金屬層407,其 沉積於處理程序251所形成之膜層上,以提供载子濃度比 傳統多晶石夕閘極材料還高的閘極材料。薄金屬層407的厚 度為約5 - 2 0 0 A,較佳為小於約3 0 A。在一實施例中,薄金 屬層407包含金屬,例如鈕(Ta)、氮化钽(TaN)、碳化鈕 (TaC)、氮化礙组(TaCN)、鎮(W)、氮化鎢(WN)、氮化石夕组 (TaSiN)、铪(Hf)、鋁(A1)、鉑(Pt)、釕(ru)、鈷(c〇)、鈦 (Ti)、鎳(Ni)、氮化鋁鈦(TiAIN)、氮化釕(ruN)、氮化鈴 (HfN)、矽化鎳(NiSi)、氮化鈦(TiN)、或其他適合的材料。 薄金屬層407的形成較佳是採用處理室500(第4A圖)或處 理室501(第4B-4C圖),其從屬整合處理系統6〇〇(第7圖)。 在此結構中’薄金屬層407是藉由沉積乾材至處理程序251 所形成之膜層上而形成,其使用RF能量來產生電衆並偏 壓標靶以從其濺鍍出金屬,接著選擇性偏壓基材支撐件 562(第4A-4B圖),使濺鍍與離子化的金屬材料沉積到先前 形成之膜層上。使用RF能量來驅動濺鍍沉積製程正可允 許少量的材料可靠地沉積於基材表面。相反地,由於使沉 積速率降至一定低程度來形成薄金屬層所需施加之濺鍍 (DC)電壓通常無法維持住錢鑛電漿’故傳統物理氣相沉積 或藏鑛技術嚴格受限於其確實沉積少量材料的能力。在其 他實施例中’薄金屬層407的形成方法可採用傳統cvd、 22 200845232 PECVD或ALD製程。 第2 B圖緣示處理程序2 5 1的另一實施例。第2 B圖的 處理程序25 1同於第2A圖所述之步驟,除了二選擇性步 驟258A及/或步驟258B的至少其中之一增加到步驟257 或步驟256與步驟259之間。在一實施例中,電漿氮化牛 驟係加入處理程序251中,用以氮化步驟254、256或257 之一所形成之高k介電層403或高k介電層404中的一咬 多種材料。在一實施例中,期望利用電漿氮化製程來形成 含氮化铪的膜層,以防止高k介電層403或高k介電層4〇4 中的铪材料在後續退火步驟(如步驟25 8B、262或266)中 結晶。在一實施例中,步驟258A是採用步驟264所述之 製程。 在一實施例中,選擇性熱退火步驟(步驟2 5 8 B)係加入 處理程序251中,用以減少所形成之高k介電層403或高 k介電層404中的缺陷與應力,進而改善形成元件的可靠 度。在一實施例中,步驟25 8B是採用步驟262及/或步驟 264所述之製程。在一實施例中,步驟25 8B是在上述步驟 2 5 8 A完成後進行。在一實施例中,步驟2 5 8 B為1 5秒、 900C、1托耳之製程,其使用流速約60 seem的氧氣(〇2) 與流速約940 seem的氮氣(N2)。 第2C圖繪示處理程序251的又一實施例。第2C圖的 處理程序251同於第2A圖所述之步驟,除了步驟253增 加到步驟252與步驟254之間,且步驟256在完成步驟254 後進行。在此實施例中,電漿氮化步驟(步驟2 5 3)加入處 23 200845232 理程序251的移除原生氧化層步驟252之後,用以在進行 步驟254或256之前先氮化基材表面。氮化之矽基材表面 認為將有助於形成期望的氮氧化矽(SiON)層,其留在後續 • 熱氧化步驟(步驟254)所形成之氧化矽層的表面或附近。 * 在二氧化石夕層的表面或附近形成SiON層有助於減少閘極 電極材料(步驟268)在接續的製程步驟中擴散到閘極介電 層。步驟256與步驟254於本實施例的順序係經改變,以 ❹ 於沉積高k介電層步驟256之前形成氮氧化矽(SiON)界面 層’此將有助於改善高k介電層與元件通道區域的界面性 質。步驟253可施行於從美國加州聖克拉拉市之應用材料 公司取得的DPN反應器。在一實施例中,步驟253為1〇 秒、70亳托耳之製程,其使用25瓦之平均功率(5%之 能率週期與500瓦之最大rf功率)、200 seem的氮氣 氣流和約25 °C之基材溫度。並且根據處理程序251之—實 施例,步驟2 5 4係經修改以確保步驟2 5 3所得之經氮化的 _ 矽表面仍保留預定的性質。在此狀況下,還期望在進行步 ii 驟254時注入其他的反應氣體(例如氮氣(NO)及氧氣至處 理室中’以確保形成高品質的介電層。在一實施例中,氮 氧化矽(Si ON)層形成於表面4〇1b的方法是採用3〇秒、 l〇50°C、5托耳(即氧氣分壓為約15mT)之製程,其使用流 速約1 5 seem的氧氣(ο。與流速約5 slm的氮氣(N2),接著 流速調節為0.5 slm的氧氣(〇2)與約4.5 slm的氮氣(N2)、 為期1 5秒。 第2D圖繪示處理程序251的再一實施例。第2D圖的 24 200845232 處理程序25 1同於第2A圖所述之步驟,除了二選擇性步 驟255A或步驟255B增加到步驟254與步驟257之間。在 一實施例中’選擇性電漿氮化步驟(步驟255A)加入步驟 2 54與步驟257之間,用以氮化步驟254所形成之熱氧化 層上表面而形成SiON層。SiON層可當作擴散阻障層,用 來防止閘極電極材料擴散到閘極介電層。在一實施例中, 步驟255A為30秒、1〇毫托耳之製程,其使用5〇瓦之平 均RF功率(5%之能率週期與1〇〇〇瓦之最大功率)、2〇〇 seem的氮氣(N2)和約25°C之基材溫度。 參照第2D圖,在一實施例中,選擇性熱退火步驟(步 驟255B)係加入處理程序251中,用以減少所形成之高k 介電層403中的缺陷與應力,進而改善形成元件的可靠 度。在一實施例中,退火處理步驟25 5B可至少採用流速 約15 seem的氧氣(〇2)和約500 sccm的氮氣(n2)其中之 一,且維持基材表面溫度為約1 〇 5 〇 °c、處理室壓力為約j _ 5 托耳。在另一實施例中,步驟255B是採用步驟262及/或 步驟266所述之製程。在一實施例中,步驟255B是在上 述步驟255A完成後進行。 第2E圖繪示處理程序251的另一實施例。第2E圖的 處理程序251同於第2A圖所述之步驟,除了移掉步驟 254,且步驟252修改成濕式清洗步驟252A以形成含氧化 矽之界面層。在此實施例中,新步驟252A利用濕式清洗 製程來清洗且有意地形成氧化層於基材表面401B。新步驟 252A可施行於從美國加州聖克拉拉市之應用材料公司取 25 200845232 得的EmerSi〇nTM反應器。在一 κ ⑺史’步驟252A形成 4-5埃的氧化層,方法包括將基材 μ &八稀釋氫氟酸(HF)浴 中8分鐘,接著洗滌基材且將基材 仍’又八維持於5 0 °C之第一 標準清洗(SC1)浴(例如小於5vol.%之氫氧化録(nh4〇h)/小 於3V0l.%之過氧化氳(仏^/衡量的去離子水)中6分鐘, 然後在含有去離子水的兆音波啟動槽(即15〇〇瓦)中洗滌 ΟCi chamber 5 (H's processing chamber. In this configuration, the termination region is formed by using the RF energy delivered to the processing region 522 to generate a plasma and then forming a cathode bias to the target 505 from The material is deplated to deposit a target onto the high-k dielectric layer 403. The substrate support 562 can be rf biased, grounded, or electrically placed to control implantation of the high-k dielectric layer 4〇3. The energy and depth of the sputtered and ionized material. In one embodiment, the deposited layer contains chains (A1), lanthanum (La), or other suitable materials. In a solid yoke example, the selective step 260 employs oxygen. The rf plasma oxidizes the exposed material and converts it into a dielectric material. In one embodiment, the high-k dielectric layer 403, the high-k dielectric layer 4〇4, and/or the termination region 4〇5 are exposed In an oxygen-containing plasma, to form alumina or yttria. In another embodiment, the nitrogen-containing (NO plasma may also contain one or more oxidizing gases, oxygen (〇2), nitric oxide (No) Nitrous oxide (N2〇). The plasma may also be G 3 one or more selective inert gases such as argon (Ar) and helium (ίί ^ \ 〇| V. Step 260 can be performed, for example, on a decoupled plasma nitriding (DPN) plasma reactor of integrated processing system 6 (Fig. 7). In one embodiment, the thermal oxidation step is replaced by a plasma oxidation step to oxidize. The exposed material is converted to a dielectric material of 18 200845232. In one embodiment, the plasma oxidation step uses a 5% energy cycle with a maximum RF power of 1000 watts (ie, an average power of 50 watts) at 13.56. The frequency of MHz is applied for 30 seconds and the exposed material is oxidized with a flow rate of about 1 〇〇seem of nitrogen and a flow rate of about 1 〇〇sccm of oxygen. In another embodiment, the optional step 262 is used instead of step 260. In step 262, the high-k dielectric layer 403 or the high dielectric layer 404, and the substrate 401 are annealed at about 600 ° C to about 11 ° C. Annealing is performed at a lower temperature (eg, The annealing temperature is from about 6 ° C to about 800. The ruthenium helps to prevent crystallization from occurring prior to deposition of the material, such as bismuth (Si), oxygen (〇2), or both. Step 262 can be performed as appropriate. Thermal annealing chamber, such as the RADIANCE® reactor or RTP XE + integrated processing system 600 The reactor, or a single substrate or batch of furnace tubes. Step 262 may form a niobic sublayer in the high-k dielectric layer 403 or termination region 405. In an embodiment, step 262 may be at least about 2_5 〇〇〇. Sccm oxygen (02) and about one 〇〇-5000 sccm of nitric oxide (NO) are carried out, or selectively mixed with nitrogen (N2)' and the substrate surface temperature is maintained from about 600 ° C to about 110 ( TC, process chamber pressure is about 0. 1-50 Torr. This process can be carried out for about 5-18 seconds. In one embodiment, step 262 is 15 seconds, 900. (:, i-Torr process, which uses oxygen (02) with a jaw speed of about 60 scem and nitrogen (>h) with a flow rate of about 940 seem. In another embodiment, the supply of oxygen (〇2) is About 2 〇〇 sec (for example, oxidized milk is about 2 〇〇 mT), nitrogen is about, and the chamber pressure is about 1 Torr at about C0 C for about 15 seconds. In the examples, NO is about 5 〇〇 scem, and the chamber pressure is maintained at about 5,000 Å for about 15 seconds at a substrate temperature of about (10). 19 200845232 In an embodiment, step 260 Or step 262 is performed after step 256, step 257 or step 259. According to an embodiment of the program 251, an oxidation step similar to step 260 or step 262 can be performed between step & step 259 t to terminate region 405 Deposition onto the high-k dielectric layer 4〇3, again oxidizing the dopant material deposited in step 257. At V 264 +, termination region 405 and high-k dielectric layer 403 or high-k dielectric layer 404 are nitrogen Slurry treatment to increase the nitrogen content of these areas. This process can use a DpN reactor and provides a base of about 20-500 C of nitrogen (N2) of about 1 〇 2 〇〇〇 sccm. Temperature, and chamber pressure of about 5-2 Torr. Radio frequency (RF) plasma, for example, at 13.56 ΜΗζ or 6 〇 MHz, and up to about 3-5 watts (kW) of continuous wave (cw) Or pulse plasma power supply to supply energy. When generating pulses, the maximum RF power, frequency and energy cycle period is generally about 10-3000 watts, about 10 kHz and about 2% _100%. This process can be carried out for about 1 second. About 180 seconds. In one embodiment, the supply of nitrogen (N2) is about 200 sccm, and the maximum rf power of about 1 watt is about 10 kHz and about 5% of the energy applied to the inductive plasma source. The cycle, a temperature of about 2 5 C [), and a pressure of about 1 〇-80 Torr are used to generate pulses for a period of about 15 seconds to about 180 seconds. The plasma can be generated using a quasi-remote source, an inductive plasma source, a radial Hne slotted antenna (RLSA) source, or other plasma source. In another embodiment, a CW and/or pulsed microwave power source can be used to form regions of high nitrogen content. In step 266, the substrate 401 can be annealed to reduce leakage current between the layers on the substrate 401 and to enhance mobility of the charge carriers in the channel region below the surface 4〇1B and to improve reliability of the formed components. Step 266 20 200845232 helps to reduce the number of defects in the film layer formed on the substrate 401. The nitride layer formed by the 'annealing or passivation step 264 in step 266 is believed to help promote the formation of an effective barrier layer to block the diffusion of boron from the boron-doped polysilicon gate electrode. Step 266 can be performed in a suitable thermal annealing chamber, such as a RADIANCE® reactor or RTP XE+ reactor integrated with processing system 600, or a single substrate or batch furnace tube. In one embodiment, the annealing process of step 2 66 can employ at least one of oxygen (〇2) having a flow rate of about 2 to 5000 seem and one of oxygen gas (NO) having a flow rate of about 100 to 5000 seem, or selectivity. Nitrogen gas (N2) was mixed, and the substrate surface temperature was maintained at about 800 ° C to about 1100 ° C, and the process chamber pressure was about oi^o. This process can be performed for about 5-180 seconds. In one embodiment, the oxygen (〇2) supply is about 500 seem, and the chamber pressure is maintained at about 1 Torr for about 15 seconds. In one embodiment, step 266 uses a process recipe similar to step 262 above. Once steps 260, 262, 264, or 266 are completed, step 268 is performed to deposit one or more layers of the film onto the formed film layer to form the gate region or gate electrode of the m〇s device. According to one embodiment of step 268, a polycrystalline layer is deposited into the gate region above the film layer to provide a gate electrode. In one embodiment, the deposition of the polycrystalline germanium layer is a conventional polycrystalline germanium deposition process. In one embodiment, a polysilicon deposition chamber (not shown) is part of the integrated processing system 600. In one embodiment, the polysilicon is deposited over the film layer formed by the process 251 using an evD or ALD reactor, such as the Centura CVD reactor available from Applied Materials, which includes the substrate of the integrated processing system 600. 21 of the processing chamber 61 4A-61 4F 2008 200823 1 (Fig. 7). According to another embodiment of step 268, as shown in FIG. 3F, the gate region 408 includes a plurality of conductor layers, such as a thin metal layer 4?7 and a polycrystalline layer 406. In one embodiment, the gate region 408 includes a thin metal layer 407 deposited on the film layer formed by the process 251 to provide a gate material having a higher carrier concentration than conventional polycrystalline slab gate materials. The thin metal layer 407 has a thickness of about 5 - 2 0 0 A, preferably less than about 30 A. In one embodiment, the thin metal layer 407 comprises a metal such as a button (Ta), tantalum nitride (TaN), a carbonized button (TaC), a nitride barrier (TaCN), a town (W), and a tungsten nitride (WN). ), nitride layer (TaSiN), hafnium (Hf), aluminum (A1), platinum (Pt), ruthenium (ru), cobalt (c〇), titanium (Ti), nickel (Ni), aluminum nitride titanium (TiAIN), tantalum nitride (ruN), nitrided iron (HfN), nickel (NiSi), titanium nitride (TiN), or other suitable materials. The formation of the thin metal layer 407 is preferably by using a processing chamber 500 (Fig. 4A) or a processing chamber 501 (Fig. 4B-4C), which is dependent on the integrated processing system 6 (Fig. 7). In this configuration, the 'thin metal layer 407 is formed by depositing dry material onto the film layer formed by the process 251, which uses RF energy to generate electricity and bias the target to sputter metal therefrom, followed by The substrate support 562 (Fig. 4A-4B) is selectively biased to deposit a sputtered and ionized metal material onto the previously formed film layer. The use of RF energy to drive the sputter deposition process allows a small amount of material to be reliably deposited on the surface of the substrate. Conversely, the sputtering (DC) voltage required to form a thin metal layer by reducing the deposition rate to a certain low level is usually unable to sustain the slag plasma. Therefore, traditional physical vapor deposition or mining techniques are strictly limited by It does have the ability to deposit small amounts of material. In other embodiments, the thin metal layer 407 can be formed by a conventional cvd, 22 200845232 PECVD or ALD process. FIG. 2B illustrates another embodiment of the processing program 251. The process 25 1 of Fig. 2B is the same as the step described in Fig. 2A except that at least one of the two selective steps 258A and/or step 258B is added to step 257 or between step 256 and step 259. In one embodiment, the plasma nitriding system is added to the processing program 251 for nitriding one of the high-k dielectric layer 403 or the high-k dielectric layer 404 formed by one of the steps 254, 256 or 257. Bite a variety of materials. In one embodiment, it is desirable to form a tantalum nitride-containing film layer using a plasma nitridation process to prevent the germanium material in the high-k dielectric layer 403 or the high-k dielectric layer 4〇4 from undergoing subsequent annealing steps (eg, Crystallization in step 25 8B, 262 or 266). In one embodiment, step 258A is the process described in step 264. In one embodiment, a selective thermal annealing step (step 2 5 8 B) is added to the processing sequence 251 to reduce defects and stresses in the formed high-k dielectric layer 403 or high-k dielectric layer 404, This further improves the reliability of the formed components. In one embodiment, step 25 8B is the process described in step 262 and/or step 264. In one embodiment, step 25 8B is performed after completion of step 258 A above. In one embodiment, step 2 5 8 B is a 15 second, 900 C, 1 Torr process using a flow rate of about 60 seem of oxygen (〇2) and a flow rate of about 940 seem of nitrogen (N2). FIG. 2C illustrates still another embodiment of the processing program 251. The processing program 251 of Fig. 2C is the same as the step described in Fig. 2A except that step 253 is added between step 252 and step 254, and step 256 is performed after step 254 is completed. In this embodiment, the plasma nitridation step (step 253) is followed by the removal of the native oxide layer step 252 of the process 251 or 256 to nitride the substrate surface prior to performing step 254 or 256. The nitrided tantalum substrate surface is believed to contribute to the formation of the desired silicon oxynitride (SiON) layer which remains on or near the surface of the tantalum oxide layer formed by the subsequent thermal oxidation step (step 254). * Forming a SiON layer on or near the surface of the dioxide layer helps to reduce the diffusion of the gate electrode material (step 268) into the gate dielectric layer during successive processing steps. The sequence of steps 256 and 254 in this embodiment is modified to form a niobium oxynitride (SiON) interface layer prior to the deposition of the high-k dielectric layer step 256. This will help improve the high-k dielectric layer and components. The interface properties of the channel area. Step 253 can be performed on a DPN reactor available from Applied Materials, Inc. of Santa Clara, California. In one embodiment, step 253 is a 1 second, 70 Torr process that uses an average power of 25 watts (5% energy cycle with a maximum rf power of 500 watts), 200 seem nitrogen gas flow, and about 25 The substrate temperature of °C. And in accordance with the embodiment of process 251, step 254 is modified to ensure that the nitrided _ surface obtained in step 253 retains the predetermined properties. In this case, it is also desirable to inject other reactive gases (e.g., nitrogen (NO) and oxygen into the processing chamber) during step 254 to ensure the formation of a high quality dielectric layer. In one embodiment, nitrogen oxides The ON (Si ON) layer is formed on the surface 4 〇 1b by a process of 3 〇, 〇 50 ° C, 5 Torr (ie, a partial pressure of oxygen of about 15 mT) using oxygen having a flow rate of about 15 seem. (o. with a flow rate of about 5 slm of nitrogen (N2), followed by a flow rate adjustment of 0.5 slm of oxygen (〇2) and about 4.5 slm of nitrogen (N2) for a period of 15 seconds. Figure 2D shows the processing procedure 251 Still another embodiment. The 24 200845232 processing program 25 1 of FIG. 2D is the same as the step described in FIG. 2A except that the second selective step 255A or the step 255B is added between the steps 254 and 257. In an embodiment The selective plasma nitridation step (step 255A) is added between step 2 54 and step 257 to nitride the upper surface of the thermal oxide layer formed in step 254 to form an SiON layer. The SiON layer can be used as a diffusion barrier layer. Used to prevent the gate electrode material from diffusing to the gate dielectric layer. In an embodiment, step 255A 30 seconds, 1 Torr milliohm process, using an average RF power of 5 watts (5% energy cycle and 1 watt maximum power), 2 〇〇seem nitrogen (N2) and about 25° The substrate temperature of C. Referring to FIG. 2D, in an embodiment, a selective thermal annealing step (step 255B) is added to the processing program 251 to reduce defects and stresses in the formed high-k dielectric layer 403. Thereby improving the reliability of forming the component. In an embodiment, the annealing treatment step 25 5B may employ at least one of oxygen (〇2) having a flow rate of about 15 seem and nitrogen (n2) of about 500 sccm, and maintaining the substrate. The surface temperature is about 1 〇 5 〇 ° c, and the process chamber pressure is about j _ 5 Torr. In another embodiment, step 255B is the process described in step 262 and/or step 266. In one embodiment Step 255B is performed after the completion of the above step 255A. Fig. 2E illustrates another embodiment of the processing program 251. The processing program 251 of Fig. 2E is the same as the step described in Fig. 2A except that step 254 is removed, and Step 252 is modified to wet cleaning step 252A to form an yttria-containing interface layer. In an embodiment, the new step 252A utilizes a wet cleaning process to clean and intentionally form an oxide layer on the substrate surface 401B. The new step 252A can be performed on EmerSi(R) from Applied Materials, Inc., Santa Clara, Calif. nTM reactor. Forming an oxide layer of 4-5 angstroms in a κ (7) history 'Step 252A, the method comprises: immersing the substrate in a μ & eight diluted hydrofluoric acid (HF) bath for 8 minutes, followed by washing the substrate and the substrate Still 'eight-times maintained at 50 °C in the first standard cleaning (SC1) bath (for example less than 5 vol.% of the hydroxide record (nh4〇h) / less than 3V0.% of the ruthenium peroxide (仏^ / measured go 6 minutes in ionized water, then washed in a megasonic starter tank (ie 15 watts) containing deionized water

基材一段時間。在另一實施例中,氧化層是由使用含臭氧 (〇3)之清洗液的濕式清洗製程所形成。 第2F圖繪示處理程序251的又一實施例。第2F圖的 處理程序251同於第2A圖所述之步驟,除了步驟256是 在步驟254完成後進行。在此實施例中,步驟256與步驟 2 54的順序係經改變,以於沉積高k介電層步驟256之前 形成二氧化矽(Si〇2)薄層(如小於10人)。在一實施例中,薄 高k介電層404係利用ALD型式的沉積製程而沉積於步驟 254中所生長的熱氧化層402上。此結構有用的原因在於, 步驟254形成之二氧化矽薄層在介電層與元件通道區域之 接面提供了良好的介電層/通道區域界面性質,同時提供了 完成之堆疊結構的期望介電性質。 硬體設備的設計能 如上所述,形成高k介電層的方法係期望使用搭配上 述步驟257與259的電漿製程。採用高電漿電位(例如數十 伏特)的電漿處理製程可能會破壞薄閘極介電層,甚至會將 轟擊之金屬原子結合至所形成之MOS元件下方的通道區 26 200845232 域。破壞介電層(如二氧化矽層)或將金屬原子結合至下方 區域係為不期望發生的,因其會降低元件性能及增加漏電 流。下述之各種實施例可利用電漿處理製程來確實形成閘 極介電層。可用來進行此種金屬電漿處理製程的設備實例 將配合第4A-4C、4F圖說明於下。 感應耦合電漿處理窒 第4A圖繪示電漿處理室500之一實施例的截面,其 可用來進行上述步驟 257及/或步驟 259。在此結構配置 下,處理室5 00為感應耦合電漿處理室,其可處理位於處 理區域522的基材502,例如基材401(第3A圖)。在一實 施例中,處理室500為修改之去耦合電漿氮化(DPN)室, 其從美國加州聖克拉拉市之應用材料公司取得且使用感應 耦合RF源。 處理室500 —般包含感應rf源組件591、DC源組件 592、標靶505、系統控制器602、處理室組件593、和基 材支撐組件594。處理室組件593 —般包含可在處理區域 522構成真空的組件,使電漿製程在此進行。處理室組件 593 —般包含室底527、室壁528和室蓋529,係可密封地 包圍處理區域522。處理區域522可利用真空幫浦510來 排空到預定的真空壓力,幫浦510經由室底527及/或室壁 528連接處理區域522。一般而言,室壁528與室底527 可由金屬構成,例如鋁或其他適合的材料。在一實施例中, 室壁528具有可拆除之腔室屏蔽(未繪示),以避免來自標 27 200845232 靶505之濺鍍材料落在室壁528上。 感應RF源組件591 —般包含RF產生器508和RF匹 配器508 A,其連接至鄰近室蓋5 29的線圈509。在一實施 例中,RF產生器508可以在約400kHz至約20MHz之頻率 下而操作於約0-3000瓦。在一實施例中,RF產生器508 的操作頻率為13·56ΜΗζ。室蓋529 —般為介電組件(例如 石英、陶瓷材料),用以使感應RF源組件5 91之RF能量 在處理區域522中形成電漿。在一實施例中,線圈509位 於標靶505附近,如此在進行濺鍍時,產生於處理區域522 的電漿將形成在標靶的活化表面附近。控制活化表面附近 的電漿有助於控制低能量濺鍍沉積製程所濺鍍之標靶區域 附近的電漿密度。因電漿由線圈509產生之故,此結構配 置亦有利於減少不當的電漿轟擊超薄的閘極介電層。 在一實施例中,室蓋529修改成使真空密封之電氣餽 通口(feed-through) 504接觸位於處理區域 522的標靶 5 05。在此結構配置下,同軸電纜506為連接自真空密封之 電氣餽通口 504來輸送DC電源供應器507的能量,促使 電漿產生的離子將標靶505材料濺鍍至基材5 02上。在一 態樣中,配合第5A-5C圖說明於下的系統控制器602是用 來同步化RF產生器508的輸出與輸送自DC源組件592 的DC功率。在一實施例中,標靶505可由單一材料或合 金組成,合金所含之元素選自由铪(Hf)、鑭(La)、鋁(A1)、 鈦(Ti)、锆(Zr)、锶(Sr)、鉛(Pb)、釔(Y) '或鋇(Ba)構成之 群組。 28 200845232 在一態樣中,處理室組件593還包含氣體輸送系統 5 50,用以輸送一或多種製程氣體至室底527、室壁和 至蓋529所構成的處理區域522中。處理區域522的壓力 可由系統控制器602控制,其用來調整氣體輸送系統55〇 所輸送的氣體之流量及真空幫浦51〇的抽吸速度,而幫浦 51〇係由節流閥511調節。在一態樣中,處理過程之室壓 為約5亳托耳至約1 〇 〇亳托耳。 基材支撐組件594 —般包括含有基材支撐構件562a 的基材支撐件562。基材支撐構件562A可為主動托住處理 基材的傳統靜電吸座、或單純為基材支撐座。控溫器5 6 ^ 一般用來加熱及/或冷卻基材支撐構件562A達預定溫度, 而此預定溫度係藉由控溫器561利用傳統手段所設定,例 如埋置阻抗加熱元件或耦接至熱交換器(未繪示)的流體冷 卻通道。在一態樣中,控溫器561係適以操作與加熱放置 於基材支撐構件562A上的基材5〇2,使其溫度達到約2〇t 至約800°C。製程進行時,基材支撐件562可連接至rf產 生器523,如此,RF偏壓可施加至部分的基材支撐件, 以將產生於處理區域522的電漿離子拖拽到基材5〇2的表 面。在一實施例中,基材支撐構件562A在進行電漿製程 時為加以接地、DC偏壓或電氣浮置,以減少離子轟擊破 壞基材502。 將RF產生器508之RF能量傳送到處理區域522將造 成處理區域中的氣體原子離子化。電聚中離子化的氣體原 子接著因DC源組件592施加至標靶5〇5之陰極偏壓而吸 29 200845232 引至標靶505’藉此材料可自標靶5〇5濺鍍出來並落於基 材502表面。為了降低感應rf源組件591輸送之能量 與DC源組侔& 一 592施加之DC偏壓相互干擾與作用,通常 i 』望同步化輸送自DC源組件592與RF源組件591的能量 •脈衝,以使相互干擾最小化,且同時使得沉積速率、膜層 均句度和膜層品質最大化。藉由產生與維持低電子溫度和 低離子爿b里電漿以產生感應RF源脈衝來激發電漿,可緩 和冋電漿電位破壞基材表面的相關問題。一般而言,脈衝 式RF感應電漿產生的離子為低離子能量的離子(例如小於 1〇電子伏特(eV)),因此不會破壞位在電漿内的基材。此 更完整說明於美國專利證書號6,831,〇21、申請日為西元 2 003年6月12日的申請案,其一併附上供作參考。理論 計算(參見第4D圖)暗指,大部分惰性氣體(如氬氣(Ar) ' 氖氣(Ne)、氦氣(He)、氪氣(Kr)或氙氣(Xe))的低離子能量 將無法從脈衝式RF源得到足夠的能量來濺鍍出標靶原 子,而其中標靶組成為铪(Hf)、鑭(La)、或其他重金屬或 I】 介電材料。例如,以氬氣電漿為例,Hf與La標靶的濺鍍 臨界能量分別為42.3eV與25.5eV,離子植入閘極氧化層 的安全離子能量通常小於1 〇eV。因此就RF感應電聚而 言,夠低而可用來形成閘極介電層的離子能量並不足以用 來從靶材濺鍍出期望的金屬離子。故需使用DC源組件592 來施加D C偏壓至標乾’以進行濺錢製程。脈衝沉積製程 的各種態樣將配合第5A-5C圖說明於下。 30 200845232 電容耦合電漿處理室 第4B-4C圖繪示電漿處理室之另一實施例的截面,其 可用來進行上述步驟257及/或步驟259。在此結構配置 下,處理室501為電容耦合電漿處理室,其可處理位於處 理區域522的基材502。處理室501 —般包含超高頻(VHF) 源組件595、標靶組件573、系統控制器602、處理室組件 596、和基材支撐組件594。在此結構配置下,電容柄合電 漿係利用連接至標靶571的VHF源組件595而形成在標乾 571與處理室組件596之接地室壁528之間的處理區域 5 22。處理室組件596 —般包含上述第4A圖的所有組件, 除了室蓋529由密接於室壁528的標靶組件573與電氣絕 緣件572取代。處理室組件596的組件和基材支撐組件594 同於或類似上述處理室500的組件,因此將使用同樣的元 件符號且不再贅述。 參照第4B圖,在一實施例中,VHF源組件595包含 RF源524和匹配器524A,用以透過標靶組件573的一或 多個部件來傳送RF能量至處理區域522。標靶組件573 一般包含背板組件570和標靶571。背板組件570可包含 流體通道(未繪示),以於製程進行時利用熱交換器(未繪示) 輸送的流體冷卻標靶、以及包含磁控管組件(未繪示),其 係適以促進靶材充分利用並提升沉積均勻度。The substrate is for a while. In another embodiment, the oxide layer is formed by a wet cleaning process using a cleaning solution containing ozone (〇3). FIG. 2F illustrates still another embodiment of the processing program 251. The processing program 251 of Fig. 2F is the same as the step described in Fig. 2A except that step 256 is performed after completion of step 254. In this embodiment, the sequence of steps 256 and 254 is modified to form a thin layer of germanium dioxide (Si 2 ) (e.g., less than 10 people) prior to the deposition of the high-k dielectric layer step 256. In one embodiment, the thin high-k dielectric layer 404 is deposited on the thermal oxide layer 402 grown in step 254 using an ALD type deposition process. The reason why this structure is useful is that the thin layer of ruthenium dioxide formed in step 254 provides good dielectric layer/channel region interface properties at the junction of the dielectric layer and the component channel region, while providing the desired intervening of the completed stack structure. Electrical properties. The design of the hardware device can be as described above, and the method of forming the high-k dielectric layer is desirably using a plasma process in conjunction with steps 257 and 259 above. A plasma processing process using a high plasma potential (e.g., tens of volts) may damage the thin gate dielectric layer and even bond the bombarded metal atoms to the channel region 26 200845232 domain below the formed MOS device. Destruction of a dielectric layer (such as a ruthenium dioxide layer) or the incorporation of metal atoms into the underlying regions is undesirable because it reduces component performance and increases leakage current. The various embodiments described below can utilize a plasma processing process to actually form a gate dielectric layer. Examples of equipment that can be used to perform such a metal plasma processing process will be described below in conjunction with Figures 4A-4C and 4F. Inductively Coupled Plasma Treatment 窒 Figure 4A illustrates a cross section of one embodiment of a plasma processing chamber 500 that can be used to perform step 257 and/or step 259 above. In this configuration, process chamber 500 is an inductively coupled plasma processing chamber that can process substrate 502, such as substrate 401 (Fig. 3A), in processing region 522. In one embodiment, process chamber 500 is a modified decoupled plasma nitriding (DPN) chamber available from Applied Materials, Inc. of Santa Clara, Calif., and using an inductively coupled RF source. Processing chamber 500 generally includes an inductive rf source assembly 591, a DC source assembly 592, a target 505, a system controller 602, a process chamber assembly 593, and a substrate support assembly 594. The process chamber assembly 593 generally includes an assembly that can form a vacuum in the processing region 522, allowing the plasma process to proceed there. The process chamber assembly 593 generally includes a chamber bottom 527, a chamber wall 528, and a chamber cover 529 that sealingly surrounds the treatment region 522. The treatment zone 522 can be evacuated to a predetermined vacuum pressure using a vacuum pump 510 that connects the treatment zone 522 via the chamber bottom 527 and/or the chamber wall 528. In general, chamber wall 528 and chamber bottom 527 may be constructed of metal, such as aluminum or other suitable material. In one embodiment, the chamber wall 528 has a removable chamber shield (not shown) to prevent the sputter material from the target 27 200845232 target 505 from falling onto the chamber wall 528. The inductive RF source assembly 591 typically includes an RF generator 508 and an RF matcher 508 A that is coupled to a coil 509 adjacent the chamber cover 5 29 . In one embodiment, RF generator 508 can operate from about 0 to 3000 watts at a frequency of from about 400 kHz to about 20 MHz. In one embodiment, the RF generator 508 operates at a frequency of 13.56 。. The chamber cover 529 is typically a dielectric component (e.g., quartz, ceramic material) for causing RF energy of the inductive RF source assembly 590 to form a plasma in the processing region 522. In one embodiment, the coil 509 is located adjacent the target 505 such that when sputtering is performed, the plasma generated in the processing region 522 will be formed adjacent the active surface of the target. Controlling the plasma near the activated surface helps control the plasma density near the target area where the low energy sputter deposition process is sputtered. Since the plasma is generated by the coil 509, this configuration also facilitates the reduction of improper plasma bombardment of the ultra-thin gate dielectric layer. In one embodiment, the chamber cover 529 is modified such that the vacuum-sealed electrical feed-through 504 contacts the target 505 located in the processing region 522. In this configuration, the coaxial cable 506 is connected to the vacuum-sealed electrical feed port 504 to deliver energy from the DC power supply 507, causing ions generated by the plasma to sputter the target 505 material onto the substrate 502. In one aspect, the system controller 602 described below in conjunction with Figures 5A-5C is used to synchronize the output of the RF generator 508 with the DC power delivered from the DC source assembly 592. In an embodiment, the target 505 may be composed of a single material or alloy selected from the group consisting of hafnium (Hf), lanthanum (La), aluminum (Al), titanium (Ti), zirconium (Zr), yttrium ( A group consisting of Sr), lead (Pb), yttrium (Y)' or yttrium (Ba). 28 200845232 In one aspect, the process chamber assembly 593 further includes a gas delivery system 550 for delivering one or more process gases to the chamber bottom 527, the chamber walls, and to the processing region 522 formed by the cover 529. The pressure of the treatment zone 522 can be controlled by the system controller 602, which is used to adjust the flow rate of the gas delivered by the gas delivery system 55 and the suction speed of the vacuum pump 51, while the pump 51 is regulated by the throttle valve 511. . In one aspect, the chamber pressure of the process is from about 5 Torr to about 1 Torr. The substrate support assembly 594 generally includes a substrate support 562 that includes a substrate support member 562a. The substrate support member 562A may be a conventional electrostatic chuck that actively holds the processing substrate, or simply a substrate support. The temperature controller 5 6 ^ is generally used to heat and/or cool the substrate support member 562A to a predetermined temperature, which is set by a conventional means by the temperature controller 561, such as embedding an impedance heating element or coupling to A fluid cooling passage of a heat exchanger (not shown). In one aspect, the temperature controller 561 is adapted to operate and heat the substrate 5〇2 placed on the substrate support member 562A to a temperature of from about 2 Torr to about 800 °C. As the process progresses, the substrate support 562 can be coupled to the rf generator 523 such that an RF bias can be applied to a portion of the substrate support to drag plasma ions generated in the processing region 522 to the substrate 5〇. 2 the surface. In one embodiment, the substrate support member 562A is grounded, DC biased, or electrically floated during the plasma process to reduce ion bombardment to destroy the substrate 502. Transferring the RF energy of the RF generator 508 to the processing region 522 will ionize the gas atoms in the processing region. The ionized ionized gas atoms are then attracted by the DC source component 592 applied to the cathode bias of the target 5〇5. 200845232 is directed to the target 505' whereby the material can be sputtered from the target 5〇5 and dropped On the surface of the substrate 502. In order to reduce the interference between the energy delivered by the inductive rf source component 591 and the DC bias applied by the DC source group & 592, the energy delivered from the DC source component 592 and the RF source component 591 is typically synchronized. In order to minimize mutual interference, and at the same time maximize deposition rate, film uniformity and film quality. By generating and maintaining a low electron temperature and a low ion 爿b plasma to generate an induced RF source pulse to excite the plasma, the problem of ruthenium plasma potential destroying the surface of the substrate can be alleviated. In general, pulsed RF induced plasma produces ions of low ion energy (e.g., less than 1 angstrom electron volt (eV)) and therefore does not destroy the substrate located within the plasma. This is more fully described in U.S. Patent No. 6,831, 〇 21, and the filing date is June 12, 003, which is incorporated herein by reference. Theoretical calculations (see Figure 4D) allude to the low ion energy of most inert gases such as argon (Ar) 'helium (Ne), helium (He), helium (Kr) or helium (Xe). Sufficient energy from the pulsed RF source will not be available to sputter the target atoms, where the target composition is hafnium (Hf), lanthanum (La), or other heavy metals or I] dielectric materials. For example, with argon plasma as an example, the critical energy of sputtering for Hf and La targets is 42.3 eV and 25.5 eV, respectively, and the safe ion energy of ion implantation gate oxide is usually less than 1 〇eV. Thus, in the case of RF induced electropolymerization, the ion energy that is low enough to form the gate dielectric layer is not sufficient to sputter the desired metal ions from the target. Therefore, the DC source component 592 is required to apply the D C bias to the stem to perform the splash process. Various aspects of the pulse deposition process will be described below in conjunction with Figures 5A-5C. 30 200845232 Capacitively Coupled Plasma Processing Chamber Section 4B-4C illustrates a cross section of another embodiment of a plasma processing chamber that can be used to perform step 257 and/or step 259 above. In this configuration, process chamber 501 is a capacitively coupled plasma processing chamber that can process substrate 502 located in processing region 522. Processing chamber 501 typically includes an ultra high frequency (VHF) source assembly 595, target assembly 573, system controller 602, process chamber assembly 596, and substrate support assembly 594. In this configuration, the capacitor shank is formed into a processing region 522 between the stem 571 and the grounded chamber wall 528 of the chamber assembly 596 by means of a VHF source assembly 595 coupled to the target 571. The process chamber assembly 596 generally includes all of the components of Figure 4A above, except that the chamber cover 529 is replaced by a target assembly 573 that is intimately coupled to the chamber wall 528 and an electrical insulator 572. The components of the process chamber assembly 596 and the substrate support assembly 594 are the same as or similar to the components of the process chamber 500 described above, and thus the same reference numerals will be used and will not be described again. Referring to FIG. 4B, in one embodiment, VHF source component 595 includes an RF source 524 and a matcher 524A for transmitting RF energy to processing region 522 through one or more components of target component 573. Target assembly 573 typically includes a backing plate assembly 570 and a target 571. The backplane assembly 570 can include a fluid passage (not shown) for cooling the target with a fluid transported by a heat exchanger (not shown) during processing, and including a magnetron assembly (not shown). To promote the full use of the target and improve the uniformity of deposition.

處理室501運作時,VHF源組件595是用來偏壓標靶 571 ’使標數571的材料原子沉積於基材502表面。在一實 施例中’ VHF源組件595的RF源524是以約1 -200MHZ 31 200845232 之RF頻率與約0.(H-5kW之功率且透過標靶組件573來傳 送功率至處理區域522。在一實施例中,由於橫越電漿鞘 (sheath)之壓降而導致電漿產生的離子濺鍍出標靶571表 面的材料’因此VHF源組件595是用來在電容耦合標靶 5 7 1上產生自行偏壓,以提供足夠的能量。因陽極與陰極 (例如標靶571)的表面積不同,故利用VHF源偏壓的電容 搞合電極或標靶5 7 1 —般將達到自行偏壓電壓。標乾5 7 1 於處理時達到的自行偏壓電壓可加以調整來最佳化標靶 571的濺鍍速率。第4E圖為自行偏壓電壓(Vdc)對應頻率 的關係圖。此圖一般顯示,當以越來越高的頻率偏壓時, 頻率對電極之自行偏壓電壓的影響。將注意的是,自行偏 壓電壓隨著頻率提高而降低,因此藉由提高VHF源組件 5 9 5之頻率則可降低撞擊標乾的離子能量。例如,在壓力 為50亳托耳且使用氬氣與3〇〇瓦之r]F功率的狀況下,以 頻率27MHz之RF訊號偏壓的標乾將具有約-200V的偏壓 電壓,而以100MHz之RF訊號偏壓的標把將只有約1 〇v 的電壓。在另一實施例中,使用約固定約400瓦的RF功 率來改變RF頻率為約60-1〇〇MHz,<改變標乾上的 偏壓為約-50V至約-20V。 以VHF範圍之RF頻率來傳送能量炱標靶571,可改 。步驟257及/或步驟259的製程結果,而使其優於在較低 尺F頻率下進行的製程結果,此乃因標歡上的DC偏壓變化 變小’而DC偏壓為頻率變異與輸送至標怒571之RF功率 變異的函數。減小DC偏壓的變異對進行低能量濺鍍製程 32When the processing chamber 501 is in operation, the VHF source assembly 595 is used to bias the target 571' to deposit material atoms of the standard 571 onto the surface of the substrate 502. In one embodiment, the RF source 524 of the VHF source component 595 transmits power to the processing region 522 at an RF frequency of about 1 - 200 MHZ 31 200845232 and about 0. (H-5 kW and through the target component 573. In one embodiment, the ions generated by the plasma are sputtered out of the surface of the target 571 due to the pressure drop across the plasma sheath. Thus the VHF source component 595 is used to capacitively couple the target 5 7 1 Self-biasing is generated to provide sufficient energy. Since the surface area of the anode and the cathode (for example, the target 571) is different, the capacitor or the target 5 7 1 is uniformly biased by the capacitor biased by the VHF source. Voltage. The self-bias voltage reached during processing can be adjusted to optimize the sputtering rate of the target 571. Figure 4E is a plot of the self-bias voltage (Vdc) versus frequency. It is generally shown that when biased at a higher and higher frequency, the effect of the frequency on the self-bias voltage of the electrode. It will be noted that the self-bias voltage decreases as the frequency increases, so by increasing the VHF source component 5 The frequency of 9 5 can reduce the ion energy of the impact target. Under the condition that the pressure is 50 Torr and the argon and 3 watts of r]F power are used, the standard voltage biased by the RF signal with a frequency of 27 MHz will have a bias voltage of about -200 V, and 100 MHz. The RF signal biased header will only have a voltage of about 1 〇 V. In another embodiment, an RF power of about 400 watts is used to vary the RF frequency to about 60-1 〇〇 MHz, <Change the stem The bias voltage is about -50 V to about -20 V. The energy target 571 can be transmitted at the RF frequency in the VHF range, and the process results of step 257 and/or step 259 can be modified to make it better than the lower limit. The result of the process performed at the F frequency, which is due to the change in the DC bias on the flag, and the DC bias is a function of the frequency variation and the RF power variation delivered to the flag 571. The variation of the DC bias is reduced. Perform a low energy sputtering process 32

li 200845232 而言是很重要的。因此,藉由控制RF能量之步 例如以預定的能率週期(將說明於下)來輸送 571,可正確且反覆控制標靶上的DC偏壓。\ 偏壓可確保摻雜超薄閘極介電層的製程可正 行0 參照第4D圖,在一實施例中,若濺鍍氣 氣(Ar)且標乾由鑭(La)組成,則藏鑛標乾表面 需的能量至少為25.5eV。意即,形成於標靶上 電壓需要夠高才能產生约25.5eV的離子能量, 的鑭原子將從標靶表面濺鍍出來。因此,藉由 標靶5 7 1的頻率與功率(例如瓦),則可控制減 體原子的離子能量、濺鍍原子的離子能量、和 上的原子能量。並且在製程進行時,可調整基才 上的偏壓’以進一步控制濺鍍原子沉積於閘極 入閘極介電層時的能量。 賤錢製程一般在處理室501中進行的條科 約1-100亳托耳、氬氣流速為約sCCm、 度為約20°C至約8〇(rc。較佳地,基材溫度為丨 3〇〇 C。RF源524的激發頻率可調整成約 200MHz ’以得正確的自行偏壓dc電壓,使鞋 漿中及基材表面上。較佳地,RF源524的激發 成約27MHZ至約1〇〇MHz;更佳地,頻率可調整 至約6贿2。在-實施例中,以鑭標無為例, 率可用來供應所期望的濺錢能量並維持低能量 ί率與功率, 功率至標靶 膏確控制D C 確且反覆進 ‘體主要為氬 的鑭原子所 的自行偏壓 以確保部分 控制輸送至 :鍍速率、氣 沉積於基材 ί支撐件5 6 2 L介電層或植 為·室壓為 且加熱器溫 6 200°C至約 1 Μ Η z至約 材濺鑛至電 頻率可調整 成約30MHz 60MHz的頻 的電漿。在 33 200845232 一實施例中,期望改變基材5 02表面與標靶5 7丨表面間的 距離,以調整沉積於基材表面之濺鍍原子的均勻度和能 量。在一態樣中,期望在沉積過程中改變基材5 02相對標 靶5 7 1表面的間距,以調整濺鍍材料在閘極氧化層内的深 度及/或沉積均勻度。 f)Li 200845232 is very important. Thus, by controlling the RF energy step, e.g., at a predetermined energy rate period (described below), the DC bias on the target can be properly and repeatedly controlled. The bias voltage ensures that the process of doping the ultrathin gate dielectric layer can be positive. Referring to FIG. 4D, in one embodiment, if the gas (Ar) is sputtered and the stem is composed of lanthanum (La), The energy required to dry the surface of the mine is at least 25.5 eV. That is, the voltage formed on the target needs to be high enough to generate an ion energy of about 25.5 eV, and the germanium atoms will be sputtered from the surface of the target. Therefore, by the frequency and power (e.g., watts) of the target 571, the ion energy of the subtracted atom, the ion energy of the sputtered atom, and the atomic energy on the atom can be controlled. And during the process, the bias voltage on the base can be adjusted to further control the energy of the sputtered atoms deposited on the gate into the gate dielectric layer. The picking process generally takes about 1-100 Torr in the processing chamber 501, an argon flow rate of about sCCm, and a degree of about 20 ° C to about 8 Torr. Preferably, the substrate temperature is 丨. 3 〇〇 C. The excitation frequency of the RF source 524 can be adjusted to about 200 MHz 'to get the correct self-biased dc voltage to be applied to the surface of the substrate and the substrate. Preferably, the excitation of the RF source 524 is from about 27 MHz to about 1 〇〇MHz; more preferably, the frequency can be adjusted to about 6 bribes. In the embodiment, the rate can be used to supply the desired splash energy and maintain the low energy rate and power, power to The target paste does control the DC's self-biasing of the argon atoms that are mainly argon to ensure partial control of transport to: plating rate, gas deposition on the substrate ί support 5 6 2 L dielectric layer or implant The chamber pressure is set to a heater temperature of 6 200 ° C to about 1 Μ Η z to about the material splash frequency can be adjusted to a frequency of about 30 MHz 60 MHz. In an embodiment of 33 200845232, it is desirable to change the substrate 5 02 The distance between the surface and the surface of the target 5 丨 to adjust the uniformity of the sputtered atoms deposited on the surface of the substrate and In one aspect, it is desirable to vary the spacing of the substrate 052 relative to the surface of the target 571 during deposition to adjust the depth and/or deposition uniformity of the sputter material within the gate oxide layer.

第4C圖繪示處理室501之第二實施例,其中第4B圖 的VHF源組件595由含有二個RF源524、525的雙VHF 源組件5 97取代,RF源524、5 25分別以不同的頻率及/ 或功率來傳送能量至處理室501的處理區域522,以於不 同的製程時間提供不同的濺鍍性質。第4C圖的處理室501 一般包含RF源524、第二RF源525、RF切換器526、和 連接至標靶組件573的匹配器524A。在此結構配置下,從 雙VHF源組件597傳送到標靶組件573的能量可藉由RF 切換器526而於RF源524與第二RF源525之間切換。切 換器526的狀態受控於系統控制器602。本實施例可用於 需快速初始調變的靶材’以移除最初安裝時或長期閒置後 可能形成在標靶表面的氧化物。切換至較低頻率源(例如約 2 7MHz或以下)的功能可於標靶571上形成高的自行偏壓 DC電壓,造成較快的標靶濺鍍速率。故在初始處理後, 雙VHF源祖件597的輸出可藉由切換至較高頻率源(例如 60MHz)而改變’以減慢濺鍍速率及降低濺鍍原子的離子能 量,進而減少電位破壞基材表面上的閘極介電層。在一實 施例中,RF源524可以在約27MHz之頻率下傳送約0-2000 瓦之功率的RF能量,而第二RF源525可以在約40-200MHZ 34 200845232 之頻率下傳送約0-500瓦之功率的RF能量。 在一實施例中,DC源組件592為選擇性連接至標靶 組件573’以於電漿處理步驟中輸送dc能量的一或多個 脈衝。DC偏壓可疊加到VHF源組件(例如元件符號595與 597)輸送的VHF訊號上。施加於標靶571的DC電壓可用 來更直接地控制氣體原子在濺鍍過程中經離子化來撞擊標 把571的能量。 在一實施例中,如上述,在製程進行時,基材支撐件 5 62可連接至RF產生器523,使RF或VHF偏壓施加至部 分的基材支撐件562,以將電漿中的離子拖拽到基材502 的表面。在一實施例中,基材支撐構件562a在進行電漿 製程時為加以接地、DC偏壓或電氣浮置,以使得離子轟 擊對基材502之破壞最小化。 脈衝式電漿處理事寂 第5A_5C圖為各種脈衝式電漿製程的示意圖,其可於 上述步驟257及/或步驟259中,來沉積第4A圖之標靶505 或第4B及4C圖之標靶571所濺鍍的材料至基材5〇2的表 面。第5A-5C圖的脈衝式電漿製程一般為一連串的連續能 量脈衝和DC能量脈衝,其中連續能量脈衝利用感應rf 源組件591或VHF源組件(即VHF源組件595或雙vhf 源組件597)輸送到處理區域522且為時間的函數,而dc 能量脈衝從DC源組件592輸送到標靶。第5A圖繪示感應 RF源組件591《VHF源組件輸送之rf能量531以及% 35Figure 4C illustrates a second embodiment of a processing chamber 501 in which the VHF source component 595 of Figure 4B is replaced by a dual VHF source component 5 97 containing two RF sources 524, 525, respectively. The frequency and/or power is used to transfer energy to the processing region 522 of the processing chamber 501 to provide different sputtering properties for different processing times. The processing chamber 501 of Figure 4C generally includes an RF source 524, a second RF source 525, an RF switch 526, and a matcher 524A coupled to the target assembly 573. In this configuration, the energy transferred from the dual VHF source component 597 to the target component 573 can be switched between the RF source 524 and the second RF source 525 by the RF switch 526. The state of the switch 526 is controlled by the system controller 602. This embodiment can be used for targets that require rapid initial modulation to remove oxides that may form on the target surface when initially installed or after long periods of inactivity. The ability to switch to a lower frequency source (e.g., about 27 MHz or less) can form a high self-bias DC voltage on target 571, resulting in a faster target sputtering rate. Therefore, after the initial processing, the output of the dual VHF source ancestor 597 can be changed by switching to a higher frequency source (for example, 60 MHz) to slow down the sputtering rate and reduce the ion energy of the sputtered atoms, thereby reducing the potential destruction base. A gate dielectric layer on the surface of the material. In one embodiment, RF source 524 can transmit RF energy at a power of about 0-2000 watts at a frequency of about 27 MHz, while second RF source 525 can transmit about 0-500 at a frequency of about 40-200 MHz 34 200845232. The RF energy of the power of the tile. In one embodiment, DC source component 592 is one or more pulses that are selectively coupled to target component 573' to deliver dc energy in a plasma processing step. The DC bias can be superimposed on the VHF signal delivered by the VHF source components (e.g., component symbols 595 and 597). The DC voltage applied to target 571 can be used to more directly control the energy of the gas atoms that strike the target 571 by ionization during the sputtering process. In one embodiment, as described above, the substrate support member 562 can be coupled to the RF generator 523 while the process is being performed, with RF or VHF bias applied to a portion of the substrate support 562 to be in the plasma. The ions are dragged onto the surface of the substrate 502. In one embodiment, the substrate support member 562a is grounded, DC biased, or electrically floated during the plasma process to minimize ion bombardment damage to the substrate 502. Pulsating plasma treatment is shown in Fig. 5A_5C as a schematic diagram of various pulsed plasma processes, which can be used to deposit the target 505 or the 4B and 4C of FIG. 4A in the above step 257 and/or step 259. The material sputtered by the target 571 is to the surface of the substrate 5〇2. The pulsed plasma process of Figures 5A-5C is generally a series of continuous energy pulses and DC energy pulses, wherein the continuous energy pulses utilize an inductive rf source component 591 or a VHF source component (i.e., VHF source component 595 or dual vhf source component 597). Delivery to processing region 522 is a function of time, while dc energy pulses are delivered from DC source component 592 to the target. Figure 5A shows the induced RF source component 591 "Rf energy 531 and % 35 delivered by the VHF source component

200845232 源組件592輸送之DC電壓535以時間為 式。第5A圖繪示感應RF源組件591或 輸送之RF能量531與輸送至標靶之DC電 函數所繪製之圖式’以此方式繪示之_實 RF或VHF(此後稱之為RF/VHF)脈衝為同 例中,RF能量531與DC電壓535的脈衝 不是同時施加。DC脈衝532通常提供短 引電漿中的RF/VHF激發離子,使離子具 加速朝向標乾505,以將乾材濺鍍至電製 面的濺鍍材料在產生RF/VHF脈衝53 3 #月 5 22中的電漿,其在此可接著離子化。視基; 是否被RF/VHF偏壓、接地或浮置而定, 子可利用基材表面附近產生之電漿勒所設 到基材表面。在大部分的情況下,當使用 送D C電壓脈衝(或D C電流脈衝)以確保達 度與錢鐘速率時,期望可同步化RF/VHF 以於處理室内產生足夠的電漿。 繼續參照第5 A圖,一般特別期望使 室設計,以於RF/VHF脈衝533期間產生 衝533無足夠的能量來濺鍍出標靶原子), 能量更易由施加至標靶的DC偏壓控制。 期望使用RF/VHF脈衝來離子化濺鐘之標 施加於放置基材之基座上的低電位偏壓而 靶原子加速及植入至基材表面。在一態樣 函數所緣製之圖 V H F源組件5 9 5 壓5 3 5以時間為 施例的DC、及 步化。在此實施 為同步化,故其 暫的吸引力來吸 有足夠的能量而 中。激發標靶表 間進入處理區域 咕支撐構件562A 離子化的濺嫂原 定的能量而輸送 低能量偏壓來輸 到預定的離子密 脈衝5 3 3末端, 用感應耦合電漿 離子(RF/VHF脈 如此藏鍵原子的 在部分實例下’ 靶原子,以利用 在低能量下使標 中,施加至標把 36 200845232 的DC電壓脈衝(或DC電流脈衝)與脈衝之rf/vhf中斷循 環(off-cycle)為同步化,使得電漿中的離子所產生之能量 更易藉由施加DC能量來降低電槳能量的淨增加量所控 〜 制。DC脈衝之電壓的大小可於掺雜製程中提供足夠的能 量給氬離子來賤錢乾材至電漿。The DC voltage 535 delivered by the source component 592 in 200845232 is in time. Figure 5A shows a diagram of the induced RF source component 591 or the delivered RF energy 531 and the DC electrical function delivered to the target. In this manner, the actual RF or VHF (hereinafter referred to as RF/VHF) is shown. In the same example, the pulses of RF energy 531 and DC voltage 535 are not applied simultaneously. The DC pulse 532 typically provides RF/VHF excitation ions in the short-electrode plasma, accelerating the ionizer toward the stem 505 to sputter the dry material onto the electrosprayed sputter material while generating the RF/VHF pulse 53 3 #月The plasma in 5 22, which can then be ionized. Sight base; whether it is biased by RF/VHF, ground or floating, can be applied to the surface of the substrate by means of a plasma generated near the surface of the substrate. In most cases, when a DC voltage pulse (or DC current pulse) is used to ensure the reach and clock rate, it is desirable to synchronize the RF/VHF to produce sufficient plasma in the process chamber. Continuing with reference to Figure 5A, it is generally desirable to have a chamber design such that during RF/VHF pulse 533, the rush 533 does not have sufficient energy to sputter the target atoms), and the energy is more easily controlled by the DC bias applied to the target. . It is desirable to use RF/VHF pulses to ionize the oscillating clock to apply a low potential bias on the susceptor on which the substrate is placed while the target atoms are accelerated and implanted onto the surface of the substrate. Diagram of the result of a state function V H F source component 5 9 5 Press 5 3 5 with time as the DC and step of the example. In this case, it is synchronized, so its temporary attraction is enough to absorb enough energy. Exciting the target region into the processing region, the support member 562A ionizes the original energy of the splash and delivers a low energy bias to the predetermined ion-tight pulse 5 3 3 end, inductively coupled with plasma ions (RF/VHF) The pulse is so hidden in the partial part of the 'target atom' to utilize the low voltage, the target, the DC voltage pulse (or DC current pulse) applied to the counter 36 200845232 and the pulse rf/vhf interrupt cycle (off -cycle) is synchronized so that the energy generated by the ions in the plasma is more easily controlled by applying DC energy to reduce the net increase in the energy of the paddle. The voltage of the DC pulse can be provided in the doping process. Enough energy to argon ions to dry the material to the plasma.

— 應注意的是,系統控制器002可用來同步化RF/VHF 脈衝53 3 # DC脈衝532和能率週期,以達到期望的電漿 密度、濺鍍沉積速率、和電漿離子能量。參照第5A圖,” 啟動(on)時間(tl)除以RF能量531之整體脈衝時間所 代表的能率週期,可經最佳化以確保具預定平均密度的電 漿係經控制。尚需注意的是,,,啟動(〇n),,時間(t4)除以DC 電壓535之整體脈衝時間所代表的能率週期,可經最佳 化以確保達到預定的平均沉積速率。 參照第4B-4C與5A_5C圖,在一實施例中,VHF源組 件595設為脈衝模式,其脈衝頻率為iHz至5 0kHz且能率 / 週期為〇·1%-99%。在此實施例中,脈衝式VHF源是用來 U 產生及維持形成於處理區域522的電漿,並降低平均電漿 後度與離子能量。系統控制器6〇2可用來調整能率週期、 脈衝頻率、RF能量(即rf功率)大小、和rf能量之頻率, 以控制電漿、離子與濺鍍材料的能量。在一實施例中,為 傳送低能量濺鍍之材料至基材表面,系統控制器602可以 約1%-50%之能率週期來輸送rf能量至線圈509(第4A 圖)°或者在一實施例中,低能量濺鍍之材料可藉由以約 1%-5 0%之能率週期來輸送能量至標靶571(第4B圖)而 37 200845232 傳送至基材表面。在部分實例中,期望維持輸送至線圈 5〇9(第4A圖)或標靶571(第4B圖)的能率週期為約 1%·10%,以將傳送至電漿離子的能量減至最低。 第5 Β圖緣示脈衝式電漿製程之另一實施例,其中D C 脈衝532在感應RF源組件591或VHF源組件(即VHF源 組件595或雙VHF源組件597)輸送之脈衝RF能量531的 至少部分期間内輸送。在又一實施例中,如第5C圖所示, ◎ RF能量53 1於一段時間tl内保持不變,當RF能源為,,啟 • 動(〇n)”時,脈衝之DC電壓53 5係輸送到標靶505。應注 意的是,較佳係降低DC脈衝532期間RF能量531之大小, 以減少輸送訊號之間任何可能的相互干擾。在一實施例 中’期望使用RF產生器523(第4A圖)來偏壓基材支撐件 5 62,以於不同RF/VHF電漿產生階段及/或脈衝之dc濺鍍 時期中產生吸引離子至基材上的偏壓。 在另一實施例中,期望產生rF/VHF能量脈衝,如此 一 電漿中產生的離子將無足夠的能量來濺鍍靶材。在此情況 (j 下’ DC偏壓係施加至標靶以促進靶材之濺鍍。 在一實施例中,脈衝式RF/VHF訊號係施加至基材支 撐件562,以產生及維持遍及基材表面之電漿。故在一實 施例中,同步化的D C脈衝輸送到標把5 7 1 ,同步化的v H F 脈衝輸送到基材支撐件562,以將靶材濺鍍到電漿中而摻 雜至閘極介電層内。 接地之準直儀(Collimator)的热計 38 200845232 第 4F圖繪示雷將& 聚處理室500之另一實施例的截面, 其可用來進行閘極介雷 ”憲層之金屬電漿處理,即進行低能量 藏鐘製程以开/成摻雜之閑極介電層。在此實施例中,接地 之準直儀540裝叹在基材5 02與標# 505之間來擷取帶電 • 之金屬離子。加裝接地之準直儀540促進基本為巾性之藏 鍍原子抵達基材502,以於基材502的表面形成金屬薄層 (可能如單一個單層般薄)。準直儀通常為含有多個孔洞 54〇Α的接地板或接線網,孔洞54〇Α遍布整個接地板,使 得中性原子(也許和一些離子)從標靶附近的處理區域傳遞 至基材表面。因中性原子的能量通常只佔濺鍍標靶表面之 -原子所需能量的一小部分且中性原子不會影響電漿電位, 故利用本方法來沉積此層至閘極介電層表面一般只會造成 極微的離子轟擊破壞。金屬層接著可與後續形成之氧化層 結合’進而形成高介電常數(高k)的介電層,且無金屬或 氮離子植入及相關問題,如破壞石夕層與金屬過度穿透基材 下的石夕層。熟習此技藝者將可理解,第4B及4C圖的處理 室501亦可將接地之準直儀540設於標靶571與基材502 表面間,以具同樣的功能而可於帶電粒子撞擊基材表面之 前來擷取電漿中大量的帶電粒子,藉以減少對閘極介電層 的破壞。 處t室的另一種設計 第4G圖繪示電漿處理室500之另一實施例的截面, 其可用來進行閘極介電層之金屬電漿處理,即進行低能量 39 200845232 滅鐘製程以幵》成摻雜之閘極介電層。根據處理室5⑽之一 實施例,感應RF源組件591的輸出係連接至俨靶之如 此可利用線圈509與電容搞合標乾5〇5而於處^理區域’5二 中產生電衆。在-實施例中,標& 5G5透過線圈5〇8β而 耗接至RF匹配II 508Α的輪出,且當產生器5〇8經由rf 匹配器508Α輸送功率時,線圈5〇8β為調 門跫大小來達到共 振的目的。參照第4Α圖,標靶5〇5所附加 〜κ t偏壓可使 Ο– It should be noted that the system controller 002 can be used to synchronize the RF/VHF pulse 53 3 # DC pulse 532 and the energy cycle to achieve the desired plasma density, sputter deposition rate, and plasma ion energy. Referring to Figure 5A, the energy period represented by the overall pulse time divided by the RF energy 531 by the on time (tl) can be optimized to ensure that the plasma having a predetermined average density is controlled. The start-up (〇n), time (t4) divided by the energy cycle represented by the overall pulse time of the DC voltage 535 can be optimized to ensure that the predetermined average deposition rate is reached. See Section 4B-4C With the 5A-5C diagram, in one embodiment, the VHF source component 595 is set to a pulse mode with a pulse frequency of iHz to 50 kHz and an energy rate/period of 〇·1%-99%. In this embodiment, a pulsed VHF source It is used to generate and maintain the plasma formed in the processing region 522 and reduce the average post-plasma and ion energy. The system controller 6〇2 can be used to adjust the energy cycle, pulse frequency, and RF energy (ie, rf power). And the frequency of the rf energy to control the energy of the plasma, ions, and sputter material. In one embodiment, to transfer the low energy sputtered material to the substrate surface, the system controller 602 can be about 1%-50% Energy rate cycle to deliver rf energy to coil 509 (Fig. 4A) Alternatively, or in one embodiment, the low energy sputtered material can be delivered to the surface of the substrate by delivering energy to target 571 (Fig. 4B) and 37 200845232 at an energy cycle of between about 1% and 50%. In some instances, it is desirable to maintain an energy rate of about 1%-10% delivered to coil 5〇9 (Fig. 4A) or target 571 (Fig. 4B) to minimize energy transfer to the plasma ions. A fifth embodiment illustrates another embodiment of a pulsed plasma process in which a pulsed RF energy delivered by a DC pulse 532 at an inductive RF source component 591 or a VHF source component (ie, VHF source component 595 or dual VHF source component 597). In at least part of the period of time 531 is transported. In still another embodiment, as shown in Fig. 5C, the RF energy 53 1 remains unchanged for a period of time t1, when the RF energy source is, the activation (〇n) At this time, the pulsed DC voltage 53 5 is delivered to the target 505. It should be noted that it is preferred to reduce the magnitude of the RF energy 531 during the DC pulse 532 to reduce any possible mutual interference between the transmitted signals. In one embodiment, it is desirable to use RF generator 523 (FIG. 4A) to bias substrate support 5 62 to generate attracting ions during different RF/VHF plasma generation phases and/or pulsed dc sputtering periods. To the bias on the substrate. In another embodiment, it is desirable to generate rF/VHF energy pulses such that ions generated in the plasma will not have sufficient energy to sputter the target. In this case (the 'DC biasing' is applied to the target to promote sputtering of the target. In one embodiment, a pulsed RF/VHF signal is applied to the substrate support 562 to create and maintain a ubiquitous basis. The plasma of the surface of the material. In one embodiment, the synchronized DC pulse is delivered to the label 517, and the synchronized vHF pulse is delivered to the substrate support 562 to sputter the target into the plasma. And doped into the gate dielectric layer. Grounded Collimator's thermal meter 38 200845232 Figure 4F shows a cross section of another embodiment of the Ray & poly processing chamber 500, which can be used to make a gate The metal-plasma treatment of the polar medium is a low-energy trapping process to open/draw the doped dielectric layer. In this embodiment, the grounded collimator 540 is sighed on the substrate 5. The metal ion is charged between the 02 and the standard 505. The grounded collimator 540 is used to promote the substantially implanted plating atoms to reach the substrate 502 to form a thin metal layer on the surface of the substrate 502 ( It may be as thin as a single layer.) The collimator is usually a grounding plate or wiring net with a plurality of holes 54〇Α, the hole 54〇Α is distributed throughout the ground plane, allowing neutral atoms (and perhaps some ions) to pass from the processing area near the target to the surface of the substrate. Because the energy of the neutral atom usually only accounts for the atoms of the target surface. A small portion of the energy and neutral atoms do not affect the plasma potential, so the deposition of this layer to the surface of the gate dielectric layer by this method generally results in minimal ion bombardment damage. The metal layer can then be subsequently oxidized. The layer combines 'to form a high dielectric constant (high-k) dielectric layer, and there is no metal or nitrogen ion implantation and related problems, such as destroying the layer of stone and metal over-penetrating the layer of the stone layer under the substrate. It will be understood by those skilled in the art that the processing chamber 501 of FIGS. 4B and 4C can also be provided with a grounded collimator 540 between the target 571 and the surface of the substrate 502 to have the same function to impact the charged particles against the surface of the substrate. Previously, a large amount of charged particles in the plasma were taken to reduce damage to the gate dielectric layer. Another design of the t-chamber 4G diagram shows a cross section of another embodiment of the plasma processing chamber 500, which is available Gate dielectric layer The metal plasma treatment, that is, the low energy 39 200845232 extinguishing process to form a doped gate dielectric layer. According to one embodiment of the processing chamber 5 (10), the output of the inductive RF source component 591 is connected to the target Thus, the coil 509 can be used to engage the capacitor with the capacitor 5〇5 to generate electricity in the processing region '5. In the embodiment, the label & 5G5 is transmitted through the coil 5〇8β to the RF matching II. 508Α is rotated, and when the generator 5〇8 delivers power via the rf matcher 508Α, the coil 5〇8β is the size of the threshold to achieve resonance. Referring to the fourth figure, the target 5〇5 is attached with ~κ t Bias can make Ο

U 線圈509產生並形成電漿,且輸送至標靶 u D 4 κ F頻率 與RF功率可控制DC偏壓及撞擊標靶505 θ 〜離于月6夏;。另 外,採用可於預定能率週期產生脈衝的璣 W 7认應耦合電漿產生 組件和電容耦合電漿產生組件,可更易, 更匆徑制施加於標靶的 DC偏壓(即自行偏壓)、濺鍍速率、和濺鍍 &心離千能量。藉 著小心控制室壓、RF頻率、rf功率、能 千肐率週期、施加於 基材支撐件562的偏壓、及/或處理時間 人处町π則可控制濺鍍材 料量與i辰度對應減;鍵材料於介電層内之深择& ^芡的關係。使用 單一 RF產生器508與RF匹配器508A還可 < j降低反應室成 本與系統複雜度。在一實施例中,DC源組件592耦接標 靶505,如此在RF產生器508輸送RF脈衝的過程中或是 各脈衝之間,DC脈衝可輸送至標靶505。 在另一實施例中,如第4H圖所示,期望具有個別的 RF產生器565與RF匹配器565A來供給標靶505 RF能 量’且線圈509個別地由rf產生器508與RF匹配器508A 而被RF偏廢。在此結構配置下,可利用系統控制器6〇2 來個別控制新的RF匹配器565A和RF產生器565以及感 40 200845232 應RF源組件591的組件。在一態樣中,DC源組件592亦 柄接至標靶5 0 5,如此在感應RF源組件5 9 1之組件及/或 RF產生器565輸送RF脈衝的過程中或是各RF脈衝間, DC脈衝可輸送至標靶505。 第41圖繪示電容耦合型處理室5 0 1之另一實施例的剖 面視圖,該處理室501可以用為閘極介電層之金屬電槳處 理。在一實施例中,處理室5 0 1能夠進行低能量之濺鍍處 理,例如參照步驟257、259所述者。在一實施例中,處理 步驟257、259係在位於處理室501中的基材502上進行, 該處理室501利用磁控管組件580來協助進一步控制及增 進在處理區域522中產生的電漿,且因而控制及增進低能 量濺鍍製程。在此配置中,處理室501可含有電源供應器 (例如:VHF源組件595、DC電源供應器507 )、標靶組 件573、系統控制器602、處理室組件596、磁控管組件58〇 以及基材支撐組件5 94。磁控管組件5 8 0 —般包含磁控管 581及磁控管致動器582,磁控管致動器582係適以使礤控 管581在處理過程中相對於標靶組件573移動及/或定位。 磁控管 581通常具有至少一磁鐵583(第 41圖中示出3 個),各個磁鐵5 83具有一對相對磁極(即,N極及S極), 且該些磁極會產生通過標靶組件573及處理區域5 22的— 磁場(B場;B-field)。一般來說,磁鐵583為永久磁鐵(例 如:鈦、釤鈷或鋁鎳鈷合金磁鐵【Alnico】)或電磁鐵。礙 控管581及磁控管致動器582係用以增進低能量濺鍍製種 中之電漿均一性或標靶材料的利用。在一實施態樣中,Dc 41 200845232 源組件592及—源組件595皆轉接 此,DC功率、RF功率及/或%與 緩件573,藉 傳送至標靶組件573。在一實施例、中=脈衝可視期望而 係適以在低能量滅鐘製程中輸送反庫^體輪送系統550 層中形成具有期望之介電特性的材= : = =電 反應氣體可為例如氧氣(〇2) 實:…’ 氣體。 U 或其混合物之 ,體射,氣體輸送系統550係適以輸送反應 乳體以在基材4G1表面他Β上沉積高k介電層4〇4。在一 實施態樣中’反應氣體可為例如氧氣(〇2)、氮氣(NO 或其混合物之氣體。可使用PVD型製程而形成之閘極介電 層的實例包括但不限於為氧化铪(Hf〇2)、矽酸給 (HfSi02)、鋁酸給(HfA1〇x)、氮氧化矽铪(HfSi〇N)、氧化 結(Zr〇2)、梦酸锆(ZrSi〇2)、敛酸銷鋇(BaSrTi034 BST)、 鈦鍅酸鉛(Pb(ZrTi)〇3或PZT)等。 電漿併入篁程 在本發明之一實施態樣中,終止區域405(第3E圖) 之特性可經最佳化,藉此,臨界電壓(Vt )、介電常數、能 隙及/或導電帶能隙差(CBO)可針對即將形成之半導體元 件的類型而最佳化。使用此種技術所形成之典型半導體元 件可包括但不限於為n-M〇S或p-MOS型元件。在一實施 例中’介電層内的摻雜原子之濃度及/或深度可經修改以達 到一或多個期望之元件特性,例如:臨界電壓(Vt )、能隙 42 200845232 閘極介電 及介電常數。舉例來說,係期望以一材料(即 摻質材料)來摻雜終止區域4 0 5,例如鋁(A1 )、欽(Ti )、 錯(Zr)、給(Hf)、鑭(La)、銀(Sr)、鉛(pb)、纪(γ) 及鋇(Ba),該些材料可進一步被修飾以形成高k介電層 403或高k介電層404中之介電材料。舉例來說,表^係 包含有如上述之可加入在處理程序251中所形成之問極介 電層中的可能介電材料之材料特性的列表。 材料 介電常數 能隙E 導電帶能隙差The U coil 509 generates and forms a plasma and delivers it to the target u D 4 κ F frequency and the RF power can control the DC bias and the impact target 505 θ ~ away from the summer of 6; In addition, by using a 玑W 7 compliant coupling plasma generating component and a capacitive coupling plasma generating component that can generate pulses at a predetermined energy rate cycle, the DC bias applied to the target (ie, self-biasing) can be made easier and more tidy. , sputtering rate, and sputtering & By carefully controlling the chamber pressure, RF frequency, rf power, energy cycle, bias applied to the substrate support 562, and/or processing time, the amount of sputtering material can be controlled. Corresponding subtraction; the choice of the bond material in the dielectric layer & ^ 芡 relationship. The use of a single RF generator 508 and RF matcher 508A can also reduce reaction chamber cost and system complexity. In one embodiment, DC source component 592 is coupled to target 505 such that DC pulses can be delivered to target 505 during or between RF pulses generated by RF generator 508. In another embodiment, as shown in FIG. 4H, it is desirable to have an individual RF generator 565 and RF matcher 565A to supply target 505 RF energy ' and coil 509 is individually used by rf generator 508 and RF matcher 508A. It was abandoned by RF. In this configuration, the system controller 6〇2 can be used to individually control the components of the new RF matcher 565A and RF generator 565 and the sense 40 200845232 RF source component 591. In one aspect, the DC source component 592 is also stalked to the target 500, such that during the process of sensing the RF source component 591 and/or the RF generator 565 to deliver RF pulses or between RF pulses The DC pulse can be delivered to the target 505. Fig. 41 is a cross-sectional view showing another embodiment of the capacitive coupling type processing chamber 501, which can be used as a metal electric paddle treatment for the gate dielectric layer. In one embodiment, the processing chamber 510 can perform a low energy sputtering process, such as described with reference to steps 257, 259. In one embodiment, processing steps 257, 259 are performed on a substrate 502 located in processing chamber 501 that utilizes magnetron assembly 580 to assist in further control and enhancement of the plasma generated in processing region 522. And thus control and enhance the low energy sputtering process. In this configuration, the processing chamber 501 can include a power supply (eg, VHF source component 595, DC power supply 507), target component 573, system controller 602, process chamber component 596, magnetron assembly 58A, and Substrate support assembly 5 94. The magnetron assembly 580 generally includes a magnetron 581 and a magnetron actuator 582 that is adapted to move the manifold 581 relative to the target assembly 573 during processing and / or positioning. The magnetron 581 typically has at least one magnet 583 (three shown in FIG. 41), each magnet 5 83 having a pair of opposing magnetic poles (ie, N poles and S poles), and the magnetic poles are generated through the target assembly 573 and the processing area 5 22 - the magnetic field (B field; B-field). Generally, the magnet 583 is a permanent magnet (e.g., titanium, samarium cobalt or alnico magnet [Alnico]) or an electromagnet. The obstruction tube 581 and the magnetron actuator 582 are used to enhance the use of plasma uniformity or target material in low energy sputtering. In one embodiment, the Dc 41 200845232 source component 592 and the source component 595 are both transferred, and the DC power, RF power, and/or % and retarder 573 are transferred to the target component 573. In an embodiment, the medium=pulse may be desirably formed to form a material having a desired dielectric property in the layer of the anti-system transfer system 550 in a low energy quenching process: : = = the electroreactive gas may be For example, oxygen (〇2) is: ...' gas. U or a mixture thereof, the body-fired, gas delivery system 550 is adapted to deliver a reactive emulsion to deposit a high-k dielectric layer 4〇4 on the surface of the substrate 4G1. In one embodiment, the 'reaction gas may be a gas such as oxygen (〇2), nitrogen (NO or a mixture thereof). Examples of gate dielectric layers that may be formed using a PVD type process include, but are not limited to, yttrium oxide ( Hf〇2), citric acid (HfSi02), aluminate (HfA1〇x), bismuth oxynitride (HfSi〇N), oxidized (Zr〇2), zirconium zirconium (ZrSi〇2), acid Pin 钡 (BaSrTi034 BST), lead bismuth citrate (Pb(ZrTi) 〇 3 or PZT), etc. Plasma infusion process In one embodiment of the invention, the characteristics of the termination region 405 (Fig. 3E) may be Optimized, whereby the threshold voltage (Vt), dielectric constant, energy gap, and/or conduction band gap difference (CBO) can be optimized for the type of semiconductor component to be formed. Typical semiconductor elements formed may include, but are not limited to, nM〇S or p-MOS type elements. In one embodiment, the concentration and/or depth of dopant atoms within the dielectric layer may be modified to achieve one or more Expected component characteristics, such as: threshold voltage (Vt), energy gap 42 200845232 gate dielectric and dielectric constant. For example, expectation A material (ie, a dopant material) is doped to terminate the termination region 405, such as aluminum (A1), chin (Ti), erbium (Zr), (Hf), lanthanum (La), silver (Sr), lead ( Pb), gamma (gamma) and barium (Ba), the materials may be further modified to form a dielectric material in the high-k dielectric layer 403 or the high-k dielectric layer 404. For example, the The above list of material properties of possible dielectric materials that may be added to the dielectric layer formed in the processing program 251. Material dielectric constant energy gap E Conductive band energy gap difference

ΟΟ

Si02Si02

Si3N4 AI2O3Si3N4 AI2O3

Ti〇2Ti〇2

Zr02Zr02

Hf02Hf02

Cj 可調整存在於高k介;驟259係經修改 之濃度分佈,藉以、幸„ ,曰、5同k "電層404中的材 達到形成在終止區域405與閘極區域4 3F圖)之間的接面之期望電學特性。型元 ::之接面的重要電學特性為臨界電壓(v。,此 =允許導電通過_元件之源極及…之間所: 、、道&域所需要的電壓量測值。一般來說,通常係期 43 200845232 將32nm〜90nm世代的MOS型元件之臨界電壓的 維持在約0 · 2〜0.5伏特。在另一實施例中,係期望 多種摻雜物質來摻雜至終止區域405以形成閉極介 且該閘極介電層具有改良之元件特性及相較於其他 料之較佳特性。 第4J圖係繪示在終止區域405形成步驟(步塌 之過程中的高k介電層403或高k介電層404之侧 圖,在該步驟中,閘極介電摻質材料(見元件符號 轟擊閘極介電層以形成具有期望特性之半導體元件 介電層則可遵循第2A〜2F圖中所示步驟之一者而 第4K圖係繪示所沉積之材料的濃度以深度為函數 例(例如曲線Ci ),而此深度係由高k介電層之表 沿著路徑421進入基材401。如上所述,一般係期 在接續之熱處理步驟(例如步驟26〇〜266 )之後, 保維持同k介電層中的摻質材料濃度,則可確保摻 一 不會聚集在界面或表面4〇 1B,而影響所形成之元件 C) 寺眭存在於介電層基材界面(元件符號401B) 材料通㊉會導致Vt偏移以及载子遷移率降低。接續 Z步驟(可包括步驟260〜266之—或多者)係一般 終:區域405内的材料轉變為具有期望之高k特性 材料。在一實施態樣中,於基材上進行後電漿處理 ^修復斷鍵並增進穩定性且改善界面。舉例來說, 退火處理的進行係藉由提供氧氣(〇2)直到腔室之 /的氧氣77壓介於1毫托耳〜約1〇毫托耳,並同 絕對值 以二或 電層, 介電材 i 259 ) 剖面視 A )係 。高k 形成。 之一實 面420 望即使 能夠確 質材料 的電學 之摻質 之熱處 用於將 的介電 退火, 一般之 處理區 時維持 44 200845232 基材的溫度為約1000r,且總腔室壓力介於約!毫托耳〜 約1托耳,並持續約1秒〜約60秒。 第4K圖亦概要緣示一實施例,在該實施例中,使用 〜步驟257所討論之低能量減鍍製程而置入熱氧化層402的 帛一材料之溫度分佈(見曲線C。)係延伸至在所形成之高 k介電層中的-深度’且此深度大於在步驟川中沉積於 終止區域405的第二材料之深度。在部分實例中,藉由選 Γ),擇期望且不與基材材料反應(例如形成石夕化物)之第-材 ;、(彳j如給)則到達介電層-基材界面之摻質材料不會 成為問題所在。如第4K圖所示,在一實例中,係期望二 擇一第一材料,其在一般處理溫度下不會與基材材料反 應,且接著調整第一材料之沉積製程(例如步驟257 ),藉 第材料的浪度(見曲線Co )在閘極介電層中形成 j望之勿佈狀態’或是可能均一之分佈狀態。接著,選擇 第一材料其與沉積在閘極介電層(例如閘極區域4〇8 一上之層形成良好界面,並且調整沉積製程(步驟2以 (, 實現一較淺濃度分佑,β , 佈(見曲線c 1) ’此乃藉由調整腔宝 程變數以使其符合筮_以η / 至表 第—材料之特性(例如質量),藉以 介電層中之期望濃声八& ^ 逐成 辰度刀佈。在一實例中,於第二材料r y 如鈦、鋁及鍅)在一 c例 叙處理溫度下與基材材料反應, 一材料(例如給)A、▲ 第 如矽·,Si)反應之愔 十(例 材料(見曲線相& 煲第〜 相較於第二材料(見曲線C丨)而在叫 介電層内分佈得更反^ 牧閘極 尺馬均一。在此實例中,第一材料在 45 200845232 介電層中的平均濃度(例如Co之平均值)相較於第二材料 的平均/辰度(例如c i之平均值)還來得大(參見第4 κ圖)。 第4L圖係纷示二氧化矽層(例如熱氧化層402 )及高 k介電層404 (見第2F圖)之側剖視圖,其中高k介電層 4〇4在步驟259中被閘極介電摻質材料(見元件符號A ) 斤轟名藉此,可形成具有期望特性之終止區域405。在Cj can be adjusted to exist in high-k mediation; step 259 is a modified concentration distribution, whereby the material in the electric layer 404 is formed in the termination region 405 and the gate region 4 3F) The expected electrical properties of the junction. The important electrical properties of the junction: the junction is the threshold voltage (v., which = allows conduction through the source of the component and between: ..., track & The required voltage measurement value. Generally speaking, the system phase 43 200845232 maintains the threshold voltage of the MOS type component of the 32 nm to 90 nm generation at about 0. 2 to 0.5 volt. In another embodiment, various types are desired. The dopant species are doped to the termination region 405 to form a closed-cell dielectric and the gate dielectric layer has improved component characteristics and better characteristics than other materials. FIG. 4J illustrates the formation step in the termination region 405 (Side view of high-k dielectric layer 403 or high-k dielectric layer 404 during the step of collapse, in this step, gate dielectric dopant material (see component symbol bombardment gate dielectric layer to form desired The dielectric layer of the semiconductor device of the characteristics can follow the steps shown in the figures 2A to 2F. The 4K figure shows that the concentration of the deposited material is a function of depth (eg, curve Ci), and this depth is entered into the substrate 401 along the path 421 by the surface of the high-k dielectric layer. Generally, after the subsequent heat treatment step (for example, steps 26〇~266), maintaining the concentration of the dopant material in the same k dielectric layer ensures that the doping does not accumulate at the interface or surface 4〇1B. Influencing the formed component C) The temple is present at the dielectric layer substrate interface (component symbol 401B). The material pass will result in a Vt shift and a decrease in carrier mobility. The subsequent Z steps (which may include steps 260-266) or In many cases, the material in region 405 is converted to a material having the desired high-k characteristics. In one embodiment, post-plasma processing is performed on the substrate to repair broken bonds and improve stability and improve interface. For example, the annealing treatment is carried out by supplying oxygen (〇2) until the oxygen/pressure of the chamber is between 1 mTorr and about 1 Torr, and the absolute value is in the second or electric layer. Dielectric material i 259 ) Section A), high k formation. Face 420 is expected to be used for dielectric annealing of the material's electrical dopants. The typical processing zone is maintained at 44 200845232. The substrate temperature is about 1000r, and the total chamber pressure is about ! The brackets are ~ about 1 Torr and last for about 1 second to about 60 seconds. Figure 4K is also an overview of an embodiment in which the low energy deplating process discussed in steps 257 is used. The temperature distribution of the first material of the thermal oxide layer 402 (see curve C.) extends to -depth ' in the formed high-k dielectric layer and is greater than the second material deposited in the termination region 405 in the step The depth. In some examples, by selecting Γ), the first material that is desired and does not react with the substrate material (for example, forming a lithium compound); (彳j if given) reaches the dielectric layer-substrate interface. Quality materials are not a problem. As shown in FIG. 4K, in one example, it is desirable to have a first material that does not react with the substrate material at normal processing temperatures, and then adjust the deposition process of the first material (eg, step 257), By the wave of the material (see curve Co), a state of formation or a uniform distribution of states is formed in the gate dielectric layer. Next, the first material is selected to form a good interface with the layer deposited on the gate dielectric layer (eg, the gate region 4〇8), and the deposition process is adjusted (step 2 to (, achieve a shallow concentration, β, , cloth (see curve c 1) 'This is by adjusting the cavity treasure variable to match the 筮 _ η / to the table - material characteristics (such as mass), by the desired thickness in the dielectric layer ^ ^Chengdu knife cloth. In an example, the second material ry such as titanium, aluminum and tantalum reacts with the substrate material at a temperature of c, a material (for example) A, ▲ For example, Si·, Si) reaction 愔10 (example material (see curve phase & 煲 first ~ compared to the second material (see curve C 丨) and distributed in the dielectric layer is more inverse ^ Ma Junyi. In this example, the average concentration of the first material in the dielectric layer of 45 200845232 (for example, the average value of Co) is larger than the average/length of the second material (for example, the average value of ci). See Fig. 4 κ). The 4L figure shows a ruthenium dioxide layer (such as thermal oxide layer 402) and a high-k dielectric layer 404. (See Fig. 2F) a side cross-sectional view in which the high-k dielectric layer 〇4 is shunted by the gate dielectric dopant material (see symbol A) in step 259 to form a termination with desired characteristics. Area 405. In

一實施態樣中,高k介電層4〇4可以為氧化铪(Hf〇2)、矽 酸铪(HfxSiy〇z)、鋁酸铪(Η£Α1〇χ)或氧化鑭铪(HfLa〇x), 其係藉由ALD、M〇CVD或低能量反應性製ί呈(例如第4AIn one embodiment, the high-k dielectric layer 4〇4 may be hafnium oxide (Hf〇2), hafnium niobate (HfxSiy〇z), hafnium aluminate (Η1Α1〇χ) or hafnium oxide (HfLa〇). x), which is produced by ALD, M〇CVD or low-energy reactivity (eg 4A)

Vi 及41圖)所形成。第4Μ圖繪示閘極介電摻質材带 的濃度以深度為函數之實例(例如曲線Μ,而此深度指 由高k介電層之表自420沿著路徑421進入基材4〇1。名 此配置中,一般係期望即 | 1文在接續之熱處理步驟(例如涉 驟260〜266 )之播,供执冰y丄 灸此夠確保存在於高k介電層中的摻賓 材料濃度,則可確保沉積材料合 W W枓不會聚集在表面401B,而景 響所形成之元件的電學特性。 第4N圖繪示高k介電芦403芬— 电層403及/或向k介電層404之 側剖面視圖,其中高k介電屛β,斗、_ 电滑403及/或兩k介電層4 04在 步驟259之過程中係聂霞认 fla t ^ 糸暴露於二閘極介電摻質材料(見元件 付谠A及B)的受控之連墙〜 運續積或同步沉積,以形成具有 期望特性之終止區域405。摩、、主音的e墙 應/主思的疋,第4N圖僅繪示二 閘極介電摻質材料係連續 、次问步地被沉積,但此並未用以 限制本發明之範疇,因為 J此七要夕種不同的閘極介電摻 質材料來達到具有期望電學牲Vi and 41 are formed. Figure 4 shows an example of the concentration of the gate dielectric dopant strip as a function of depth (e.g., curve Μ, and this depth refers to the entry of the high-k dielectric layer from 420 along path 421 into the substrate 4〇1 In this configuration, it is generally expected that the text will be broadcast in the subsequent heat treatment step (for example, steps 260 to 266) for the ice y moxibustion to ensure the presence of the dopant material in the high-k dielectric layer. The concentration ensures that the deposited material WW does not accumulate on the surface 401B, but the electrical characteristics of the element formed by the scene. The 4N diagram shows the high-k dielectric re- 403 fen-electric layer 403 and/or A side cross-sectional view of the electrical layer 404, wherein the high-k dielectric 屛β, the bucket, the _slide 403, and/or the two-k dielectric layer 04 04 are exposed to the sluice during the step 259 The controlled dielectric wall of the extremely dielectric dopant material (see components A and B) is continuously or synchronously deposited to form a termination region 405 having the desired characteristics. The e-wall of the main and the main sound should be considered. The 4N diagram only shows that the two-gate dielectric dopant material is deposited continuously and in a substep manner, but this is not intended to limit the scope of the present invention. , J because this evening for seven different gate dielectrics having a dopant material to achieve the desired electrical sacrifice

予特f生之期望閘極電極。第4C 46 200845232 圖繪示沉積材料之濃度以深度為函數之實例(即,摻質A 為曲線C!,換質B為曲線C2),而此深度係由高k介電層 之表面420沿著路徑421進入基材401。在一實例中,係 期望連續將鈦及銘沉積至高k介電層403及/或高k介電層 404中,以形成終止區域4〇5 ^在此實例中,藉由各種接續 — 熱處理(步驟260〜266 )而接續形成二氧化鈦(Ti〇2)、 氧化鋁(Ah〇3 )、矽酸鈦、矽酸鋁或其混合物,則可因而 協助形成具有改善電學特性之終止層4〇5。這些電學特性 ^ 可包括增進之70件臨界電壓、期望之能隙、相對於單一摻 雜氧化鋁閘極介電層之增進的介電常數,以及相對於單一 摻雜二氧化鈦閘極介電層之較高CB〇。在終止區域4〇5中 加入多種70素亦會協助使一或多個沉積材料「受阻」,例如 降低其在閘極介電層中之遷移率,以在接續之處理步驟或 是元件壽命中預防其擴散至閘極介電界面(例如表面 40 1 B )。另外,藉由控制二或多個閘極介電摻質材料之各 _ 者的沉積特性,則可修改所形成之元件的介電材料特性。 Q 一般來說,所沉積之薄膜的特性可藉由改變腔室製程變數 而控制之,該些變數可包括腔室壓力、基材偏壓、處理時 間傳送至處理區域之RF功率(例如:RF功率之強 能率週期、 + ^ 朋)、處理過程中之基材溫度,及/或傳送至標靶的 DC偏壓’如上結合第4A〜41圖所討論者。該些製程變數 者可針對各個沉積材料及形成在閘極介電層内之期望 /辰度刀佈而進行調整。在能量20eV下傳送至si〇2層中之 材料的理論濃度分佈之一實例係示於弟4P圖。 47 200845232 第4Ρ圖因此繪示基於不同材料及其相關原子質量而造成 高k介電層中之溫度分佈的改變情形。 Ο Ο 在另一實施例中,係期望基於在處理程序251中所形 成之元件種類而選擇並修改用於形成半導體元件之材料種 類《在一實施態樣中,當形成n_M〇s元件時,係期望在終 止區域405之形成過程中(即,步驟259 )選擇並修改閘 極介電摻質材料及沉積特性,以達到期望的元件效能特 性。當形成n-MOS元件時,係期望將鑭(La )、鈦(Ti ) 及/或錯(Zr)併入或置入高k介電層4〇3或高匕介電層 404之表面中,並接著選擇及沉積材料以形成閘極區域4〇\ 而提供期望之臨界電壓特性,例如:當與所選之閘極介電 換質材料接觸時’ ^係介於約〇·2〜0.5伏特之間。可用於 閘極區域408中,且與n_M0S型元件中的鑭(La)、鈦(Ti) 及/或錯(z〇作用良好的材料之實例為氮化碳钽() 及氮化纽(TaN)。在其他實施態樣中,當形成p-M〇s元件 時,係期望選擇並改變用於形成終止區域4〇5之閘極介電 摻質材料的沉積特性。當形成卜则元件時,係期望將銘 (A1)併入或置入高k介電層4〇3或高让介電層之表 面中,並接著選擇及沉積一材料以形成閘極區域4〇8,而 該閘極區域408在與閘極介電摻質材料接觸時,會提供期 望之臨界電壓’例如介於約-2〜-5伏特之Vt。可用於形成 閘極區域408並且與p_M〇s元件之鋁(Ai)作用良好的材 枓之實例包括釘(Ru)、銘(Pt)、氮化鶴(WN)及鶴(w)。 可用於形成n-M0S元件或p_M〇s元件的期望之高k介電 48 200845232 層4 04之實例可包括氧化铪(Hf02 )、矽酸铪(HfxSiyOz)、 鋁酸铪(HfA10x )、氧化鑭铪(HfLaOx )、其混合物或其衍 生物。 在一實例中,如上所述,繪示於第 4A〜41圖之處理 室(例如處理室500、501 )係用於在含氮(N2)、含氬(Ar) ^ 或含氦(He)電漿中濺鍍並接著產生金屬離子(例如:A1+、The desired gate electrode for the special. 4C 46 200845232 Figure shows an example of the concentration of the deposited material as a function of depth (ie, dopant A is curve C!, quality change B is curve C2), and this depth is from the surface 420 of the high-k dielectric layer Path 421 enters substrate 401. In one example, it is desirable to continuously deposit titanium and etch into the high-k dielectric layer 403 and/or the high-k dielectric layer 404 to form the termination region 4 〇 5 ^ in this example, by various splicing - heat treatment ( Steps 260 to 266) and subsequent formation of titanium dioxide (Ti〇2), aluminum oxide (Ah〇3), titanium ruthenate, aluminum ruthenate or a mixture thereof may thereby assist in forming a termination layer 4〇5 having improved electrical properties. These electrical characteristics can include an increased threshold voltage of 70 pieces, a desired energy gap, an increased dielectric constant relative to a single doped alumina gate dielectric layer, and a single doped TiO 2 gate dielectric layer. Higher CB〇. The addition of a plurality of 70 elements in the termination region 4〇5 also assists in "blocking" one or more deposition materials, such as reducing their mobility in the gate dielectric layer, during subsequent processing steps or component life. Prevent its diffusion to the gate dielectric interface (eg surface 40 1 B ). Alternatively, the dielectric material properties of the formed component can be modified by controlling the deposition characteristics of each of the two or more gate dielectric dopant materials. Q In general, the properties of the deposited film can be controlled by varying the chamber process variables, which can include chamber pressure, substrate bias, and processing time to RF power delivered to the processing region (eg, RF) The power rate period, +^, the substrate temperature during processing, and/or the DC bias delivered to the target are as discussed above in connection with Figures 4A-41. These process variables can be adjusted for each deposition material and the desired/cutting knives formed in the gate dielectric layer. An example of a theoretical concentration distribution of a material delivered to the Si〇2 layer at an energy of 20 eV is shown in Figure 4P. 47 200845232 Figure 4 thus shows the change in temperature distribution in the high-k dielectric layer based on the quality of the different materials and their associated atoms.另一 Ο In another embodiment, it is desirable to select and modify a material type for forming a semiconductor element based on the kind of components formed in the processing program 251. In an embodiment, when an n_M〇s element is formed, It is desirable to select and modify the gate dielectric dopant material and deposition characteristics during the formation of the termination region 405 (ie, step 259) to achieve the desired component performance characteristics. When an n-MOS device is formed, it is desirable to incorporate or place yttrium (La), titanium (Ti), and/or erbium (Zr) into the surface of the high-k dielectric layer 4〇3 or the high-k dielectric layer 404. And then selecting and depositing material to form the gate region 4〇\ to provide the desired threshold voltage characteristics, for example, when contacted with the selected gate dielectric-exchange material, the ^^ system is between about 〇·2~0.5 Between the volts. It can be used in the gate region 408, and is exemplified by lanthanum (La), titanium (Ti), and/or dysfunction in the n_MOS structure type. (Examples of materials with good z 氮化 are carbonitride 钽 () and nitride (TaN) In other embodiments, when forming a pM〇s device, it is desirable to select and change the deposition characteristics of the gate dielectric dopant material used to form the termination region 4〇5. It is desirable to incorporate or place the inscription (A1) into the surface of the high-k dielectric layer 4〇3 or the high dielectric layer, and then select and deposit a material to form the gate region 4〇8, and the gate region 408, when in contact with the gate dielectric dopant material, provides a desired threshold voltage 'eg, Vt between about -2 and -5 volts. Can be used to form the gate region 408 and the aluminum with the p_M〇s component (Ai Examples of well-functioning materials include nails (Ru), Ming (Pt), nitrided cranes (WN), and cranes (w). The desired high-k dielectrics that can be used to form n-MOS components or p_M〇s components 48 200845232 Examples of layer 4 04 may include hafnium oxide (Hf02), hafnium ruthenate (HfxSiyOz), hafnium aluminate (HfA10x), hafnium oxide (HfLaOx), mixtures thereof a derivative thereof. In an example, as described above, the processing chambers illustrated in Figures 4A to 41 (e.g., processing chambers 500, 501) are used in nitrogen (N2), argon (Ar) containing or containing Sputtering in the helium (He) plasma and subsequent generation of metal ions (eg: A1+,

Ti+、Zr+、Hf+、La+、Sr+、Pb+、Y+、Ba+ ),以將離子併入 終止區域40 5,並在介電層中形成金屬原子之頂表面尖峰 ^ 濃度梯度。另外,藉由注入含金屬氣體或蒸氣(例如CVD 或Mo CVD前驅物)而將金屬離子導入電漿中。可注入至 電漿處理室中之部分含金屬氣體或蒸氣的實例包括:三曱 基鋁、氯化锆(ZrCl2)、雙環戊二烯二甲基锆、四-二乙基 胺基锆(TDEAZr )、氣化铪(HfCl2 )、雙環戊二烯二曱基 铪,或是四·二乙基胺基铪(TDEAHf )。使用任一沉積方法, 且接續沉積之金屬離子接著會進行一或多種接續之熱處理 步驟(即,步驟 2 6 0〜2 6 6 ),以將沉積材料轉變至介電材 料中,而具有期望之高k特性。若置於所形成之閘極介電 層中的殘留碳(C)、氫(H)及氯(C1)並未以足夠量併 入介電層中,則可能會影響元件特性。因此,可進行一或 多個接續處理步驟,例如真空熱退火、電漿退火或熱退火, 以減少所併入之污染物。 電漿處理系統 一或多個電漿處理室(例如上述第4A-4C及4F圖的處 49 200845232 理室)較佳為整合到多個反應室、多個製程基材處理平台中 (例如第7圖的整合處理系統6〇〇)。有益於本發明之整合 處理系統的例子描述於美國專利證書號5,8 8 2,1 6 5、申請曰 為西元1999年3月16曰的申請案;美國專利證書號 5,186,718、申請曰為西元1 993年2月16曰的申請案;以 及美國專利證書號6,440,261、申請曰為西元2002年8月 27曰的申請案,其一併附上供作參考。整合處理系統600 可包括工作介面604、裝载口 6〇5A-605D、系統控制器 602、真空加載鎖定室606A、606B、傳輸室610、和複數 個基材處理室614A-614F。一或多個基材處理室614Α·614ρ 可為電漿處理室’例如上述第2-5圖之處理室5〇〇及/或一 或多個處理室501,用以進行電漿製程。在其他實施例中, 整合處理系統6 0 0可包括6個以上的處理室。 根據本發明之態樣,整合處理系統6〇〇 —般包含複數 個反應室與機械手臂’且較佳為設置有系統控制器602, 其經程式化而控制與施行各種處理方法與程序於整合處理 系統6 0 0中。系統控制器6 0 2通常是用於協助整個系統之 控制與自動化,且一般包括中央處理單元(CPU)(未繪示)、 §己憶體(未纟會示)、和支挺電路(或輸入/輸出(1/〇))(未矣备 示)。CPU可為任一型式用於工業裝置的電腦處理器,以控 制各種系統功能、反應室製程與支援硬體(如偵測器、機械 手臂、馬達、氣體源設備等),並監控系統與反應室製程(如 反應室溫度、處理程序的產能、反應室處理時間、訊 號等)。機械手臂613位在傳輸室610的中央,以將基材從 50 200845232 加載鎖定室606Α或 606Β值、、, 适到其中之一處理室 614A-614F。機械手臂613 一般勺人 处主至 , 匕3連接於機械手臂驅動 組件613C的葉片組件613A、機械 微顿亍動 娜豸組件6 1 3 B。機械手臂 613依據系統控制器602送出的扣人 J知令來傳送基材” W”至各 處理室。有益於本發明的機械手眢 牙、、且件描述於美國專利證 書號5,469,035、名稱「雙轴磁性叙 接'之機械手臂(Two-axis Magnetically Coupled Robot)」、由二主 甲铂日為西元1994年8 月30曰的申請案;美國專利證奎Ti+, Zr+, Hf+, La+, Sr+, Pb+, Y+, Ba+) to incorporate ions into the termination region 40 5 and form a top surface peak concentration gradient of the metal atoms in the dielectric layer. In addition, metal ions are introduced into the plasma by injecting a metal containing gas or vapor (e.g., CVD or Mo CVD precursor). Examples of a portion of the metal-containing gas or vapor that can be injected into the plasma processing chamber include: tridecyl aluminum, zirconium chloride (ZrCl 2 ), dicyclopentadiene dimethyl zirconium, tetra-diethylamino zirconium (TDEAZr) ), gasified hydrazine (HfCl2), dicyclopentadienyl fluorenyl hydrazine, or tetraethylenediamine hydrazine (TDEAHf). Using any deposition method, and subsequently deposited metal ions are then subjected to one or more subsequent heat treatment steps (ie, steps 2 6 0 to 2 6 6 ) to convert the deposited material into the dielectric material with desired High k characteristics. If the residual carbon (C), hydrogen (H), and chlorine (C1) placed in the formed gate dielectric layer are not incorporated into the dielectric layer in a sufficient amount, the element characteristics may be affected. Thus, one or more subsequent processing steps, such as vacuum thermal annealing, plasma annealing or thermal annealing, can be performed to reduce the incorporated contaminants. The plasma processing system one or more plasma processing chambers (for example, the above-mentioned 4A-4C and 4F diagrams 49 200845232 processing room) are preferably integrated into a plurality of reaction chambers, a plurality of process substrate processing platforms (for example, Figure 7 integrated processing system 6〇〇). An example of an integrated processing system that is beneficial to the present invention is described in U.S. Patent No. 5,8 8 2, 165, filed on March 16, 1999, PCT Application No. 5,186,718, Application 曰The application for February 16th, 193, and the US Patent No. 6,440,261, and the application for August 27, 2002, are attached for reference. The integrated processing system 600 can include a working interface 604, load ports 6〇5A-605D, a system controller 602, vacuum load lock chambers 606A, 606B, a transfer chamber 610, and a plurality of substrate processing chambers 614A-614F. The one or more substrate processing chambers 614 614 614 ρ may be a plasma processing chamber ', such as the processing chamber 5 上述 and/or one or more processing chambers 501 of Figures 2-5 above, for performing a plasma process. In other embodiments, the integrated processing system 600 can include more than six processing chambers. In accordance with an aspect of the present invention, an integrated processing system 6 generally includes a plurality of reaction chambers and robot arms 'and is preferably provided with a system controller 602 that is programmed to integrate and implement various processing methods and procedures. Processing system 600. The system controller 602 is typically used to assist in the control and automation of the entire system, and typically includes a central processing unit (CPU) (not shown), § memory (not shown), and a support circuit (or Input/output (1/〇)) (not shown). The CPU can be any type of computer processor used in industrial devices to control various system functions, reaction chamber processes and supporting hardware (such as detectors, robot arms, motors, gas source equipment, etc.), and to monitor systems and reactions. Chamber process (such as reaction chamber temperature, processing capacity, reaction chamber processing time, signal, etc.). The robotic arm 613 is located in the center of the transfer chamber 610 to load the substrate from the 50 200845232 load lock chamber 606 or 606, to one of the process chambers 614A-614F. The robot arm 613 is generally placed by the owner, and the 匕3 is connected to the blade assembly 613A of the robot arm drive assembly 613C, and the mechanical micro-motion is moved to the 豸 assembly 6 1 3 B. The robot arm 613 transfers the substrate "W" to each of the processing chambers in accordance with the deduction of the system controller 602. A manipulator that is beneficial to the present invention, and is described in U.S. Patent No. 5,469,035, entitled "Two-axis Magnetically Coupled Robot", from the second main platinum day to the west Application for August 30, 1994; US Patent Certificate

Ο 曰唬5,447,409、名稱「機 械手臂組件(Robot Assembly)丨、由& 〜」申請曰為西元1 994年4 月1 1日的申請案;以及美國專利说 t〜证書唬6,379,095、名稱 「搬運半導體基材的機械手臂 ^ (Robot For HandlingΟ 曰唬 5,447,409, the name "Robot Assembly", application by & ̄ to apply for the application of April 1, 1994; and the US patent says t~certificate 唬 6,379,095, the name " Robot arm for handling semiconductor substrates ^ (Robot For Handling

Semiconductor Substrates)」、申請日為西元 2〇〇()年 4 月 M日的申請案,其一併附上供作參考。複數個狹長閥(未 繪示)可用來選擇性隔開各處理室614A_614F與傳輸室 610,如此可於進行處理程序時,個別抽真空各反應室以進 行真空製程。 將電漿室整合入整合處理系統6〇〇的重大好處為,一 連串的製程步驟可在不接觸空氣的狀態下實行於基材上。 此可使例如上述第2-5圖之濺鍍原子沉積至基材表面的步 驟進行時,不會氧化剛沉積的超薄金屬層。將多個處理室 整合入含有可進行退火步驟之處理室的整合處理系統6〇0 中,也可避免在穩定退火處理前發生剛沉積之材料的失控 氧化情形。整合系統不會將基材暴露於非整合製程才有的 氧源環境中,故可防止高k介電層403或高k介電層4〇4 51 200845232 内的材料(例如掺質絲 /買材枓)軋化。非整合製程所見的 會直接影響元件製 幻5木物 #表程的再現性與元件平均性能。 根據整合處理系^ 糸、、先600之一實施例,基材處理室614Α s 工面604的反應室可用來進行如上述步驟252The application date of the Semiconductor Substrates) and the application date for the April 2nd (A) is attached for reference. A plurality of slit valves (not shown) can be used to selectively separate the processing chambers 614A-614F from the transfer chamber 610 so that each of the reaction chambers can be individually vacuumed for vacuum processing during processing. A significant benefit of integrating the plasma chamber into the integrated processing system 6 is that a series of process steps can be performed on the substrate without exposure to air. This allows the step of depositing the sputtered atoms to the surface of the substrate, e.g., in the above Figures 2-5, without oxidizing the as-deposited ultra-thin metal layer. The integration of multiple processing chambers into an integrated processing system 〇0 containing a processing chamber in which the annealing step can be performed also avoids runaway oxidation of the as-deposited material prior to the stable annealing process. The integrated system does not expose the substrate to an oxygen source environment that is unique to non-integrated processes, thus preventing materials in the high-k dielectric layer 403 or high-k dielectric layer 4〇4 51 200845232 (eg, doped wire/buy) Material) rolling. What is seen in the non-integrated process will directly affect the component system and the average performance of the component. According to one embodiment of the integrated processing system, the first processing chamber, the reaction chamber of the substrate processing chamber 614 s s 604 can be used to perform step 252 as described above.

Ο li 的RCA清洗步驟。接著移除原生氧化層4〇ia(參見第μ 圖)後’可在處理室614B中進行傳統快速熱氧化⑽〇)製 程、電漿辅助化學氣相沉積(pECVD)、或ald,以形成介 電層(如熱氧化層4〇2、高k介電層404)於基材上。基材^ 理室614C及614D為類似上述處理室5〇〇及/或處理室5〇1 的電漿處理室,用以進行步驟257及259。因此電漿製程 可在處理室61 4C及61 4D中處理基材,且維持基材在真空 壤境而避免原生氧化層再次生長於基材上的各膜層。當 暴露之膜層含有高度親氧的材料(例如鑭)時,此尤其重 要。在一態樣中,步驟260在基材處理室614E中相繼施 行於基材上’以氧化在基材處理室614D中形成的金屬表 面。在另一態樣中,步驟262可施行於位在基材處理室614e 的RTP室。其次,電漿氮化製程(步驟264)(例如從應用材 料公司取得之DPN製程)可施行於基材處理室614F。在又 一態樣中,步驟266可施行於位在基材處理室614E或基 材處理室614F(若有)的RTP室中。 在另一實施例中,步驟252(即移除原生氧化層步驟) 和步驟 254(即沉積熱氧化層步驟)可在不同的系統中進 行。在此實施例中,基材處理室614A及614B可為類似處 理室5 00及/或處理室501的電漿處理室,用以進行步驟 52 200845232 257及259。在一態樣中,步驟26〇在基材處理室614c中 相繼施行於基材上,以氧化在基材處理室6 1 4B中形成的 金屬表面。或者在另一態樣中,步驟262可施行於設置在 處理室614C中的RTP室。其次,電漿氮化製程(步驟 264)(例如從應用材料公司取得之dpn製程)可施行於位在 基材處理室614D的處理室。在一態樣中,步驟266可施 行於設置在處理室614E中的RTP處理室或基材處理室 614C(若有)。在一態樣中,在基材處理室614C中完成步驟 260後’表面氮化步驟可施行於基材處理室6丨4D,而不需 將基材移出真空環境以致接觸空氣。 1一種形成_閉極氧化居的方法 第6A圖為根據本發明之一實施例,製造場效電晶體 之閘極介電層之方法1〇〇的流程圖。方法1〇〇包括一連串 在製造互補式金氧半(CM0S)場效電晶體範例之閘極結構 的過程中施行於基材上的步驟。第6A圖繪示方法1〇〇的 完整程序。至少部分的方法丨〇〇可施行於整合式半導體基 材處理系統(即群集式工具)的製程反應器。此種處理系統 之一例為從美國加州聖克拉拉市之應用材料公司取得的 CENTURA®整合處理系統。 第6B-6G圖為一系列的基材剖面視圖,利用第6A圖 之方法而於該基材上製造閘極結構。第6B-6G圖的截面分 別相應於製造電晶體中較大閘極結構(未繪示)之閘極介電 層的個別製程步驟。第6B-6G圖並未按比例繪製且已簡化 53 200845232 圖示。 方法100開始於㈣102且進行到步驟118。首先參 照第6A及6B圖,於步驟1〇4中,係提供矽(以)基材(例 如200毫米之曰曰圓300¾米之晶圓),其並暴露於溶液中, 以移除基材表面的原生氧化層(Si〇2)2〇4。在一實施例中, 、 原生氧化層204的移除是使用含氟化氫(HF)與去離子(DI) 水的清洗液(即’氟氫酸溶液)。在一實施例中,清洗液為 保持在約2G°C至約3代下、含有按重量計^j G l i〇%之 HF的水溶液。在另—實施例中,清洗液包含約O.Swtk HF,且維持在約25。。。在步驟1〇4中,基材2〇〇可浸入清 洗液,然後以去離子水洗滌。步驟1〇4可施行於單一基材 處理室或多個批次型基材處理室,其在處理過程中可包括 超音波能量的傳送。或者,步驟1〇4可施行於整合處理系 統600(第7圖)中的單一基材溼式清洗反應室。在另一實 施例中,原生氧化層204的移除可採用RCA清洗法。完成 步驟104後,基材2GG放置到真空加載鎖定室或通入氮氣 / (N2)的環境。Ο li's RCA cleaning step. Subsequent removal of the native oxide layer 4〇ia (see Figure μ) can be performed by conventional rapid thermal oxidation (10) 〇 process, plasma assisted chemical vapor deposition (pECVD), or ald in process chamber 614B to form a dielectric layer. An electrical layer (such as thermal oxide layer 4, high-k dielectric layer 404) is on the substrate. The substrate processing chambers 614C and 614D are plasma processing chambers similar to the processing chamber 5 and/or the processing chamber 5〇1 for performing steps 257 and 259. Therefore, the plasma process can treat the substrate in the processing chambers 61 4C and 61 4D, and maintain the substrate in a vacuum soil to avoid the growth of the native oxide layer on each layer of the substrate. This is especially important when the exposed film layer contains highly oxophilic materials such as ruthenium. In one aspect, step 260 is performed sequentially on the substrate in substrate processing chamber 614E to oxidize the metal surface formed in substrate processing chamber 614D. In another aspect, step 262 can be performed in an RTP chamber located in substrate processing chamber 614e. Next, a plasma nitridation process (step 264) (e.g., a DPN process available from Applied Materials) can be performed in substrate processing chamber 614F. In yet another aspect, step 266 can be performed in an RTP chamber located in substrate processing chamber 614E or substrate processing chamber 614F, if any. In another embodiment, step 252 (i.e., the step of removing the native oxide layer) and step 254 (i.e., the step of depositing the thermal oxide layer) can be performed in different systems. In this embodiment, substrate processing chambers 614A and 614B can be plasma processing chambers similar to processing chamber 500 and/or processing chamber 501 for performing steps 52 200845232 257 and 259. In one aspect, step 26 is sequentially applied to the substrate in substrate processing chamber 614c to oxidize the metal surface formed in substrate processing chamber 6 1 4B. Or in another aspect, step 262 can be performed on an RTP chamber disposed in processing chamber 614C. Next, a plasma nitridation process (step 264) (e.g., a dpn process available from Applied Materials, Inc.) can be performed in a processing chamber located in substrate processing chamber 614D. In one aspect, step 266 can be performed on an RTP processing chamber or substrate processing chamber 614C (if any) disposed in processing chamber 614E. In one aspect, after step 260 is completed in substrate processing chamber 614C, the surface nitridation step can be performed in substrate processing chamber 6丨4D without removing the substrate from the vacuum environment to contact the air. A method of forming a _--------------- Method 1 includes a series of steps performed on a substrate during the fabrication of a gate structure of a complementary metal oxide half (CMOS) field effect transistor example. Figure 6A shows the complete procedure of Method 1〇〇. At least some of the methods can be implemented in a process reactor of an integrated semiconductor substrate processing system (i.e., a cluster tool). One such processing system is the CENTURA® integrated processing system available from Applied Materials, Inc. of Santa Clara, California. Figure 6B-6G is a series of cross-sectional views of the substrate on which the gate structure is fabricated using the method of Figure 6A. The sections of Figures 6B-6G correspond to the individual process steps for fabricating the gate dielectric of a larger gate structure (not shown) in the transistor. Figure 6B-6G is not drawn to scale and has been simplified 53 200845232 Illustration. The method 100 begins at (4) 102 and proceeds to step 118. Referring first to Figures 6A and 6B, in step 1〇4, a substrate is provided (for example, a 200 mm wafer 3003⁄4 m wafer) which is exposed to a solution to remove the substrate. The native oxide layer (Si〇2) of the surface is 2〇4. In one embodiment, the native oxide layer 204 is removed using a cleaning fluid containing hydrogen fluoride (HF) and deionized (DI) water (i.e., 'hydrofluoric acid solution). In one embodiment, the cleaning solution is an aqueous solution containing HF by weight of from about 2 G ° C to about 3 generations. In another embodiment, the cleaning fluid comprises about O.Swtk HF and is maintained at about 25. . . In step 1〇4, the substrate 2〇〇 can be immersed in the cleaning solution and then washed with deionized water. Steps 1〇4 may be performed in a single substrate processing chamber or a plurality of batch type substrate processing chambers, which may include the delivery of ultrasonic energy during processing. Alternatively, step 1〇4 can be performed in a single substrate wet cleaning reaction chamber in integrated processing system 600 (Fig. 7). In another embodiment, the removal of the native oxide layer 204 can employ RCA cleaning. After completion of step 104, the substrate 2GG is placed in a vacuum-loaded lock chamber or in a nitrogen/(N2) environment.

U 在步驟1〇6中,熱氧化層(Si〇2)2〇6形成於基材2〇〇 上(第6C圖)。熱氧化層2〇6的厚度一般為約3埃至約 埃。在一實施例中,熱氧化層206的厚度為約6埃至約15 埃。沉積熱氧化層步驟1 06可施行於RTP反應器,例如位 在整合處理系統600(第7圖)中的RADIANCE® RTP反應 器。Radiance® RTP反應器是從美國加州聖克拉拉市之 應用材料公司取得。 54 200845232 β在乂冑108中,熱氧化層2〇6為暴露於含金屬離子的 電漿例如’步驟108於基材200上形成氧化矽金屬層或 夕I金屬層或氮氧化矽金屬層的金屬次層Μ%第圖)。 ' 在實知例中’較佳地’進行步驟1 〇 8時約1埃至約5埃 • 的金屬層208為形成於熱氧化層206的表面。在一實施例 中,含金屬離子之電漿包含惰性氣體和至少一金屬離子, 例如給或鑭。惰性氣體可包含氬氣、及一或多種選擇性惰 n 性氣體,例如氖氣(Ne)、氦氣(He)、氪氣(Kr)、或氙氣(Xe)。 # 在一態樣中,含金屬離子之電漿可包含氮氣(N2)。 在步驟110中,熱氧化層2〇6為暴露於含氧之電漿來 氧化金屬次層209 ,並將金屬層208 (當有施加此層時)轉 化成介電區域210(第6E圖)。在另一實施例中,電漿可包 含氮氣(N2)、及一或多種氧化氣體,例如氧氣(〇2)、一氧 化氛(NO)、氧化亞氮(Να)。電漿還可包含一或多種惰性 氣體,例如氬氣(Ar)、氖氣(Ne)、氦氣(He)、氪氣(Kr)、或 氙氣(Xe)。步驟110例如可施行於整合處理系統6〇〇(第7 圖)的去耦合電漿氮化(DPN)電漿反應器。U In step 1〇6, a thermal oxide layer (Si〇2) 2〇6 is formed on the substrate 2〇〇 (Fig. 6C). The thickness of the thermal oxide layer 2 〇 6 is generally from about 3 angstroms to about angstroms. In one embodiment, the thermal oxide layer 206 has a thickness of from about 6 angstroms to about 15 angstroms. The deposition of the thermal oxide layer step 106 can be carried out in an RTP reactor, such as a RADIANCE® RTP reactor located in integrated processing system 600 (Fig. 7). The Radiance® RTP reactor was obtained from Applied Materials, Inc. of Santa Clara, California. 54 200845232 β In 乂胄108, the thermal oxide layer 2〇6 is a plasma exposed to metal ions, such as 'Step 108, forming a ruthenium oxide metal layer or a Xi metal layer or a ruthenium oxynitride metal layer on the substrate 200. Metal sublayer Μ% figure). The metal layer 208 of about 1 angstrom to about 5 angstroms is preferably formed on the surface of the thermal oxide layer 206 when the step 1 〇 8 is performed. In one embodiment, the metal ion-containing plasma comprises an inert gas and at least one metal ion, such as a feed or a helium. The inert gas may comprise argon, and one or more selectively inert gases such as helium (Ne), helium (He), helium (Kr), or helium (Xe). # In one aspect, the metal ion-containing plasma may contain nitrogen (N2). In step 110, the thermal oxide layer 2〇6 is exposed to the oxygen-containing plasma to oxidize the metal sub-layer 209, and the metal layer 208 (when the layer is applied) is converted into the dielectric region 210 (Fig. 6E) . In another embodiment, the plasma may comprise nitrogen (N2), and one or more oxidizing gases such as oxygen (〇2), an oxidizing atmosphere (NO), and nitrous oxide (Να). The plasma may also contain one or more inert gases such as argon (Ar), helium (Ne), helium (He), helium (Kr), or helium (Xe). Step 110 can be performed, for example, on a decoupled plasma nitriding (DPN) plasma reactor of integrated processing system 6 (Fig. 7).

U 在另一實施例中,步驟11 2是用來代替步驟丨丨〇,以 約800°C至約1100°C退火處理基材200。步驟112可施行 於適當的熱退火室,例如整合處理系統600的Radiance® 反應器或RTP XE+反應器、或單一基材或批次爐管。熱氧 化步驟1 1 2形成含有介電材料的介電區域2 1 〇。在一態樣 中,介電區域2 1 0可包含矽酸鹽材料。在一實施例中,退 火處理步驟112可採用流速約2-5000 seem的氧氣(〇2)和 55 200845232 約100-5000 sccm的一氧化氮(N〇)、或選擇性混入氮氣 (N2) ’且維持基材表面溫度為約8〇〇°c至約丨丨〇〇。〇、處理 室壓力為約〇 · 1 - 5 0托耳。此退火製程可進行約5-180秒。 在 實施例中,氧氣(〇2)之供應流速為約500 seem,且在 約1000°c下維持室壓為約〇」托耳、為期約15秒。在另 一實施例中,一氧化氮(N0)之供應流速為約5〇〇 scem,且 在約1000°C下維持室壓為約〇·5托耳、為期約15秒。 在步驟114中,基材200的表面暴露於氮電漿中來增 加構成結構之上表面的含氮量,而形成氮化層214(第6F 圖)。此製程可使用DPN反應器且提供約10-2000 sccm的 氮氣(N2)、約20-500。〇的基座溫度、及約5-1000毫托耳的 反應室壓力。射頻(RF)電漿例如以13·56ΜΗ、和高達約3_5 仟瓦的連續波(CW)或脈衝電漿電源來供應能量。產生脈衝 時,最大RF功率、頻率與能率週期的範圍一般分別為約 1 0-3 000瓦、約2-1 00kHz與約2%-100%。此製程可進行約 1秒至約180秒。在一實施例中,氮氣(N2)的供應量為約 200 seem,且约1000瓦的最大RF功率以約1〇kHz產生脈 衝與施加於感應電漿源之約5%的能率週期、約25 〇c之溫 度、和約10-80亳托耳之壓力等條件來產生脈衝、為期約 1 5秒至約1 8〇秒。電漿可利用準遙電漿源、感應電漿源、 輻射線帶槽天線(RLSA)源、或其他電漿源等產生。在另一 實施例中,C W及/或脈衝微波電源可用來形成氮化層 214。氮化層214可形成在介電區域210的上表面(第6E 圖)。 56 200845232U In another embodiment, step 11 2 is used to replace the step 丨丨〇 to anneal the substrate 200 at a temperature of from about 800 ° C to about 1100 ° C. Step 112 can be performed in a suitable thermal annealing chamber, such as a Radiance® reactor or RTP XE+ reactor integrated with treatment system 600, or a single substrate or batch furnace tube. The thermal oxidation step 112 forms a dielectric region 2 1 含有 containing a dielectric material. In one aspect, the dielectric region 210 can comprise a silicate material. In one embodiment, the annealing step 112 may employ oxygen (〇2) at a flow rate of about 2-5000 seem and nitrogen monoxide (N〇) at 55 200845232 of about 100-5000 sccm, or selective mixing of nitrogen (N2). And maintaining the surface temperature of the substrate from about 8 ° C to about 丨丨〇〇. 〇, the chamber pressure is about 1 1 - 5 0 Torr. This annealing process can be carried out for about 5-180 seconds. In the examples, the supply flow rate of oxygen (?2) is about 500 seem, and the chamber pressure is maintained at about 1000 °C for about 15 seconds. In another embodiment, the supply flow rate of nitric oxide (N0) is about 5 〇〇 scem, and the chamber pressure is maintained at about 1000 ° C for about 15 Torr for a period of about 15 seconds. In step 114, the surface of the substrate 200 is exposed to nitrogen plasma to increase the nitrogen content of the surface constituting the structure, and the nitride layer 214 is formed (Fig. 6F). This process can use a DPN reactor and provides about 10-2000 sccm of nitrogen (N2), about 20-500. The base temperature of the crucible and the reaction chamber pressure of about 5-1000 mTorr. Radio frequency (RF) plasma supplies energy, for example, at 13.56 Torr, and up to about 3-5 watts of continuous wave (CW) or pulsed plasma power. When generating pulses, the maximum RF power, frequency, and energy cycle periods are typically in the range of about 10-3 000 watts, about 2-1 00 kHz, and about 2%-100%, respectively. This process can be carried out for about 1 second to about 180 seconds. In one embodiment, the supply of nitrogen (N2) is about 200 seem, and the maximum RF power of about 1000 watts is pulsed at about 1 kHz and about 5% of the energy cycle applied to the inductive plasma source, about 25 A pulse is generated for a temperature of 〇c and a pressure of about 10-80 Torr, for a period of about 15 seconds to about 18 seconds. The plasma can be generated using a quasi-remote plasma source, an inductive plasma source, a radiant slotted antenna (RLSA) source, or other plasma source. In another embodiment, a C W and/or pulsed microwave power source can be used to form the nitride layer 214. A nitride layer 214 may be formed on the upper surface of the dielectric region 210 (Fig. 6E). 56 200845232

Ο 在步驟116中,可退火處理閘極介電層(氧化層2〇6、 氮化層214與金屬次層2〇9)、和基材2〇〇。步驟li6可減 少氧化層206、氮化層214與金屬次層2〇9間的漏電流, 並增進電荷載子於二氧化矽(si〇2)次層216下方之通道區 域的移動性及改善閘極介電層整體的可靠度。步驟116可 施行於適當的熱退火室,例如整合處理系統6〇〇的 RADIANCE⑧反應器或RTP ΧΕ +反應器、或單一基材或批次 爐管。熱氧化步驟116可形成二氧化矽(si02)次層216於 矽/介電層界面上(第6G圖)。步驟116可增進電荷载子於 二氧化矽(Si〇2)次層216下方之通道區域的移動性及改善 介電層/矽界面的可靠度。 在一實施例中,步驟1 i 6的退火製程可至少採用約 2-5000 sccm的氧氣(〇2)和約1〇〇5〇〇〇 sccm的一氧化氮 (NO)其中之-、或選擇性混入氮氣(N2),且維持基材表面 溫度為約8〇(TC至約11〇(rc、處理室壓力為約〇丨—50托耳。 此製程可進行約5-180粆。力一眚谂办丨士 各产 曰 ^ 在實施例中,氧氣(02)供應 量為約500 SCCm,且在約1〇〇(rc下維持室壓為約〇丨托 耳、為期約15秒。 完成步驟116後,步驟118為結束方法1〇〇。在製造 積體電路_ 1〇〇有利於形成超薄的閘極介電層,並 可減少漏電流及料電荷载子於通道㈣的遷移率。 雖然本發明已以較佳實施例揭露如上,然其並非用以 限定本發明,#何熟習此技藝者,在不脫離本發明之精神 和範圍内,當可作各種之更動與潤飾,因此本發明之保護 57 200845232 範圍當視後附之申請專利範圍所界定者為準。 【圖式簡單說明】 為讓本發明之上述特徵更明顯易懂,可配合參考實施 例說明,其部分乃繪示如附圖式。須注意的是,雖然所附 \ 圖式揭露本發明特定實施例,但其並非用以限定本發明之 精神與範圍,任何熟習此技藝者,當可作各種之更動與调 飾而得等效實施例。 Ο 第1 A圖(先前技術)繪示FET之截面,且可根據本發 明來製作。 第1 B圖(先前技術)為傳統熱氮化製程與傳統電漿氮 化製程依據次級離子質譜數據所繪示的氮濃度分佈圖。 第2A圖為根據本發明之一實施例,繪示製造場效電 晶體之閘極介電層的流程圖。 第2B圖為根據本發明之一實施例,繪示製造場效電 晶體之閘極介電層的流程圖。 第2C圖為根據本發明之一實施例,繪示製造場效電 晶體之閘極介電層的流程圖。 第2D圖為根據本發明之一實施例,繪示製造場效電 晶體之閘極介電層的流程圖。 第2E圖為根據本發明之一實施例,繪示·製造場效電 晶體之閘極介電層的流程圖。 第2F圖為根據本發明之一實施例,繪示製造場效電 晶體之閘極介電層的流程圖。 58 200845232 第 3A-3F圖為一系列的基材剖面,其上為利用第2A 圖之方法製造的閘極結構。 第4A圖繪示根據本發明另一實施例之電漿處理室的 截面。 第 4B圖繪示根據本發明又一實施例之電漿處理室的 截面。 第4C圖繪示根據本發明再一實施例之電漿處理室的 截面。 (%ί 第4D圖為根據本發明之一實施例,說明铪標靶與鑭 標靶之各種性質的理論計算表。 第 4Ε圖為根據本發明之一實施例,用於電容耦合電 漿處理室之自行偏壓電壓與頻率的關係圖。 第4F圖繪示根據本發明一實施例之電漿處理室的截 面0 第4G圖繪示根據本發明一實施例之電漿處理室的截 面。 第4Η圖繪示根據本發明一實施例之電漿處理室的截 〇 面。 第41圖繪示根據本發明一實施例之電漿處理室的截 面。 第 4J圖繪示描述於本發明之一實施例中而形成在基 材表面上的高k介電層的側視圖。 第4K圖為在本發明之一實施例中且繪示在第4J圖中 之濃度相對於在基材之高k介電區域中的深度之圖式。 59 200845232 第 4L圖繪示描述於本發明之一實施例中而形成在基 材表面上的高k介電層的侧視圖。 第4M圖為在本發明之一實施例中且繪示在第4L圖中 之濃度相對於在基材之高k介電區域中的深度之圖式。 第4N圖繪示描述於本發明之一實施例中而形成在基 ' 材表面上的高k介電層的側視圖。 第40圖為在本發明之一實施例中且繪示在第4N圖中 之濃度相對於在基材之高k介電區域中的深度之圖式。 fX 第 4P圖繪示根據本發明之一實施例所使用之模組化 數據。 第5 A圖為根據本發明之另一實施例,繪示施加至標 靶之脈衝RF/VHF激發能量與脈衝DC電壓的中斷循環時 序圖。 第5 B圖為根據本發明之又一實施例,繪示施加至標 靶之脈衝RF/VHF激發能量與脈衝DC電壓的中斷循環時 序圖。 第5 C圖為根據本發明之再一實施例,繪示施加至標 ^ 靶之脈衝DC電壓與連續RF/VHF能量的中斷循環時序圖。 第6A圖為根據本發明之一實施例,繪示製造場效電 晶體之閘極介電層之方法1 00的流程圖。 第 6B-6G圖為一系列的基材剖面,其上為利用第6A 圖之方法製造的閘極結構。 第7圖繪示根據本發明一實施例的整合處理系統。 60 200845232Ο In step 116, the gate dielectric layer (oxide layer 2〇6, nitride layer 214 and metal sublayer 2〇9), and substrate 2〇〇 may be annealed. Step li6 can reduce the leakage current between the oxide layer 206, the nitride layer 214 and the metal sublayer 2〇9, and improve the mobility and improvement of the charge carrier in the channel region below the cerium dioxide (si〇2) sublayer 216. The overall reliability of the gate dielectric layer. Step 116 can be carried out in a suitable thermal annealing chamber, such as a RADIANCE 8 reactor or RTP ΧΕ + reactor integrated with a treatment system, or a single substrate or batch furnace tube. The thermal oxidation step 116 can form a cerium oxide (si02) sublayer 216 at the 矽/dielectric layer interface (Fig. 6G). Step 116 enhances the mobility of the charge carriers in the channel region below the cerium oxide (Si〇2) sublayer 216 and improves the reliability of the dielectric/germanium interface. In an embodiment, the annealing process of step 1 i 6 may employ at least about 2-5000 sccm of oxygen (〇2) and about 1〇〇5〇〇〇sccm of nitric oxide (NO), or - Nitrogen (N2) is mixed in, and the surface temperature of the substrate is maintained at about 8 Torr (TC to about 11 Torr (rc, the pressure in the processing chamber is about 〇丨50 Torr. This process can be carried out at about 5-180 Torr. In the examples, the supply of oxygen (02) is about 500 SCCm, and the chamber pressure is maintained at about 1 Torr (about 15 seconds) for about 15 seconds. After step 116, step 118 is to terminate the method 1. The fabrication of the integrated circuit _ 1 〇〇 facilitates the formation of an ultra-thin gate dielectric layer, and can reduce the leakage current and the mobility of the charge carriers in the channel (4). Although the present invention has been described above by way of a preferred embodiment, it is not intended to limit the invention, and it is to be understood that those skilled in the art can make various changes and modifications without departing from the spirit and scope of the invention. The protection of the present invention 57 200845232 The scope of the patent application is defined by the scope of the patent application. In order to make the above-mentioned features of the present invention more comprehensible, the present invention may be described in conjunction with the reference embodiments, and the drawings are illustrated in the accompanying drawings. It is noted that although the attached drawings illustrate certain embodiments of the present invention, they are not To clarify the spirit and scope of the present invention, any one of ordinary skill in the art can make various modifications and alternatives. Ο Figure 1A (previous technique) shows the cross section of the FET, and can be based on The invention is produced. Figure 1B (previous technique) is a nitrogen concentration distribution diagram of a conventional thermal nitridation process and a conventional plasma nitridation process according to secondary ion mass spectrometry data. FIG. 2A is a diagram according to the present invention. The embodiment shows a flow chart for fabricating a gate dielectric layer of a field effect transistor. FIG. 2B is a flow chart showing the fabrication of a gate dielectric layer of a field effect transistor according to an embodiment of the invention. 2C is a flow chart showing the fabrication of a gate dielectric layer of a field effect transistor according to an embodiment of the invention. FIG. 2D is a diagram showing the fabrication of a gate of a field effect transistor according to an embodiment of the invention. Flow chart of the dielectric layer. Figure 2E is based on One embodiment of the invention shows a flow chart of manufacturing a gate dielectric layer of a field effect transistor. FIG. 2F is a diagram showing the fabrication of a gate dielectric layer of a field effect transistor according to an embodiment of the invention. 58 200845232 Figures 3A-3F are a series of substrate profiles on which the gate structure fabricated using the method of Figure 2A. Figure 4A illustrates a plasma processing chamber in accordance with another embodiment of the present invention. Fig. 4B is a cross section of a plasma processing chamber according to still another embodiment of the present invention. Fig. 4C is a cross section of a plasma processing chamber according to still another embodiment of the present invention. (%ί 4D is According to an embodiment of the present invention, a theoretical calculation table for various properties of the target and the target is described. Figure 4 is a graph of self-bias voltage versus frequency for a capacitively coupled plasma processing chamber in accordance with an embodiment of the present invention. Figure 4F is a cross-sectional view of a plasma processing chamber in accordance with an embodiment of the present invention. Figure 4G is a cross-sectional view of a plasma processing chamber in accordance with an embodiment of the present invention. Figure 4 is a cross-sectional view of a plasma processing chamber in accordance with an embodiment of the present invention. Figure 41 is a cross-sectional view of a plasma processing chamber in accordance with an embodiment of the present invention. Figure 4J depicts a side view of a high-k dielectric layer formed on the surface of a substrate as described in one embodiment of the invention. Figure 4K is a diagram of the concentration in Figure 4J versus the depth in the high-k dielectric region of the substrate in one embodiment of the invention. 59 200845232 Figure 4L is a side elevational view of a high-k dielectric layer formed on a surface of a substrate as described in one embodiment of the invention. Figure 4M is a diagram of the concentration in the 4L image versus the depth in the high-k dielectric region of the substrate in one embodiment of the invention. Figure 4N is a side elevational view of a high-k dielectric layer formed on a surface of a substrate as described in one embodiment of the invention. Figure 40 is a graph showing the concentration in the 4N figure versus the depth in the high-k dielectric region of the substrate in one embodiment of the invention. fX Figure 4P illustrates modular data used in accordance with an embodiment of the present invention. Figure 5A is a timing diagram showing the interrupted cycle of pulsed RF/VHF excitation energy and pulsed DC voltage applied to the target in accordance with another embodiment of the present invention. Figure 5B is a timing diagram showing the interrupted cycle of pulsed RF/VHF excitation energy and pulsed DC voltage applied to the target in accordance with yet another embodiment of the present invention. Figure 5C is a timing diagram showing the interrupt cycle of the pulsed DC voltage applied to the target and the continuous RF/VHF energy, in accordance with yet another embodiment of the present invention. Figure 6A is a flow chart showing a method 100 of fabricating a gate dielectric layer of a field effect transistor in accordance with an embodiment of the present invention. Fig. 6B-6G is a series of substrate cross-sections on which the gate structure fabricated by the method of Fig. 6A is used. FIG. 7 illustrates an integrated processing system in accordance with an embodiment of the present invention. 60 200845232

Ο 【主要元件符號說明】 10 電晶體 12 基材 13 接面 14 介電層 16 閘極電極 18 間隙壁 11、 24 曲線 100 方法 200 基材 204 、206 氧化層 208 金屬層 209 金屬次層 210 介電區域 214 氮化層 216 次層 251 程序 401 基材 401 A、402 氧化層 401Β 表面 403 、404 介電層 405 區域 406 多晶矽層 407 金屬層 408 閘極區域 420 表面 421 路徑 500 、5 0 1 處理室 502 基材 504 餽通口 505 、5 7 1 標靶 506 同軸電纜 507 電源供應器 508 、523 、 565 產生器 508A、524A、565A 508Β、509 線圈 510 幫浦 511 節流閥 522 處理區域 524 、525 RF 源 526 切換器 527 室底 528 室壁 529 室蓋 531 RF能量 532 DC脈衝 533 RF/VHF脈衝 匹配器 61 200845232 Ο 535 DC電壓 540A 孔洞 561 控溫器 562A 支撐構件 572 絕緣件 5 8 0 磁控管組件 582 磁控管致動器 591 RF源組件 593、596 處理室組件 595、597 VHF 源組件 602 控制器 605A-605D 裝載口 610 傳輸室 613A 葉片組件 6 1 3 C 驅動組件 W 基材 540 準直儀 550 氣體輸送系統 562 支撐件 570 背板組件 573 標靶組件 581 磁控管 5 83 磁鐵 , 592 DC源組件 594 基材支撐組件 600 系統 6 04 工作介面 606A、606B 加載鎖定室 613 機械手臂 6 1 3 B 機械臂組件 614A-614F 處理室 A 換質材料 62Ο [Main component symbol description] 10 transistor 12 substrate 13 junction 14 dielectric layer 16 gate electrode 18 spacers 11, 24 curve 100 method 200 substrate 204, 206 oxide layer 208 metal layer 209 metal sub-layer 210 Electrical region 214 nitride layer 216 sub-layer 251 Procedure 401 Substrate 401 A, 402 Oxide layer 401 Β Surface 403, 404 Dielectric layer 405 Region 406 Polysilicon layer 407 Metal layer 408 Gate region 420 Surface 421 Path 500, 5 0 1 Processing Room 502 substrate 504 feed port 505, 5 7 1 target 506 coaxial cable 507 power supply 508, 523, 565 generator 508A, 524A, 565A 508 Β, 509 coil 510 pump 511 throttle valve 522 processing area 524, 525 RF Source 526 Switcher 527 Room Bottom 528 Chamber Wall 529 Chamber Cover 531 RF Energy 532 DC Pulse 533 RF/VHF Pulse Matcher 61 200845232 Ο 535 DC Voltage 540A Hole 561 Temperature Controller 562A Support Member 572 Insulation 5 8 0 Magnetic Control assembly 582 magnetron actuator 591 RF source assembly 593, 596 process chamber assembly 595, 597 VHF source assembly 602 controller 605A-605D load port 610 transmission 613A Blade Assembly 6 1 3 C Drive Assembly W Substrate 540 Collimator 550 Gas Delivery System 562 Support 570 Back Plate Assembly 573 Target Assembly 581 Magnetron 5 83 Magnet, 592 DC Source Assembly 594 Substrate Support Assembly 600 System 6 04 Working interface 606A, 606B Load lock chamber 613 Robot arm 6 1 3 B Robot arm assembly 614A-614F Process chamber A Modification material 62

Claims (1)

200845232 十、申請專利範圍: 1. 一種形成一半導體元件的方法,其至少包含 形成具一預定厚度的一介電層於一基材的一 置入一含量之一第一材料至該介電層内,以 貫穿部分所形成之該介電層之該厚度的第一濃 置入一含量之一第二材料至該介電層内,以 貫穿部分所形成之該介電層之該厚度的第二濃 及 沉積一第三材料於該介電層上。 2. 如申請專利範圍第1項所述之方法,其更包 800°C〜約1100°C之間對該基材進行退火。 3. 如申請專利範圍第1項所述之方法,其中該 厚度小於約40埃(Angstroms)。 4. 如申請專利範圍第1項所述之方法,其中係 量藏鍍製程而將該第一材料置入該介電層内, 能量濺鍍製程包含以一第一射頻(RF ; radio 頻率與一第一射頻功率來提供一射頻能量至一 室的一處理區域,使得一標靶的該第一材料置 中 〇 •表面上; 形成一至少 度梯度; 形成一至少 度梯度;以 含在溫度約 介電層之該 利用一低能 又其中該低 frequency ) 低能量濺鍍 入該介電層 63 200845232 5·如申請專利範圍第1項所述之方法,其中該第一 4 該第二材料係選自由鋁、锆、鈦、給、鑭、勰、鉛 和鋇所構成之群組。 ' 6·如申請專利範圍第1項所述之方法,其中該介電 至少一材料,該材料係選自由二氧化矽、氧化給、氧 石夕酸給氧化物(hafnium silicate oxide)、Is酸铪、 〇 給、氧化鑭、和氧化鋁所構成之群組。 7·如申凊專利範圍第1項所述之方法,其中該第一 給’且該第一材料在該介電層中的濃度係小於約3 〇 (atomic percent);以及該第二材料為鑭或鋁,且 材料在該介電層中的濃度係小於約1 0原子%。 , 8 ·如申請專利範圍第5項所述之方法,更包含使 〇 層、該第一材料、該第二材料與該第三材料暴露於 環境中,其中該氧化環境使用一熱氧化製程或一電 製程。 9.如申請專利範圍第丨項所述之方法,其中該第三 含一材料,該材料係選自由多晶石夕、钽、氮化钽、域 氮化碳组、H、氮化鎮、氮化石夕组、給、銘、銘、查 鈦、鎳、和氮化鈦所構成之群組。 才料與 、釔、 醫包含 化锆、 氧化鑭 材料為 原子% 該第二 該介電 漿氧化 材料包 「、赫、 64 200845232 1 0.如申請專利範圍第1項所述之方法,其中該低能量濺 鍍製程包含: ^ 以一第一頻率來脈衝產生該射頻能量,且該射頻能量是 由一射頻產生器輸送; 脈衝產生一直流(DC )電壓,且該直流電壓是從一直 流源組件輸送到該標靶;以及 ^ 利用一系統控制器來同步化該脈衝之射頻能量與該脈 Γ 衝之直流電壓。 11. 如申請專利範圍第1項所述之方法,更包含在形成該 介電層之前,使該基材的該表面暴露於一含氮之射頻電漿 中 〇 12. —種形成一半導體元件的方法,其至少包含: 形成具一預定厚度的一含發介電層於一基材的一表面 L) 上; 形成具一預定厚度的一高介電常數(高k)介電層於一 含矽介電層上; 置入一含量之一第一材料至該高k介電層内,以形成一 至少貫穿部分所形成之該高k介電層之該厚度的第一濃度 梯度,其中該第一材料係選自由铪、鑭、鋁、鈦、锆、锶、 鉛、釔及鋇所構成之群組; 65 200845232 置入一含量之一第二 料至該高k介電層内,以形成 至少貫穿部分所形成之該古 ”阿k介電層之該厚度的第二 梯度’其中該第二材料係 糸、自由給、鑭、鋁、鈦、錯、杳 鉛、釔及鋇所構成之群組;以及 沉積一閘極電極材料於古 <科於該南k介電層、該第一材料及 第二材料上。 13·如申請專利範圍第12項所述之方法,其更包含在溫 約8 00 C〜約11〇〇°C之間對該基材進行退火。 14·如申請專利範圍第12項所述之方法,其中該高k介 層含有一材料’該材料係選自由氧化铪、氧化錯、石夕酸 氧化物、鋁酸铪、氧化鑭銓、氧化鑭、和氧化鋁所構成 群組。 15.如申凊專利範圍第12項所述之方法,更包括將該基 或是所形成之該含矽介電層暴露於一含有氮氣之射頻 槳0 16·如申請專利範圍第12項所述之方法,其中該含矽介 層與該兩k介電層之組合厚度小於約4 〇埃。 1 7·如申請專利範圍第! 2項所述之方法,其中該第一材 度 卜 該 度 電 铪 之 材 電 電 料 66 200845232 為铪,且該第一材料在該介電層中的濃度係小於約3 0原子 %。 18. 如申請專利範圍第12項所述之方法,其中該第一材料 為鑭或铪,且該第一材料的濃度係小於約1 0原子%,或者 是,該第二材料為鋁,且該第二材料的濃度係小於約 10 原子%。 f) 19. 如申請專利範圍第12項所述之方法,更包含使該第一 材料及該第二材料暴露於一氧化環境中,其中該氧化環境 使用一熱氧化製程或一電漿氧化製程。 2 0.如申請專利範圍第1 2項所述之方法,其中該閘極電極 材料包含一材料,該材料係選自由多晶矽、钽、氮化钽、 氮化碳钽、碳化钽、鎢、氮化鎢、氮化矽钽、铪、鋁、鉑、 釕、鈷、鈦、鎳、和氮化鈦所構成之群組。 ϋ 67200845232 X. Patent Application Range: 1. A method for forming a semiconductor device, comprising at least forming a dielectric layer having a predetermined thickness on a substrate, a first content of a first material to the dielectric layer Internally, the first material of the thickness of the dielectric layer formed by the through portion is filled with a content of the second material into the dielectric layer, and the thickness of the dielectric layer formed by the through portion is Diluting and depositing a third material on the dielectric layer. 2. The method of claim 1, further comprising annealing the substrate between 800 ° C and about 1100 ° C. 3. The method of claim 1, wherein the thickness is less than about 40 Angstroms. 4. The method of claim 1, wherein the first plating material is placed in the dielectric layer by a plating process, and the energy sputtering process comprises a first radio frequency (RF; radio frequency and a first RF power to provide a radio frequency energy to a processing region of a chamber such that the first material of a target is centered on the surface; forming an at least gradient; forming an at least gradient; The method of claim 1, wherein the first layer of the second material is used in the method of claim 1, wherein the dielectric layer is a low energy source and the low frequency is low energy. A group consisting of aluminum, zirconium, titanium, niobium, tantalum, niobium, lead and niobium is selected. 6. The method of claim 1, wherein the dielectric is at least one material selected from the group consisting of cerium oxide, oxidic acid, hafnium silicate oxide, and is acid. A group consisting of ruthenium, osmium, iridium oxide, and aluminum oxide. The method of claim 1, wherein the first given 'and the concentration of the first material in the dielectric layer is less than about 3 atomic percent; and the second material is Tantalum or aluminum, and the concentration of material in the dielectric layer is less than about 10 atomic percent. 8. The method of claim 5, further comprising exposing the ruthenium layer, the first material, the second material, and the third material to an environment, wherein the oxidizing environment uses a thermal oxidation process or An electric process. 9. The method of claim 3, wherein the third material comprises a material selected from the group consisting of polycrystalline samarium, tantalum, tantalum nitride, domain carbon nitride, H, nitrided, A group consisting of nitriding stone group, giving, Ming, Ming, and titanium, nickel, and titanium nitride. The material of the zirconium oxide and the yttrium oxide material is atomic %. The second dielectric oxidizing material package is described in Japanese Patent Application No. Hei. The low energy sputtering process comprises: ^ generating the RF energy by a first frequency, and the RF energy is delivered by an RF generator; the pulse generates a DC current voltage, and the DC voltage is from the DC source. The component is delivered to the target; and a system controller is used to synchronize the RF energy of the pulse with the DC voltage of the pulse. 11. The method of claim 1, further comprising forming Prior to exposing the surface of the substrate to a nitrogen-containing radio frequency plasma, a method of forming a semiconductor device, the method comprising: forming at least one dielectric layer having a predetermined thickness On a surface L) of a substrate; forming a high dielectric constant (high-k) dielectric layer having a predetermined thickness on a germanium-containing dielectric layer; placing a first content of the first material to the high k dielectric layer Forming a first concentration gradient of the thickness of the high-k dielectric layer formed by at least a through portion, wherein the first material is selected from the group consisting of ruthenium, osmium, aluminum, titanium, zirconium, hafnium, lead, niobium, and tantalum a group formed by; 65 200845232 placing a second amount of a second material into the high-k dielectric layer to form a second gradient of the thickness of the ancient "a dielectric layer formed by at least a through portion" Wherein the second material is a group consisting of ruthenium, free ruthenium, ruthenium, aluminum, titanium, erbium, bismuth, lead, antimony and bismuth; and a gate electrode material is deposited in the ancient < , the first material and the second material. 13. The method of claim 12, further comprising annealing the substrate between about 800 C and about 11 C. 14. The method of claim 12, wherein the high-k dielectric layer comprises a material selected from the group consisting of cerium oxide, oxidized ox, oxalic acid oxide, strontium aluminate, cerium oxide, oxidized. A group consisting of yttrium and alumina. 15. The method of claim 12, further comprising exposing the base or the formed germanium-containing dielectric layer to a radio frequency paddle containing nitrogen. 16 16 as claimed in claim 12 The method wherein the combined thickness of the germanium containing layer and the two k dielectric layers is less than about 4 Å. 1 7· If you apply for a patent scope! The method of claim 2, wherein the first electrical material is electrically conductive, and the concentration of the first material in the dielectric layer is less than about 30 atomic percent. 18. The method of claim 12, wherein the first material is ruthenium or osmium, and the concentration of the first material is less than about 10 atomic percent, or the second material is aluminum, and The concentration of the second material is less than about 10 atomic percent. f) 19. The method of claim 12, further comprising exposing the first material and the second material to an oxidizing environment, wherein the oxidizing environment uses a thermal oxidation process or a plasma oxidation process . The method of claim 12, wherein the gate electrode material comprises a material selected from the group consisting of polycrystalline germanium, germanium, tantalum nitride, carbon nitride, tantalum carbide, tungsten, and nitrogen. A group consisting of tungsten, tantalum nitride, niobium, aluminum, platinum, rhodium, cobalt, titanium, nickel, and titanium nitride. ϋ 67
TW097107373A 2007-03-08 2008-03-03 Method and apparatus for fabricating a high dielectric constant transistor gate using a low energy plasma system TWI459471B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US11/683,984 US7645710B2 (en) 2006-03-09 2007-03-08 Method and apparatus for fabricating a high dielectric constant transistor gate using a low energy plasma system

Publications (2)

Publication Number Publication Date
TW200845232A true TW200845232A (en) 2008-11-16
TWI459471B TWI459471B (en) 2014-11-01

Family

ID=44839744

Family Applications (1)

Application Number Title Priority Date Filing Date
TW097107373A TWI459471B (en) 2007-03-08 2008-03-03 Method and apparatus for fabricating a high dielectric constant transistor gate using a low energy plasma system

Country Status (1)

Country Link
TW (1) TWI459471B (en)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI575545B (en) * 2015-07-03 2017-03-21 華碩電腦股份有限公司 Method of fabricating capacitor
US9887258B2 (en) 2015-07-03 2018-02-06 Asustek Computer Inc. Method for fabricating capacitor
TWI748423B (en) * 2019-05-03 2021-12-01 美商應用材料股份有限公司 Treatments to enhance material structures
US11271097B2 (en) 2019-11-01 2022-03-08 Applied Materials, Inc. Cap oxidation for FinFET formation
TWI794274B (en) * 2017-08-18 2023-03-01 美商應用材料股份有限公司 Methods and apparatus for doping engineering and threshold voltage tuning by integrated deposition of titanium nitride and aluminum films

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6495474B1 (en) * 2000-09-11 2002-12-17 Agere Systems Inc. Method of fabricating a dielectric layer
US6982230B2 (en) * 2002-11-08 2006-01-03 International Business Machines Corporation Deposition of hafnium oxide and/or zirconium oxide and fabrication of passivated electronic structures

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI575545B (en) * 2015-07-03 2017-03-21 華碩電腦股份有限公司 Method of fabricating capacitor
US9887258B2 (en) 2015-07-03 2018-02-06 Asustek Computer Inc. Method for fabricating capacitor
TWI794274B (en) * 2017-08-18 2023-03-01 美商應用材料股份有限公司 Methods and apparatus for doping engineering and threshold voltage tuning by integrated deposition of titanium nitride and aluminum films
TWI748423B (en) * 2019-05-03 2021-12-01 美商應用材料股份有限公司 Treatments to enhance material structures
US11271097B2 (en) 2019-11-01 2022-03-08 Applied Materials, Inc. Cap oxidation for FinFET formation

Also Published As

Publication number Publication date
TWI459471B (en) 2014-11-01

Similar Documents

Publication Publication Date Title
US7645710B2 (en) Method and apparatus for fabricating a high dielectric constant transistor gate using a low energy plasma system
US7837838B2 (en) Method of fabricating a high dielectric constant transistor gate using a low energy plasma apparatus
US7678710B2 (en) Method and apparatus for fabricating a high dielectric constant transistor gate using a low energy plasma system
KR101117450B1 (en) Method and apparatus for fabricating a high dielectric constant transistor gate using a low energy plasma system
US20070209930A1 (en) Apparatus for fabricating a high dielectric constant transistor gate using a low energy plasma system
US7902018B2 (en) Fluorine plasma treatment of high-k gate stack for defect passivation
JP4950888B2 (en) Method for improving gate dielectric stacks with high dielectric constant layers using plasma treatment
US6750126B1 (en) Methods for sputter deposition of high-k dielectric films
JP5042038B2 (en) Method for manufacturing a semiconductor device
US20060189083A1 (en) Field Effect Transistor With Etched-Back Gate Dielectric
TWI423333B (en) Method and apparatus for fabricating a high dielectric constant transistor gate using a low energy plasma system
US20080017936A1 (en) Semiconductor device structures (gate stacks) with charge compositions
JP2006524438A (en) Method of forming a metal gate structure with finely tuned work function by introducing silicon
JP3746478B2 (en) Manufacturing method of semiconductor device
TW200845232A (en) Method and apparatus for fabricating a high dielectric constant transistor gate using a low energy plasma system
US20110312179A1 (en) Substrate processing method and substrate processing apparatus
JP5458177B2 (en) Method and apparatus for manufacturing semiconductor device
KR20190051082A (en) Methods and devices using PVD ruthenium
JP2004266263A (en) Method for manufacturing semiconductor device
JP2005079563A (en) Manufacturing method for electronic device
JP4719166B2 (en) Manufacturing method of semiconductor device
TW201034078A (en) Methods for forming conformal oxide layers on semiconductor devices