TW200832171A - Method for correcting photomask pattern - Google Patents

Method for correcting photomask pattern Download PDF

Info

Publication number
TW200832171A
TW200832171A TW96103237A TW96103237A TW200832171A TW 200832171 A TW200832171 A TW 200832171A TW 96103237 A TW96103237 A TW 96103237A TW 96103237 A TW96103237 A TW 96103237A TW 200832171 A TW200832171 A TW 200832171A
Authority
TW
Taiwan
Prior art keywords
layout
pattern
optical proximity
correction
correction method
Prior art date
Application number
TW96103237A
Other languages
Chinese (zh)
Inventor
Ling-Chieh Lin
Chuen-Huei Yang
Chien-Fu Lee
I-Hsiung Huang
Original Assignee
United Microelectronics Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by United Microelectronics Corp filed Critical United Microelectronics Corp
Priority to TW96103237A priority Critical patent/TW200832171A/en
Publication of TW200832171A publication Critical patent/TW200832171A/en

Links

Abstract

A method for correcting a photomask pattern is disclosed. The correction method determines a layout condition according to the space and line width of a layout pattern. The layout condition is used to determine the type of optical proximity correction to be used for a layout pattern in order to generate a correction pattern, and the correction pattern is compared with a predetermined specification. Furthermore, a modified-rule optical proximity correction table is employed to correct the special layout pattern. Therefore, the fidelity correction may be easily implemented.

Description

200832171 UMCD-2006-0434 21933tw.doc/n 九、發明說明: 【發明所屬之技術領域】 本發明是有關於一種光罩圖案(photomask pattern) 的校正方法,且特別是有關於一種混合式的光罩圖案的校 正方法。 【先前技術】 在積體電路(Integrated Circuit,1C )蓬勃發展的今曰, 元件縮小化與積集化是必然之趨勢,也是各界積極發展的 重要課題,而在整個半導體製程中,微影可以說是最舉足 輕重的步驟之一,因此光罩圖案轉移(transfer)至晶圓 (wafer)上的精確性,便佔有非常重要的地位。若圖案的 轉移不正確,則會影響晶片上之關鍵尺寸(Critical Dimension,CD)的容忍度(tolerance),降低曝光的解析 度。 而隨著積集度(integration)的逐漸提高,元件尺寸 逐漸縮小,元件與元件之間的距離也必須縮小,因此造成 在微影步驟中,圖案轉移有可能產生偏差,也就是所謂的 光學鄰近效應(Optical Proximity Effect, ΟΡΕ )。而形成光 學鄰近效應的因素是當光束透過光罩上的圖案投影在晶片 上時,一方面由於光束會產生散射現象而使得光束被擴 大;另一方面,光束會透過晶片表面的光阻層再經由晶片 的半導體基底反射回來,產生干涉的現象,因此會重複曝 光,而改變在光阻層上實際的曝光量。 光學鄰近效應修正法(〇ptical pr〇ximity C〇rrecti〇n, 5 200832171 UMCD-2006-0434 21933tw.doc/n 〇pc)的目的就是用以消除因鄰近效應所造成的關鍵尺寸 偏差現象。習知方法為將欲曝光在晶片之半導體基底上的 原始圖案,減小或增大原始圖案之線寬來做修正,以使密 集(dense)圖案區與隔離(is〇iati〇n)圖案區曝光出來之 圖案的線寬保持相同。圖1緣示為習知混合式光學鄰近效 應修正法之流程圖。請參照圖丨,習知方法為混合式 (hybrid)光學鄰近效應修正法,首先接收一個佈局標案, 此佈局檔案是用來描述1C佈局圖案(layout pattern)的幾 響 何形狀,亦即待轉移的原始圖案(步驟101)。接著利用 布林(Boolean)邏輯運算來將佈局檔案轉換至適當格式〈步 驟103),之後,使用混合式光學鄰近效應修正法來對佈 局圖案進行修正之動作(步驟1〇5),換言之,就是選擇 模擬式(model-based)光學鄰近效應修正法(步驟1〇7) 或是基準式(mle-based)光學鄰近效應修正法(步驟1〇9) 來對佈局圖案進行修正,最後修正完成(步驟⑴)後, 便能將修正之佈局圖案送至光罩廠進行後續處理。 _ —在習知方法中,通常根據佈局圖案的節距(pitch)來 決定所使用的修正法,而同一個佈局區域僅會使用一種光 ㈣近效$修法來進行紅。因此,當佈局圖案呈現非 對稱佈局時,若使用模擬式光學鄰近效應修正法則在隔離 圖案區將會浪費時間進行修正動作,而若使用基準式光學 鄰近效應修正法财密錢龍將無法獲得與原始圖案相 近之結果。 〃 【發明内容】 6 200832171 UMCD-2006-0434 21933tw.doc/n V4YU&甘從伢一植光罩圖案的 校正方法,利用混合式光學鄰近效應修正法,並且採用 良規則式光學鄰近效應修正表,倘若出現特殊佈局圖案, 亦能夠精確且快速修正佈局圖案。 f發明的再一目的是提供—種光罩圖案的校正方 法’先利關擬式光學鄰近修正法,若修正結果不佳 再使用鱗絲學鄰近修正法,以域修蚊速度。 去的又—目的是提供—種鮮》案的校正方 ί蚀ϊί 光學_修正法,若修正結果不佳,便 鄰近修正法,以增加修正之_生。 ^ 種光罩圖案的校正方法,此校正方法首 ί接c案,且此佈局槽案對應於一個佈局; 佈局圖案,則產生第一修:二 ==法修正 與預設規袼進行比對4便將弟—修正圖案 則利用第_光@ >正圖案不符合預設規格, 正圖案近修正法修正佈局_,以產生第二修 法,其中更罩圖案的校正方 據改良規咐絲鄰祕i^來職麟,則將根 規則式光學鄰局赌,且此改良 則。 表具有多組特殊佈局條件之修正規 依知本發明的較佳實施例所逑光罩圖案的校正方 200832171 UMCD-2006-0434 21933tw.doc/n 法 法 其, 中右第-光學鄰近修正法為模擬式光學鄰近修正 則第二光學鄰近修正法為基準式光學鄰近修正法。 法 法 法 :恥上發明的較佳實施例所述光罩圖案的校正方 :中右第二光學鄰近修正法為模擬式光學鄰近修正 則弟-光學鄰近修正法為鲜式光學鄰近修正法。 本發明的較佳實施例所述光罩圖案的校正方 修根據佈局圖案之佈局條件,選擇—種光學鄰近 ^正法㈣_,更包括使麟合式光學 {法正法κ擇弟—先學鄰近修正法或第二光學鄰近修正 個佈提出再—種光罩圖案的校正方法,首先接收一 據佈此佈局槽案對應於一個佈局圖案;接著根 木=局ί件’利用模擬式光學鄰近修正法修正 預設規格進行比對,若第:圖 =、本發明的較佳實施例所述光罩圖案的校 個佈騎,首先接收一 據佈局圖案之佈局條件,;接著根 佈局圖案,並產生第二修正圖案=== 8 200832171 UMCD-2006-0434 21933tw.doc/n =規袼進行輯’若第二修正_不符合預設規格,則 使用換擬式光學鄰近修正法修正饰局圖案,以產生第一修 正圖案。 依照本發明的較佳實施例所述光罩圖案的校正方 ^ j中若第—修正_不符合預設規格,縣根據改良 規則式光學鄰近修正録修正佈局圖案,且此改良規則式 光學鄰近修正表具有纽特殊柄條件之修正規則 、依照本發明的較佳實施例所述光罩圖案的校正方 去上述二種权正方法中接收佈局檔案之步驟更包括對佈 局圖案進行布林賴運算,以轉換佈局_的檔案格式。 依照本發明的較佳實施例所述光罩圖案的校正方 法,上述二種校正方法中在佈局圖案之佈局條件中還包括 有佈局寬度與佈局間距等條件。 本發明因根據佈局圖案之間距(Space )與線寬(W她) 來決定一個佈局條件(layout condition),並根據此佈局 條件決定使用基準式光學鄰近效應修正法或模擬式光學鄰 近效應修正法來進行佈局圖案的修正,且利用一預設規格 與修正圖案進行比對。另外,本發明使用一種改良規則式 光學鄰近效應修正表,來對特殊圖案進行修正動作,尤其 疋針對非對稱的佈局圖案以及在模擬式光學鄰近效應修正 法中光罩錯誤增強係數(Mask Error Enhance Factor MEEF)較大的特殊區域。 為讓本發明之上述和其他目的、特徵和優點能更明顯 易懂,下文特舉較佳實施例,並配合所附圖式,作詳細說 9 200832171 UMCD-2006-0434 2l933tw.doc/n 明如下。 【實施方式】 由於元件尺寸越來越小,因此在進行微影步驟時,佈 局圖案1轉移可能會產生偏差,例如直角部分被鈍化,圖 t之尾端㈣,以及線寬的減小或增大等,目此本發明根 據佈局圖案之節距來決定使用哪一種光學鄰近效應修^ 法。為了使本發明之内容更為明瞭,以下特舉實施例作為 _ 本發明確實能夠據以實施的範例。 圖2A是依照本發明一實施例所繪示的混合式光學鄰 1效應修正法之流程圖。請參照圖2A,首先接收一個佈局 ,案(步驟201),此佈局檔案是用來描述忙佈局圖案的 ,何形狀,亦即待轉移的原始圖案。接著利用布林邏輯運 算來將佈局檔案轉換至適當格式(步驟203)。之後,再使 用模擬式光學鄰近效應修正法(步驟2〇5)對佈局圖案進 行修正動作。模擬式光學鄰近效應修正法即根據佈局圖案 之佈局h件與貝驗結果’利用曲線擬合e行出叩)方 _ 》獲得-個數學方程式,再使用此方程式來對應修正佈局 Q案以產生較正確的圖形轉移。在步驟205中,即利用 模擬式光學鄰近效應修正法產生第一修正圖案。 然後’將第一修正圖案與預設規格進行比對(步驟 =7),此預設規格依照不同製程規格(如9〇nm、45nm等), 各戶需求與產品種類(如類比電路或數位電路)而定,其 :,t然也包括顯影後所能容忍之誤差值等。比對完成後, 若符合預設規格則修正完成(步驟215);反之,便會自動 200832171 UMCD-2006-0434 21933tw.doc/n 切換至基準式光㈣姐祕科,根據佈宰之佈局 條件,例如佈局寬度與佈局間距等條件,至基 應之位置讀取祕正鮮量來物修均作,產一 二修正圖案。 u丨U乐 接著’再將第二修正圖案與預設規格進行比對的動 作’來檢查第二修正圖案是否符合預設規格(步驟211), 若符合規格跡正完成(步驟215);反之,若仍不符合預 設規格,則採肢良規則式光學鄰近效應修正表(步驟 213),根據此修正表來修正特殊之佈局圖案。 值得-提的是’步驟213是為了特殊,局圖案所產 生,當模擬式光學鄰近效應修正法無法正確修正,且改用 基準式光㈣近效應修正法亦無法正確修正時,則使用改 良規則式光學鄰近效應修正表來進行佈局圖案的修正。改 良規則式光學鄰近效應敍細具有纽特殊條件的修正 規則,可經由實驗而得或是經驗數據所形成。換言之了也 就是針對特殊佈局條件下的修正規則,所職殊佈局條件 貝^例如非對稱的佈局_,或是位於雜_區及隔離圖 木區中間之臨界處。在顯式光學鄰近效應修正法中也有 一些特殊的佈局條件’會產生較大的偏差值,上述所提及 的狀况都可將之列為特殊佈局條件’並將個別修正的規則 錯存於改良規則式光學鄰近效應修正表中,作為圖形修正 之用。 7 而在本發明另一實施例中,改良規則式光學鄰近效應 修正表亦具有紀錄與儲存功能,當遇到特殊的佈局條件 11 200832171 UMCD-2006-0434 21933tw.doc/n 時,即將其最佳的修正規則記錄下來,作為往後的修正參 考資料。當然,改良規則式光學鄰近效應修正表也可透過 人工增添修訂的方式加以修改。當執行的測試圖案“以丈 pattern)或是實驗數據愈多,則此修正表的資料亦隨之越 為完整,且囊括了更多特殊條件,日後不管遇到何種佈局 圖案皆能夠精確地修正。最後修正完成(步驟215)之後, 便能將修正之佈局圖案送至光罩廠進行後續處理。200832171 UMCD-2006-0434 21933tw.doc/n IX. Description of the Invention: [Technical Field] The present invention relates to a method for correcting a photomask pattern, and more particularly to a hybrid light A method of correcting the mask pattern. [Prior Art] In the future of the development of integrated circuits (1C), component shrinkage and accumulation are inevitable trends, and are also important topics for active development in all sectors. In the entire semiconductor process, lithography can It is said to be one of the most important steps, so the accuracy of the transfer of the mask pattern onto the wafer is very important. If the pattern is transferred incorrectly, it will affect the tolerance of the Critical Dimension (CD) on the wafer and reduce the resolution of the exposure. With the gradual increase of the integration, the component size is gradually reduced, and the distance between the component and the component must also be reduced. Therefore, in the lithography step, the pattern transfer may be deviated, that is, the so-called optical proximity. Effect (Optical Proximity Effect, ΟΡΕ). The factor of forming the optical proximity effect is that when the light beam is transmitted through the pattern on the reticle onto the wafer, on the one hand, the light beam is enlarged due to the scattering phenomenon of the light beam; on the other hand, the light beam passes through the photoresist layer on the surface of the wafer. Reflecting back through the semiconductor substrate of the wafer creates a phenomenon of interference, thus exposing the exposure and changing the actual amount of exposure on the photoresist layer. The optical proximity effect correction method (〇ptical pr〇ximity C〇rrecti〇n, 5 200832171 UMCD-2006-0434 21933tw.doc/n 〇pc) aims to eliminate key dimensional deviations caused by proximity effects. The conventional method is to reduce or increase the line width of the original pattern by using the original pattern to be exposed on the semiconductor substrate of the wafer, so as to make the dense pattern area and the isolation pattern area (is〇iati〇n) pattern area. The line width of the exposed pattern remains the same. Figure 1 is a flow chart showing a conventional hybrid optical proximity effect correction method. Referring to the figure, the conventional method is a hybrid optical proximity effect correction method, which first receives a layout standard, which is used to describe the shape of the 1C layout pattern, that is, The original pattern transferred (step 101). Then, the Boolean logic operation is used to convert the layout file to the appropriate format (step 103), and then the hybrid optical proximity effect correction method is used to correct the layout pattern (step 1〇5), in other words, Select the model-based optical proximity effect correction method (step 1〇7) or the reference (mle-based) optical proximity effect correction method (steps 1〇9) to correct the layout pattern, and finally correct the correction ( After step (1)), the corrected layout pattern can be sent to the mask factory for subsequent processing. _—In the conventional method, the correction method used is usually determined according to the pitch of the layout pattern, and the same layout area uses only one light (four) near-effect $ repair method to perform red. Therefore, when the layout pattern exhibits an asymmetric layout, if the analog optical proximity correction method is used, it will waste time for the correction operation in the isolation pattern area, and if the reference optical proximity effect correction method is used, the company will not be able to obtain The result of the original pattern is similar. 〃 【Contents】 6 200832171 UMCD-2006-0434 21933tw.doc/n V4YU&Gan 伢 伢 植 植 植 植 植 植 校正 校正 校正 校正 校正 校正 校正 校正 校正 校正 校正 校正 校正 校正 校正 校正 校正 校正 校正 校正 校正 校正 校正 校正 校正 校正 校正 校正 校正 校正 校正 校正 校正 校正 校正 校正 校正If a special layout pattern appears, the layout pattern can be corrected accurately and quickly. A further object of the invention is to provide a method for correcting the reticle pattern, which is a prior art optical proximity correction method. If the correction result is not good, the scalar proximity correction method is used to speed the mosquito. Going again - the purpose is to provide the correction method for the "Fresh" case. ί ϊ Optical _ correction method, if the correction result is not good, it is adjacent to the correction method to increase the correction. ^ The correction method of the reticle pattern, the correction method is 首 接 c, and the layout slot corresponds to a layout; the layout pattern generates the first repair: the second == method correction is compared with the preset rule 4 will be the younger brother - the correction pattern uses the _ light @ > positive pattern does not meet the preset specifications, the positive pattern near correction method to modify the layout _ to produce a second modification, in which the correction of the cover pattern is improved according to the rule The neighboring secret i ^ came to the employment of Lin, the root rule of the optical neighbors bet, and this improvement. The table has a plurality of sets of special layout conditions. The correction method of the reticle pattern of the preferred embodiment of the present invention is 200832171 UMCD-2006-0434 21933 tw.doc/n method, the middle right-optical proximity correction method For the analog optical proximity correction, the second optical proximity correction method is a reference optical proximity correction method. Method of Law: The preferred embodiment of the imaginary invention is to correct the reticle pattern: the second right optical proximity correction method is an analog optical proximity correction, and the optical-optical proximity correction method is a fresh optical proximity correction method. According to a preferred embodiment of the present invention, the correction mask of the reticle pattern is selected according to the layout condition of the layout pattern, and the optical proximity method (four) _ is selected, and the accompaniment method is further included. Or the second optical proximity correction cloth proposes a correction method of the reticle pattern, first receiving a layout of the layout corresponding to a layout pattern; and then using the analog optical proximity correction method to correct the prediction If the specifications are compared, if the image of the reticle pattern of the preferred embodiment of the present invention is first, the layout condition of the layout pattern is first received; then the root layout pattern is generated, and the second pattern is generated. Correction pattern === 8 200832171 UMCD-2006-0434 21933tw.doc/n = Rules for the process 'If the second correction _ does not meet the preset specifications, the modified optical proximity correction method is used to correct the decoration pattern to generate The first correction pattern. According to a preferred embodiment of the reticle pattern of the preferred embodiment of the present invention, if the first correction_ does not conform to the preset specification, the county corrects the layout pattern according to the modified regular optical proximity correction record, and the modified regular optical proximity The correction table has a modification rule of a special handle condition, and the step of correcting the mask pattern according to the preferred embodiment of the present invention to receive the layout file in the two weighting methods further includes performing a Boolean operation on the layout pattern. To convert the layout__ file format. In the method for correcting the mask pattern according to the preferred embodiment of the present invention, the layout conditions of the layout pattern include the layout width and the layout pitch. In the present invention, a layout condition is determined according to the space between the layout patterns (Space) and the line width (W her), and the reference optical proximity effect correction method or the analog optical proximity effect correction method is determined according to the layout condition. The layout pattern is corrected, and a preset specification is used to compare with the correction pattern. In addition, the present invention uses an improved regular optical proximity effect correction table to correct the special pattern, especially for asymmetric layout patterns and mask error enhancement coefficients in the analog optical proximity correction method (Mask Error Enhance) Factor MEEF) Large special area. The above and other objects, features, and advantages of the present invention will become more apparent and understood. as follows. [Embodiment] Since the size of the element is getting smaller and smaller, the layout pattern 1 transfer may be deviated during the lithography step, for example, the right-angle portion is passivated, the end of the figure t (four), and the line width is decreased or increased. To the extent that the present invention determines which optical proximity effect correction method to use based on the pitch of the layout pattern. In order to clarify the content of the present invention, the following specific embodiments are described as examples in which the present invention can be implemented. 2A is a flow chart of a hybrid optical neighboring effect correction method according to an embodiment of the invention. Referring to FIG. 2A, a layout is first received (step 201). The layout file is used to describe the busy layout pattern, and the shape, that is, the original pattern to be transferred. The layout logic is then converted to the appropriate format using the Boolean logic operation (step 203). Then, the simulated optical proximity effect correction method (step 2〇5) is used to correct the layout pattern. The analog optical proximity effect correction method is based on the layout pattern layout and the shell test result 'Using the curve to fit the e-line 叩 方 方 方 方 方 方 获得 获得 获得 获得 获得 获得 获得 个 个 个 个 个 个 获得 个 个 获得 获得 获得 获得 获得 获得 获得 获得 获得 获得 获得 获得 获得More correct graphics transfer. In step 205, a first correction pattern is generated using an analog optical proximity effect correction method. Then 'match the first correction pattern with the preset specifications (step = 7), the preset specifications are according to different process specifications (such as 9〇nm, 45nm, etc.), each household demand and product type (such as analog circuit or digital) Depending on the circuit), it also includes the error value that can be tolerated after development. After the comparison is completed, if the preset specifications are met, the correction is completed (step 215); otherwise, the automatic 200832171 UMCD-2006-0434 21933tw.doc/n is switched to the reference light (4) sister secretary, according to the layout conditions of the cloth. For example, the width of the layout and the spacing of the layout, etc., to the position of the base should be read and the amount of the original is fresh, and the one or two correction patterns are produced. u丨U followed by 'the action of comparing the second correction pattern with the preset specification' to check whether the second correction pattern conforms to the preset specification (step 211), if the conformation trace is completed (step 215); If the preset specifications are still not met, the limbs regular optical proximity effect correction table is added (step 213), and the special layout pattern is corrected according to the correction table. It is worth mentioning that 'Step 213 is for special, local pattern generation. When the analog optical proximity effect correction method cannot be corrected correctly, and the reference light (4) near-effect correction method cannot be correctly corrected, the improved rule is used. The optical proximity effect correction table is used to correct the layout pattern. The modified regular optical proximity effect has a modified rule with special conditions that can be formed experimentally or empirically. In other words, it is the correction rule for special layout conditions, such as the asymmetric layout _, or the critical point in the middle of the miscellaneous area and the isolation map. In the explicit optical proximity effect correction method, there are also some special layout conditions that will produce large deviation values. The above mentioned conditions can be classified as special layout conditions and the individual correction rules are stored in the wrong way. The modified regular optical proximity effect correction table is used as a graphic correction. 7 In another embodiment of the present invention, the improved regular optical proximity effect correction table also has a recording and storage function, and when it encounters a special layout condition 11 200832171 UMCD-2006-0434 21933 tw.doc/n, it is the most Good correction rules are recorded as a reference for future revisions. Of course, the improved regular optical proximity correction table can also be modified by artificially adding revisions. When the test pattern is executed, or the experimental data is more, the data of the correction table is more complete, and more special conditions are included, and any layout pattern can be accurately determined in the future. Correction. After the final correction is completed (step 215), the corrected layout pattern can be sent to the mask factory for subsequent processing.

圖2B疋依照本發明另一實施例所繪示的混合式光學 鄰近效應修正法之流程圖。圖2B與圖2A之間主要的差^ 在於先執行基準式光學鄰近效應修正法,若無法正確修正 佈局圖案☆,再贿式光學鄰近效祕正絲修正佈局 圖案。請參照圖2B,首先接收一個佈局檔案(步驟221), 接著利用布林邏輯·來將佈局㈣轉換至適當格式(步 驟223)之後,利用基準式光學鄰近效應修正法(步驟 2二)敌案之佈局條件,至基準表的相對應之位 置嗔取Α正基準量來進行修正動作,產生—個第二化正 2圖27案二修f圖案與驗規格進行_ (步驟 235);及之,ΪΪ後’右付合預設規格則修正完成(步驟 驟229) ff你a動切換至模擬式光學鄰近效應修正法(步 圖安^佈局圖案進行修正動作,以產生-個第-修正 二木接者’再將第—修正圖案與預減 作,來檢查第一修正圖安3 :斤人 必灯比對的動 若符人指炊目,丨欲 木疋付合預設規格(步驟231), :付口規格則修正完成(步驟235);反之, 汉規格,則採用改良規則式光學鄰近效應修正表(;驟 12 200832171 UMCD-2006-0434 2l933tw.doc/n 233) ’根據此修正表來修正特殊 與步驟213,動作相同或相似,故不在^ ^ 鄰、照本發明另—實施例所繪示的混合式光學 法之流程圖。請參照圖%,本實施例為結合2B is a flow chart of a hybrid optical proximity effect correction method according to another embodiment of the invention. The main difference between Fig. 2B and Fig. 2A is that the reference optical proximity effect correction method is performed first. If the layout pattern ☆ cannot be correctly corrected, the bribe-type optical proximity effect correcting the silk pattern is corrected. Referring to FIG. 2B, a layout file is first received (step 221), and then the layout (4) is converted to the appropriate format by using Boolean logic (step 223), and the reference optical proximity effect correction method (step 2) is used. The layout condition, the corresponding position of the reference table is taken to obtain the positive reference amount to perform the correcting action, and a second positive positive 2 FIG. 27 case second repair f pattern and the specification are performed _ (step 235); , after the 'right pay and the default specification is corrected (step 229) ff you a switch to the analog optical proximity effect correction method (step map security layout pattern to correct the action to produce - a first - correction two The wood picker's will use the first correction pattern and the pre-subtraction to check the first correction map. 3: The singularity of the singularity of the singer is the same as that of the singer. 231), : The payment specification is corrected (step 235); otherwise, the Chinese specification is improved by the regular optical proximity effect correction table (; 12 1232.32 UMCD-2006-0434 2l933tw.doc/n 233) Correct the table to correct the special and step 213, the same action Or similar, it is not a flow chart of the hybrid optical method shown in the embodiment of the present invention. Referring to Figure %, this embodiment is a combination

,佈局條縣蚊欲使用何種修正 '1〜243與蝻述實施例步驟201〜203與步驟 1=23/同或相似’故在此不*述。之後,使用混合= 先學鄰近效躲正絲對佈局_撕修正讀作(步驟 =5^步驟245是根據佈局圖案之佈局條件(佈局間距與 佈局見度’即佈射的spaee:^wi 近修正法或第二光學鄰近修正法,來修正=^案= 貫施例中,第—光學鄰近修正法與第二光㈣近修正法可 分別為模擬式絲鄰近效祕正法與基料光學鄰近效應 修正法。步驟撕即為混合式修正法之判斷條件,也就^ 在-佈局圖案的修正程序巾’可包括多種修正法,並依照 不同的佈局條件選擇最適合的修正方法。 奸在本實施例中,步驟245即根據佈局條件,選擇使用 模擬式絲鄰近效應修正法或鱗式光學鄰近效應修正法 ,修正佈局圖案。而佈局寬度(佈局圖案的寬度或稱為線 I)與佈局間距(圖案之間的疏密度)則對應於佈局圖案 1節距(pitch)。換言之,利用節距與佈局寬度亦可作為 選擇使用模擬式光學鄰近效應修正法或基準式光學鄰近效 應修正法的依據。若使用模擬式光學鄰近效應修正法則進 入步驟247,而使用基準式光學鄰近效應修正法則進入步 13 200832171 UMCD-2006-0434 21933tw.doc/n 驟257來對佈局圖案進行修正。 請繼續參照圖2C,步驟245可設定一分界值,阶偬 根據佈局圖案之節距來選擇所採用的修正法,若節距^分 界值以下屬於密集圖案區,則適合使用模擬式光學鄰近二 ,修正法(步驟247)。因為在密集圖案區中,其周遭環境 夸易影響紅結果,制模料光學鄰近效雜正法能$ 獲得較精確的修正。若節距在分界值以上_於隔離圖案 區,適合使用基準式光學鄰近效應修正法(步驟257),因 佈局圖案中之佈局間距與佈局寬度較寬鬆,因此使用基準 式光學鄰近效應修正法能夠在較短時間内得到較佳之修 正,若使賴㈣光學鄰近效雜正法反岭長修正時間。 在本發明另-實施财,在步驟245中,若佈局圖宰 5 砷近效應修正表(步驟255 )加以修正。 在本a知例中,若根據佈局條件,在步驟245時 ^擬式光學鄰近效應修正法(步驟247)對佈局圖案進行 2動作’麻據佈局_之佈局條件,_曲線擬合方 ^獲得-個數學方程式,再使用此方程式來修正佈局圖 二X產生個第修正圖案。並判斷曲線擬合的值若與 j數據相差太多’便自動機至基準式光學鄰近效應^ 心而4 247〜255與前述實施例步驟2〇5~2 相似,故在此不贅述。 風迦據佈局條件,若在步驟245肖選擇基準式光 予鄰近效躲正法(倾257)對柄圖魏行修正動作, 200832171 UMCD-2006-0434 21933tw.doc/n =據佈局赌之佈局條件至鮮表的相職之位置 準量來進行修正動作。而步驟257〜263及_2 施例步驟225〜233相同或相似,故在此不贅述。 Γίΐ找成(步驟265)後,便將修正之佈局圖案送至 進行後續處理。因此,本實施例為分騎對不同佈 =件之佈局_錢適合其_之光學鄰近效應修正法 "多正圖案,而處於佈局條件臨界處之 :擬= 光學鄰近效應修正法t不適用,則將被㊁ * ’/用改良規則式光學鄰近效應修正表來進行修正動作。 為了更明確的表達上述概念’以下再以簡單圖式說 明▲,圖3是依照本發明另一實施例所緣示的基準式鄰 j效應修正法轉圖。請參關3,基準式 修正法是根據所欲修正之佈局圖案31〇料局寬产313 = 佈局間距如,利甩資料庫中已獲得之修正基準量來祕 正。此修正方法乃是_實驗結果並賴 佈 局間距S交叉產生-個基準表34〇,基準表34〇又中具= ^佈局寬度及佈局間距所對應的修正基準量,此修正基準 里可由實驗結果獲得,之後,便可根據佈局圖案則中之 佈局見度313與佈局間距311來讀取基準表34〇中相對位 ^上之修正基準量,依照此修正基準量來進行縮小或增加 佈局圖案310上之佈局寬度,如佈局圖案32〇所示,最後 獲得一修正圖案330。 糾,圖4是依照本發明另-實施例所矣 會示的模擬式 光學鄰近效應修正法之流程圖。請參照圖4,當製程之元 15 200832171 UMCD-2006-0434 21933tw.doc/n 件越來越小後,佈局圖案縮小且更複雜,而佈局圖案周遭 之環境可能會影響曝光結果,若繼續使用基準式光學鄰近 效應修正法則可能會造成很大的誤差,因此採用模擬式光 學鄰近效應修正法,利用之前曝光之結果進行一連串複雜 的修正計算後,進而產生一個數學方程式,並利用此數學 方程式來修正佈局圖案。 請繼續參照圖4,首先輸入一個佈局圖案(步驟4〇ι ), 接著根據實驗結果所得到之數學方程式去模擬出一個修正 圖案之輪廓線,並且將此輪廓線與佈局圖案的形狀做比對 (步驟403),然後判斷比對後結果是否符合預設規格(步 驟405),若比對之後符合預設規格,則便修正此佈局圖 案(步驟409);反之,則調整修正之佈局圖案的形狀(步 驟407),並再一次模擬出一個修正圖案之輪廓線,並盥 佈局圖案的形狀做比對(步驟403)。―般來說,步驟彻 至步驟407會重複執行4至8次以便獲得較佳的輪廊線。 為了獲,上述實施例之數學方程式,可利用曲線擬合 士方式來計算。圖5是依照本發明_實施_繪示的模^ 式光學鄰近效應修正法之曲線擬合示意圖。請參照圖5, 空心圓點為真iL的實驗數據’ *實線為 修正計算後’將實驗數據透缝學方搞產=== ^通常會把曲_合之數_合成接近實驗㈣,如二 此^綠式才能被賴擬式光學鄰近效應修 在運开触中奴可能有誤差產生,例如大約在佈局間距 16 200832171 UMCD-2006-0434 21933tw.doc/n 550nm (奈米)地方之後的實驗數據與曲線擬合便有很大 的出入,因此可觀查到模擬式光學鄰近效應修正法比較適 合用於密集圖案區。 此外,圖6是依照本發明一實施例所繪示的模擬式光 學鄰近效應修正法误差示意圖。請參照圖6,假設其錯誤 容忍度為±3nm,意即修正圖案之佈局寬度只允許增加或縮 小3腿,而光罩錯誤增強係數(施企£證秘騰心_, MEEF)若為3·3,其誤差值便為9 9nm (3χ3·3)。也就是 況,右利用MEFF為3.3的修正資料來修正佈局圖案時, 則被修正的佈局圖案在顯影至晶目上後,會產生9.9啲的 誤差值,灿±3mn之範圍,目此,若使用模擬式光學鄰近 效應修正法,在-些光罩錯誤增_練大的修正點 成很大的誤差。 ^曰& 另外’若單獨使用基準式光學鄰近效應修正法,在遇 到非對稱佈局時較紐精麵修正佈局圖案, 犯(dimension)佈局圖案’在修正上之精確度也有盖。 ,此,本發着了結合模擬式光學鄰近效雜正法盘基。 式光學鄰近效應修正法之外,還提出_改良規 =效應修正錄修正特狀佈局_,像科對稱佈: 圖7是依照本發明—實施例所纟會示㈣對 示思圖。請參照圖7,右方中間有_分界 據= 寬度及佈局間距來_密錢案區與 根據佈局 局條請上方的佈局間距大於下方的 17 200832171 UMCD-2006-0434 21933tw.doc/n 界線701上方圖案區可將之歸於隔離圖案區,使用基準式 光學鄰近效應修正法;而分界線701下方圖案則歸於密集 圖案區’因此使用模擬式光學鄰近效應修正法。但位於分 界線701臨界處703之修正結果並不佳,則此處被視為特 殊佈局圖案,因此便使用改良規則式光學鄰近效應修正表 來修正。另外,左方臨界處7〇5亦被視為特殊佈局圖案, 亦可使用改良規則式光學鄰近效應修正表來修正。What kind of correction is used in the layout of the county; '1 to 243 and the steps 201 to 203 of the embodiment are the same or similar to the step 1 = 23/, so it is not described here. After that, use the mix = first learn the adjacent effect to avoid the silk to the layout _ tear correction read (step = 5 ^ step 245 is based on the layout conditions of the layout pattern (layout spacing and layout visibility 'that is sprayed spaee: ^wi near Correction method or second optical proximity correction method to correct =^ case = In the example, the first optical proximity correction method and the second optical (four) near correction method can respectively be the analog filament proximity effect positive method and the base optical proximity The effect correction method. The step tearing is the judgment condition of the hybrid correction method, and the correction program towel of the layout pattern can include various correction methods, and the most suitable correction method is selected according to different layout conditions. In an embodiment, step 245 selects an analog wire proximity effect correction method or a scale optical proximity effect correction method to correct the layout pattern according to the layout condition, and the layout width (the width of the layout pattern or the line I) and the layout pitch are corrected. (Sparse density between patterns) corresponds to the layout pattern 1 pitch. In other words, the pitch and layout width can also be used as an option to use the analog optical proximity correction method or The basis of the quasi-optical proximity effect correction method. If the analog optical proximity effect correction method is used, the process proceeds to step 247, and the reference optical proximity effect correction rule is used to proceed to step 13 200832171 UMCD-2006-0434 21933 tw.doc/n step 257 The layout pattern is corrected. Please continue to refer to FIG. 2C. Step 245 can set a boundary value, and the step 选择 selects the correction method according to the pitch of the layout pattern. If the pitch ^ boundary value is below the dense pattern area, it is suitable for use. Analog optical proximity 2, correction method (step 247). Because in the dense pattern area, its surrounding environment is easy to affect the red result, the optical proximity of the molding material can be more accurately corrected. If the pitch is at the boundary Above the value _ in the isolation pattern area, it is suitable to use the reference optical proximity effect correction method (step 257). Since the layout pitch and the layout width in the layout pattern are loose, the reference optical proximity effect correction method can be used in a shorter time. A better correction is obtained, if the Lai (4) optical proximity effect is corrected by the normal method, the correction time is further implemented in the present invention. In 245, if the layout graph 5 arsenic near effect correction table (step 255) is corrected, in the present example, if the layout condition is used, at step 245, the pseudo optical proximity effect correction method (step 247) is applied to the layout. The pattern performs the 2 action 'layout layout _ layout condition, _ curve fitting square ^ obtains a mathematical equation, and then uses this equation to correct the layout map 2 X to generate a first correction pattern. And judge the value of the curve fitting if j data is too different 'automatic machine to the reference optical proximity effect ^ heart and 4 247~255 is similar to the previous embodiment steps 2〇5~2, so it will not be described here. Wind and snow according to the layout conditions, if in step 245 Xiao chooses the reference light to the adjacent effect method (pour 257) to correct the action of the handle map, 200832171 UMCD-2006-0434 21933tw.doc/n = according to the layout conditions of the layout of the bet to the position of the position of the fresh table To correct the action. The steps 257 to 263 and the second embodiment steps 225 to 233 are the same or similar, and thus are not described herein. After the ΐίΐ is found (step 265), the corrected layout pattern is sent to the subsequent processing. Therefore, this embodiment is a layout of different cloths for the rider. The money is suitable for its optical proximity effect correction method "multi-positive pattern, and is at the critical point of the layout condition: pseudo = optical proximity effect correction method t is not applicable Then, the correction action will be performed by the second * '/ using the modified regular optical proximity effect correction table. In order to more clearly express the above concept, hereinafter, a simple diagram ▲ is shown, and Fig. 3 is a diagram showing a reference neighboring j effect correction method according to another embodiment of the present invention. Please refer to 3, the benchmark correction method is based on the layout pattern to be corrected 31. The layout width is 313 = the layout spacing, for example, is corrected in the database. The correction method is _the experimental result and the layout spacing S crosses to generate a reference table 34〇, and the reference table 34〇 has a correction reference amount corresponding to the = layout width and the layout pitch, and the experimental results can be obtained from the correction reference. After obtaining, the correction reference amount on the relative position in the reference table 34 is read according to the layout visibility 313 and the layout spacing 311 in the layout pattern, and the layout pattern 310 is reduced or added according to the correction reference amount. The layout width on the upper side, as shown by the layout pattern 32A, finally obtains a correction pattern 330. Correction, Figure 4 is a flow chart of an analog optical proximity effect correction method in accordance with another embodiment of the present invention. Referring to Figure 4, when the process element 15 200832171 UMCD-2006-0434 21933tw.doc/n is getting smaller and smaller, the layout pattern is smaller and more complicated, and the environment surrounding the layout pattern may affect the exposure result. The reference optical proximity correction rule may cause a large error. Therefore, the analog optical proximity correction method is used to perform a series of complicated correction calculations using the results of the previous exposure, and then a mathematical equation is generated, and the mathematical equation is used. Correct the layout pattern. Referring to FIG. 4, first input a layout pattern (step 4〇ι), and then simulate the contour of a modified pattern according to the mathematical equation obtained from the experimental result, and compare the contour with the shape of the layout pattern. (Step 403), and then determining whether the result of the comparison meets the preset specification (step 405), if the comparison meets the preset specification, the layout pattern is corrected (step 409); otherwise, the corrected layout pattern is adjusted. The shape is changed (step 407), and the outline of a correction pattern is again simulated, and the shape of the layout pattern is compared (step 403). In general, the steps up to step 407 are repeated 4 to 8 times in order to obtain a better line of the corridor. In order to obtain, the mathematical equation of the above embodiment can be calculated by using the curve fitting method. Fig. 5 is a schematic diagram showing the curve fitting of the optical proximity effect correction method according to the present invention. Please refer to Figure 5, the experimental data of the hollow dot is true iL '*the solid line is the corrected calculation', and the experimental data is spliced into the production area === ^ Usually the number of the _ _ _ _ is close to the experiment (four), If the second green type can be repaired by the pseudo-optical proximity effect, there may be errors in the contact, such as after the layout spacing 16 200832171 UMCD-2006-0434 21933tw.doc/n 550nm (nano) place The experimental data and curve fitting are very different, so it can be observed that the analog optical proximity effect correction method is more suitable for dense pattern regions. In addition, FIG. 6 is a schematic diagram of an error of an analog optical proximity effect correction method according to an embodiment of the invention. Please refer to FIG. 6 , assuming that the error tolerance is ±3 nm, that is, the layout width of the modified pattern is only allowed to increase or decrease the 3 legs, and the mask error enhancement coefficient (MEEF) is 3 ·3, the error value is 9 9nm (3χ3·3). In other words, when the correction pattern of the MEFF is 3.3 is used to correct the layout pattern, the corrected layout pattern will produce an error value of 9.9 , after being developed onto the crystal, and the range of ±3mn, for this reason, Using the analog optical proximity effect correction method, a large error occurs in the correction points of some reticle errors. ^曰& In addition, if the reference optical proximity effect correction method is used alone, the alignment pattern is corrected in the case of the asymmetric layout, and the accuracy of the revision layout pattern is also covered. In this case, the present invention combines an analog optical proximity-effect hybrid positive disk substrate. In addition to the optical proximity effect correction method, it is also proposed that the _improvement rule=effect correction record correction feature layout _, like the symmetry cloth: Fig. 7 is a (4) pair diagram in accordance with the present invention. Please refer to Figure 7. In the middle of the right, there is _ boundary data = width and layout spacing. _ The dense money case area and the layout spacing above the layout bureau are larger than the lower 17 200832171 UMCD-2006-0434 21933tw.doc/n boundary 701 The upper pattern area can be attributed to the isolation pattern area using the reference optical proximity effect correction method; and the pattern below the boundary line 701 is attributed to the dense pattern area' thus using the analog optical proximity effect correction method. However, the correction result at the critical point 703 of the boundary line 701 is not good, and this is regarded as a special layout pattern, so it is corrected using the modified regular optical proximity effect correction table. In addition, the left critical point 7〇5 is also considered as a special layout pattern, and can also be corrected using a modified regular optical proximity effect correction table.

值得一提的是,在本發明中校正過的特殊佈局圖案之 佈局條件與其所對應之修正規則,皆會儲存於資料庫中的 改良規則式光學鄰近效應修正表,當曰後遇到擁有相似或 相同之佈局條件的佈局圖案,便可依照資料庫中的修正 來進行校正。 & 綜上所述,在本發明之光罩圖案的校正方法至 下列優點: —1.在密集圖案區使用模擬式光學鄰近效應修正法 在隔離圖親仙基準式光學鄰近效應修正法,結合兩 修正法,可提高修正精確度及增加修正之速度。、"" 出現特殊佈局圖案,且上述兩種修正法亦無法有 =、纟°果,便使贱良賴式光學_效應修正表 上二2行之測試圖案越多,則曰後在佈局圖案修正 上便犯夠應用於更廣泛之範圍。 雖然本發明已以較佳實施例揭露如上, 限定本發明,任何_此技藝者 18 200832171 UMCD-2006-0434 21933tw.doc/n 和範圍内,當可作些許之更動與濁飾,因此本發明之剌 範圍當視後附之申請專利範圍所界定者為準。 【圖式簡單說明】 · 圖1繪示為習知混合式光學鄰近效應修正法之流程 圖。 圖2A是依照本發明一實施例所繪示的混合式光學鄰 近效應修正法之流程圖。 圖2B疋依照本發明另一實施例所♦示的混合式光學 B 鄰近效應修正法之流程圖。 圖2C是依照本發明另一實施例所繪示的混合式光學 鄰近效應修正法之流程圖。 圖3是依照本發明另一實施例所繪示的基準式光學鄰 近效應修正法示意圖。 圖4疋依照本發明另一實施例所緣示的模擬式光學鄰 近效應修正法之流程圖。 圖5是依照本發明一實施例所繪示的模擬式光學鄰近 | 效應修正法之曲線擬合示意圖。 圖6是依照本發明一實施例所繪示的模擬式光學鄰近 效應修正法誤差示意圖。 圖7是依照本發明一實施例所繪示的非對稱佈局圖 不意圖。 / 【主要元件符號說明】 101〜111 :習知混合式光學鄰近效應修正法之各步驟 201〜215 :本發明一實施例所繪示的混合式光學鄰近 19 200832171 UMCD-2006-0434 21933tw.doc/n 效應修正法之各步驟 221〜235 :本發明另一實施例所繪示的混合式光學鄰 近效應修正法之各步驟 241〜265 :本發明另一實施例所繪示的混合式光學鄰 近效應修正法之各步驟 401〜409 :本發明另一實施例所繪示的模擬式光學鄰 近效應修正法之步驟 310、320 :佈局圖案 • 311、S :佈局間距 313、W :佈局寬度 330 :修正圖案 340 :基準表 701 ·分界線 703、705 :臨界處 20It is worth mentioning that the layout conditions of the special layout pattern corrected in the present invention and the corresponding correction rules are stored in the improved regular optical proximity effect correction table in the database. Or the layout pattern of the same layout conditions can be corrected according to the correction in the database. In summary, the method for correcting the mask pattern of the present invention has the following advantages: 1. In the dense pattern region, the analog optical proximity effect correction method is used in the isolation map, the reference optical proximity effect correction method is combined, Two correction methods can improve the accuracy of correction and increase the speed of correction. , "" A special layout pattern appears, and the above two correction methods can not have =, 纟 ° fruit, so that the more the test pattern of the second and second lines on the 贱 赖 光学 optical _ effect correction table, then The layout pattern correction is enough to be applied to a wider range. Although the present invention has been disclosed in the preferred embodiments as described above, the present invention is limited to any of the above-described techniques 18 200832171 UMCD-2006-0434 21933 tw.doc/n and within the scope, the invention may be modified and turbid. The scope of the application is subject to the definition of the scope of the patent application. BRIEF DESCRIPTION OF THE DRAWINGS Fig. 1 is a flow chart showing a conventional hybrid optical proximity effect correction method. 2A is a flow chart of a hybrid optical proximity effect correction method according to an embodiment of the invention. 2B is a flow chart of a hybrid optical B proximity effect correction method according to another embodiment of the present invention. 2C is a flow chart of a hybrid optical proximity effect correction method according to another embodiment of the invention. FIG. 3 is a schematic diagram of a reference optical proximity effect correction method according to another embodiment of the invention. 4 is a flow chart showing an analog optical proximity effect correction method according to another embodiment of the present invention. FIG. 5 is a schematic diagram of curve fitting of an analog optical proximity | effect correction method according to an embodiment of the invention. FIG. 6 is a schematic diagram of an error of an analog optical proximity effect correction method according to an embodiment of the invention. Figure 7 is a schematic illustration of an asymmetric layout diagram in accordance with an embodiment of the invention. / [Main component symbol description] 101 to 111: Each of the steps 201 to 215 of the conventional hybrid optical proximity effect correction method: a hybrid optical proximity 19 according to an embodiment of the present invention 200832171 UMCD-2006-0434 21933tw.doc Steps 221 to 235 of the hybrid optical proximity effect correction method according to another embodiment of the present invention: hybrid optical proximity according to another embodiment of the present invention Steps 401 to 409 of the effect correction method: Steps 310 and 320 of the analog optical proximity effect correction method according to another embodiment of the present invention: layout pattern • 311, S: layout pitch 313, W: layout width 330: Correction pattern 340: reference table 701 · boundary line 703, 705: critical point 20

Claims (1)

200832171 umu^006-0434 21933tw.doc/n •申請專利範圍: L一種光案的校正方法,該校正方法包括下列步 ’該佈局槽案對應於-佈局圖荦. 根據該佈局圖案之佈局條一 ^ 及-第二光學鄰近修 A、 —光學鄰近修 案; 去其中之一’以修正該佈局圖 若選,該第-光學鄰近修正法修正 生一第一修正圖案;以及 ^ 口茶,則產 案不圖二該第-修正圖 該佈局圖案,以產生先學鄰近修正法修正 利耗圍第1項所述之光罩圖案的修正方 法,其中更包括下列步驟: 正方 右該^-修正圖案不符合該預設規格 規則式光學鄰近修正表,修正該佈局圖案,該改 光學鄰近修正表具有多組特殊佈局條件之修正規則規則式 的修正方 、3.如中請專利範圍第i項所述之光罩圖案的 法,其中接收該佈局檔案之步驟更包括·· 對該f局圖案進行布林邏輯運算,以 的檔案格式。 叩句圖案 4·如申請專利範圍第丨項所狀鮮圖案的修正 法’其ί該第—光學鄰近修正法為模擬式光學鄰近修正 法,該第二光學㈣修錢絲準式光學鄰近修正法: 21 200832171 UMCD-2006-0434 21933tw.doc/n 、5·如申請專利範圍第1項所述之光罩圖案的修正方 法,其=該第二光學鄰近修正法為模擬式光學鄰近修正 法,該第一光學鄰近修正法為基準式光學鄰近修正法。 、6·如申請專利範圍第丨項所述之光罩圖案的修正方 法其中在該佈局圖案之佈局條件包括一佈局寬度I一 局間距。 &quot; 、7·如申請專利範圍第1項所述之光罩圖案的修正方 法、’其中在根據該佈局圖案之佈局條件,選擇該第一光學 鄰近修正法及該第二光學鄰近修正法其中之—,以修正該 2圖案之步驟中,包括❹—混合式光學修正f以選 擇違弟-光學鄰近修正法及該第二光學鄰近修正法其中之 =曱料郷_丨項所述之光罩_的修正方 /,/、中在根據該佈局圖案之佈局條件, ,修正法及該第二光學鄰近修正法其中之修^ 2圖案之步射,包括根據該佈局圖案之佈局條件,選 改良規則式光學鄰近修正表,修正該佈局圖案。 ^-種光罩圖案的校正方法,該方法包括下列步驟·· 接收-佈局標案’該佈局槽案對應於一佈局. 3該佈局_之佈局條件,_—模擬式光學鄰近 少料正該佈局圖案,並產生—第—修正圖宰以 安不第—修正_與1設規格,若該第二修正圖 規格,則使用—基準式光學鄰近修正法, 从屋生一弟二修正圖案。 22 200832171 UMCD-2006-0434 21933tw.doc/n 法,=7^;1:^第9項所述之光罩圖案的修正方 規則不改良 光學鄭㈣Τ本w 補局目*,該改良規則式 n f表具有讀特殊佈局條件之修正規則。 法,接申收於圍第9項所述之光罩圖案的修正方 法八中接收該佈局槽案之步驟更包括: 的檀ίίΓ _妨料難運算,轉_佈局圖案 法,Γ中如之 間距。加狀佈局條件包括—佈局寬度與-佈局 方法,該概括下列步驟: 根據該佈局圖案之佈::::::基鄰 修正法修正ϊ佈局圖案,並產生-第二修近 案不設規格,若該第二修正圖 ;該佈:圖 =生==光學鄰— 法,=^專==第13項所述之光罩圖案的修正方 規則残合_驗,職據—改良 規則式先學一近修正表,修正該佈局圖案, 光學鄰近修正表具有多組特殊佈局條件之修正規貝)。、工 23 200832171 umuu-/006-0434 21933tw.doc/n 15·如申請專利範圍第13項所述之光罩圖案的修正方 法,其中接收該佈局檔案之步驟更包括: 對該佈局圖案進行布林邏輯運算,以轉換該佈局圖宰 的檔案格式。 卞 16·如ΐ請專利範圍第13項所述之光罩_的修正方 '其中在佈局圖案之佈局條件包括—佈局寬度與一佈局 24200832171 umu^006-0434 21933tw.doc/n • Patent application scope: L A correction method for a light case, the correction method includes the following steps 'The layout slot case corresponds to a layout pattern 荦. According to the layout pattern of the layout pattern ^ and - second optical proximity repair A - optical proximity correction; one of them 'to correct the layout diagram, the first optical proximity correction method to correct a first correction pattern; and ^ mouth tea, then The production case does not show the layout pattern of the first-correction map, so as to generate a correction method for correcting the reticle pattern described in Item 1 by the proximity correction method, which further includes the following steps: The pattern does not conform to the preset specification regular optical proximity correction table, and the layout pattern is corrected. The modified optical proximity correction table has a plurality of sets of special layout conditions, and the correction rule is a regular correction type. 3. The patent scope is the i-th item. The method of reticle pattern, wherein the step of receiving the layout file further comprises: performing a Boolean logic operation on the f-office pattern, in an archive format. Haiku pattern 4 · As in the patent application scope, the modified pattern of the fresh pattern is the same as the optical proximity correction method, the second optical (four) repair money quasi-optical proximity correction The method of modifying the reticle pattern according to claim 1, wherein the second optical proximity correction method is an analog optical proximity correction method. The first optical proximity correction method is a reference optical proximity correction method. 6. The method of modifying a reticle pattern as described in claim </ RTI> wherein the layout condition of the layout pattern comprises a layout width I of a pitch. &quot;, 7. The method for modifying a reticle pattern according to claim 1, wherein the first optical proximity correction method and the second optical proximity correction method are selected according to layout conditions according to the layout pattern. In the step of correcting the pattern 2, the ❹-mixing optical correction f is selected to select the light of the off-optical-optical proximity correction method and the second optical proximity correction method. The correction method of the cover_, /, in the layout condition according to the layout pattern, the correction method and the step of the second optical proximity correction method, including the layout condition according to the layout pattern, The regular optical proximity correction table is modified to correct the layout pattern. ^-The method for correcting the reticle pattern, the method comprising the following steps: · receiving - layout standard 'the layout slot case corresponds to a layout. 3 layout _ the layout condition, _ - analog optical proximity is less Layout pattern, and generate - the first - correction map slaughter to Ampere - correction _ and 1 set specifications, if the second correction map specifications, use - reference optical proximity correction method, from the house to a younger two correction pattern. 22 200832171 UMCD-2006-0434 21933tw.doc/n method, =7^;1:^The correction rule of the mask pattern described in item 9 does not improve the optical Zheng (4) Τ本 w 补目目*, the improved rule The nf table has a correction rule for reading special layout conditions. The method of receiving the layout of the reticle pattern described in item 9 of the ninth item further includes: the lan ί Γ 妨 妨 妨 妨 妨 布局 布局 布局 布局 布局 布局 布局 布局 布局 布局 布局 布局 布局 布局 布局 布局 布局 布局 布局 布局 布局 布局spacing. The additive layout condition includes a layout width and a layout method, and the following steps are summarized: According to the layout pattern: :::::: base correction method corrects the layout pattern, and generates - the second revision does not have a specification If the second correction map; the cloth: Fig. = raw == optical neighboring method, =^ special == the correcting rule rule of the reticle pattern described in item 13 _ inspection, job data - improved rule First learn a correction table to correct the layout pattern. The optical proximity correction table has multiple sets of special layout conditions. The method for modifying a reticle pattern according to claim 13 , wherein the step of receiving the layout file further comprises: fabricating the layout pattern Forest logic operations to convert the layout format of the layout graph.卞 16·If you want to modify the reticle _ described in the 13th patent range, the layout conditions in the layout pattern include—the layout width and a layout.
TW96103237A 2007-01-29 2007-01-29 Method for correcting photomask pattern TW200832171A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
TW96103237A TW200832171A (en) 2007-01-29 2007-01-29 Method for correcting photomask pattern

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
TW96103237A TW200832171A (en) 2007-01-29 2007-01-29 Method for correcting photomask pattern

Publications (1)

Publication Number Publication Date
TW200832171A true TW200832171A (en) 2008-08-01

Family

ID=44818814

Family Applications (1)

Application Number Title Priority Date Filing Date
TW96103237A TW200832171A (en) 2007-01-29 2007-01-29 Method for correcting photomask pattern

Country Status (1)

Country Link
TW (1) TW200832171A (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI452480B (en) * 2008-11-27 2014-09-11 United Microelectronics Corp Method to determine process window
TWI587162B (en) * 2014-08-05 2017-06-11 台灣積體電路製造股份有限公司 Method of generating modified layout and resistance-capacitance extraction system

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI452480B (en) * 2008-11-27 2014-09-11 United Microelectronics Corp Method to determine process window
TWI587162B (en) * 2014-08-05 2017-06-11 台灣積體電路製造股份有限公司 Method of generating modified layout and resistance-capacitance extraction system
US9710588B2 (en) 2014-08-05 2017-07-18 Taiwan Semiconductor Manufacturing Company, Ltd. Method of generating modified layout for RC extraction
US10019548B2 (en) 2014-08-05 2018-07-10 Taiwan Semiconductor Manufacturing Company, Ltd. Method of generating modified layout and system therefor

Similar Documents

Publication Publication Date Title
TW567396B (en) Method for fabricating mask pattern, computer program product, method for manufacturing photomask, and method for manufacturing semiconductor device
US7735053B2 (en) Correction method and correction system for design data or mask data, validation method and validation system for design data or mask data, yield estimation method for semiconductor integrated circuit, method for improving design rule, mask production method, and semiconductor integrated circuit production method
TWI443448B (en) Mask design and opc for device manufacture
US20080178140A1 (en) Method for correcting photomask pattern
US20090007053A1 (en) Method of Manufacturing Mask for Semiconductor Device
US8225237B2 (en) Method to determine process window
JP4413825B2 (en) Latent image calculation method, mask pattern creation method, and semiconductor device manufacturing method
TWI456420B (en) Method and computer-readable storage medium for determining an improved process model by modeling mask corner rounding effects
US11675958B2 (en) Lithography simulation method
CN109840342A (en) The method executed by computing system
US8056032B2 (en) Methods for measuring mean-to-target (MTT) based on pattern area measurements and methods of correcting photomasks using the same
TWI237746B (en) Optical proximity correction method
JP5395340B2 (en) Process model creation method, process model creation program, and pattern correction method
US8443309B2 (en) Multifeature test pattern for optical proximity correction model verification
JP2004302263A (en) Method for correcting mask pattern and photomask
TW200832171A (en) Method for correcting photomask pattern
JP2011028120A (en) Method for forming pattern, program for forming pattern, and method for manufacturing semiconductor device
TW201013746A (en) Method for constructing OPC model
JP2004163472A (en) Method for designing photomask, photomask, and semiconductor device
JP2008020734A (en) Design pattern preparation method for semiconductor device, program, and method of manufacturing the semiconductor device
US9086634B2 (en) Production method and evaluation apparatus for mask layout
JP5322443B2 (en) Mask pattern data generation method and semiconductor device manufacturing method
US8042068B2 (en) Method for processing optical proximity correction
Pang et al. Source mask optimization (SMO) at full chip scale using inverse lithography technology (ILT) based on level set methods
Huckabay et al. Process results using automatic pitch decomposition and double patterning technology (DPT) at k1eff< 0.20