TW200536057A - A trench and a trench capacitor and method for forming the same - Google Patents

A trench and a trench capacitor and method for forming the same Download PDF

Info

Publication number
TW200536057A
TW200536057A TW094111582A TW94111582A TW200536057A TW 200536057 A TW200536057 A TW 200536057A TW 094111582 A TW094111582 A TW 094111582A TW 94111582 A TW94111582 A TW 94111582A TW 200536057 A TW200536057 A TW 200536057A
Authority
TW
Taiwan
Prior art keywords
trench
layer
capacitor
patent application
semiconductor
Prior art date
Application number
TW094111582A
Other languages
Chinese (zh)
Other versions
TWI260070B (en
Inventor
Dietmar Temmler
Original Assignee
Infineon Technologies Ag
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from DE102004019863A external-priority patent/DE102004019863A1/en
Application filed by Infineon Technologies Ag filed Critical Infineon Technologies Ag
Publication of TW200536057A publication Critical patent/TW200536057A/en
Application granted granted Critical
Publication of TWI260070B publication Critical patent/TWI260070B/en

Links

Classifications

    • AHUMAN NECESSITIES
    • A01AGRICULTURE; FORESTRY; ANIMAL HUSBANDRY; HUNTING; TRAPPING; FISHING
    • A01DHARVESTING; MOWING
    • A01D87/00Loaders for hay or like field crops
    • A01D87/12Loaders for sheaves, stacks or bales
    • A01D87/127Apparatus for handling, loading or unrolling round bales
    • AHUMAN NECESSITIES
    • A01AGRICULTURE; FORESTRY; ANIMAL HUSBANDRY; HUNTING; TRAPPING; FISHING
    • A01BSOIL WORKING IN AGRICULTURE OR FORESTRY; PARTS, DETAILS, OR ACCESSORIES OF AGRICULTURAL MACHINES OR IMPLEMENTS, IN GENERAL
    • A01B63/00Lifting or adjusting devices or arrangements for agricultural machines or implements
    • A01B63/02Lifting or adjusting devices or arrangements for agricultural machines or implements for implements mounted on tractors
    • AHUMAN NECESSITIES
    • A01AGRICULTURE; FORESTRY; ANIMAL HUSBANDRY; HUNTING; TRAPPING; FISHING
    • A01DHARVESTING; MOWING
    • A01D75/00Accessories for harvesters or mowers
    • A01D75/04Sheaf carriers

Abstract

A method for fabricating a trench includes providing a semiconductor substrate made of a semiconductor material. A trench is etched into a surface of the semiconductor substrate such that a trench wall is produced. At least one layer is provided on the trench wall. This step is performed in such a way that the topmost layer provided on the trench wall is constructed from a sealing material. A selective epitaxy method is carried out in such a way that a monocrystalline semiconductor layer is formed on the surface of the semiconductor substrate and preferably no semiconductor material grows directly on the sealing material. A partial trench is etched in a surface of the epitaxially grown semiconductor layer. This step is performed in such a way that at least part of the layer made of the sealing material is uncovered. An uncovered part of the layer made of the sealing material is then removed.

Description

200536057 五、發明說明(1) ---〜 發明所屬之技術領域 ;ί發明與’冓槽製造方法、-溝槽電容製造方法、-記憶單兀製造方法,與-溝槽,-溝槽電容,以及具有所 述溝槽電容之記憶單元有關。 先前技術 動態隨機存取記憶體(DRAMs)之記憶單元一般包含一 儲存電容和一選擇電晶體(selection transistor)。儲存 於儲存電谷内之資汛以電荷形式表達邏輯量^與^。藉字元 線(word line)驅動讀出電晶體(read — 〇ut transist〇r)或 鲁選擇電广體’、可將儲存於儲存電容内之資料從位元線(b h 11 ne)碩出。為了電荷儲存之可靠性與資料讀出之可區別 性,儲存電容必需有一最低電容值。目前儲存電容之電 下限約為25fF。 記憶體儲存密度一代一代地增加,一電晶體記憶單元 所需面積必須-代比一代小,同時儲存電容之最低電 需維持不變。 一高達“bit的世代,讀出電晶體與儲存電容皆為平面 =件。從4Mbi t記憶體世代開始,記憶單元靠儲存電容之 維排列以進一步縮減面積。將儲存電容於溝槽中為一可 W性。在這個例子中,鄰接於溝槽壁之擴散區和填滿於溝 槽之換雜多晶石夕作為儲存電容之電極。儲存電容的電極沿 著溝槽表面排列,這樣可擴大儲存電容之有效面積,其係 衫響電谷值大小且與基板表面儲存電容之空間需求有關, 該空間需求與溝槽截面積一致。減少溝槽截面積並同時增200536057 V. Description of the invention (1) --- ~ the technical field to which the invention belongs; the invention and the manufacturing method of the trench, the manufacturing method of the trench capacitor, the manufacturing method of the memory unit, and the trench, and the trench capacitor And related to a memory cell having the trench capacitor. Prior art memory cells of dynamic random access memories (DRAMs) generally include a storage capacitor and a selection transistor. The information stored in the storage power valley expresses logical quantities ^ and ^ in the form of electric charges. The word line drives the read transistor (read — 〇ut transist〇r) or Lu selects the electric wide body ', can store the data stored in the storage capacitor from the bit line (bh 11 ne) . In order to distinguish the reliability between charge storage and data readout, the storage capacitor must have a minimum capacitance value. The current lower limit of the storage capacitor is about 25fF. The memory storage density increases from generation to generation. The area required for a transistor memory cell must be smaller than that of the first generation, while the minimum power requirement of the storage capacitor remains unchanged. For generations up to "bit, both the readout transistor and the storage capacitor are flat = pieces. Starting from the 4Mbit memory generation, memory cells are arranged by the dimension of the storage capacitor to further reduce the area. The storage capacitor in the trench is one Wability. In this example, the diffusion region adjacent to the trench wall and the filled polycrystalline stone filled in the trench serve as the electrodes of the storage capacitor. The electrodes of the storage capacitor are arranged along the surface of the trench, which can be enlarged The effective area of the storage capacitor is related to the valley value of the shirt capacitor and is related to the space requirement of the storage capacitor on the substrate surface. The space requirement is consistent with the cross-sectional area of the trench.

200536057 五、發明說明(2) 加溝槽深度可進一步增 過去完成了許多為 法’其一是縮小儲存介 脹的方法放大溝槽電容 糙度改變來增加溝槽内 粒(HSG ,hemispherica 進階的方法包含靠 耗,或用金屬電極以大 另外,為了增加溝 貫取代先前一氧化氮介 常數介電質,或金屬電 性。另外,實際上新技 為了生產高深寬比 之比值大,必需最佳化 數,例如藉由能量、電 壓力,流動、蝕刻時間 硬擋罩之個別層厚度與 溝槽電容之#刻方法愈 ^例而言,因為蝕刻速 氣降低。結果,用以蝕 塊面積。同時,目前技 為60至70 。 申請公開號1 〇 2 〇 2 2003/0 1 36994美國專利 加封裝密度。 了增加溝槽電容之儲 電層厚度。更進一步 内部表面(瓶狀)。此 面積,例如:塗佈多 1 grain) 〇 增加摻雜來縮小電容 大降低阻值。 槽電容之電容值可用 電質。可能有疑問的 極’尤是是這些金屬 術需先被開發以得到 之溝槽結構,即深度 钱刻堆疊硬擋罩和蝕 漿役度、頻率 '偏壓 專參數之最佳化。此 材質亦需最佳化。然 來愈接近技術上和經 率和蝕刻選擇率隨著 刻溝槽之硬擋罩表面 術所能達到之深寬比 1 4 0之德國專利與申 ’其係描述一單晶體 存電容值之方 ,用溼化學膨 外,可藉由粗 晶矽半球形顆 電極之電子消 咼k值之介電 是引入高介電 之溫度靈敏 新材料。 與直徑或寬度 刻溝槽之參 、蝕刻氣體、 外’溝槽餘刻 而,目前製造 濟上的極限。 蝕刻深度增加 已I虫刻掉一大 最大值估計約 請公開號 石夕基板之腔穴200536057 V. Description of the invention (2) Adding the depth of the trench can be further increased. In the past, many methods have been completed. One is to reduce the storage swell method to amplify the change in the roughness of the trench capacitance to increase the groove inner particle (HSG, advanced hemispherica advanced The method involves relying on consumption, or using metal electrodes to increase the size. In addition, in order to increase the trench to replace the previous nitric oxide dielectric constant dielectric, or metal electrical properties. In addition, in order to produce high aspect ratios, the new technology must be large. The optimization number, for example, the thickness of the individual layer thickness of the hard shield and the trench capacitance by means of energy, voltage, flow, and etching time. For example, the etching rate is reduced. As a result, it is used to etch the block. Area. At the same time, the current technology is 60 to 70. Application Publication No. 1020 2003/0 1 36994 US patent plus package density. Increase the thickness of the storage layer of the trench capacitor. Further internal surface (bottle). This area, for example: coating more grain) Increase the doping to reduce the capacitance and reduce the resistance. The capacitance of the tank capacitor can be used as a capacitor. It may be questionable, especially the metal trenches that need to be developed to obtain the trench structure, that is, the depth and thickness of the stacked hard cover and the etch serviceability, and the optimization of the frequency and bias specific parameters. This material also needs to be optimized. However, it is more and more close to the technical and warp rate and etching selectivity. With the depth-to-width ratio of 1 40 that can be achieved by the hard cover surface of the groove, the German patent and application are described in terms of a single crystal capacitor value. In addition, with the wet chemical expansion, the dielectric value of the k value can be eliminated by the electrons of the coarse-grained silicon hemispherical electrode, which is a temperature-sensitive new material that introduces high dielectric. With the diameter or width of the groove, the etching gas, and the outer 'groove are left for a while. However, the current manufacturing economic limit. The etching depth has been increased, and a large value has been etched. The maximum value is estimated to be disclosed.

第.8頁 200536057 五、發明說明(3) -- 選擇性蠢晶生長法。德國與美國專利在此為參考。例如, 此方法可被用來形成一矽基板上溝槽電容之溝槽,所述溝 槽電容只有在實施高溫步驟後完成,且述溝每 施高溫步驟後橫向蠢晶式生長。 曰、有在幾 發明内容 本發明一目的為提供一種產生高深寬比溝槽之方法。 、本發明另一目的為提供一種產生高深寬比溝槽電容之 方法。 本發明另 φ憶單元之製造 槽、一溝槽電 根據本發 包含提供一以 板有一表面。 開口處 該開口 基板表 向生長 •、有 移除 一目的 方法。 容,及 明較佳 半導體 該半導 壁。至 密封材 ,被該 以完成 層表面 覆蓋物 成溝槽 法,一 導體材 以使得 為具體指出一 此外, 一具所 之具體 材料組 體基板 少一層 料組成 密封材 一種選 餘刻局 。最後 有一側 表面由 面之上 ’如此 式生長半導體 部分無 由此完 另一種說 板,其係由半 刻出一溝槽, 本發明 述溝槽 實施例 成之半 之表面 或充填 。單體 料所覆 擇性磊 部溝槽 ,密封 製造溝槽之方 料所組成。在 一溝槽壁得以 種具所述溝槽 之具體實施例 電容之記憶單 ,一溝槽製造 導體基板,該 由一開口被蝕 被提供以遮罩 半導體層形成 蓋之該開口之 晶方法。之後 ,如此由密封 材料層之無覆 電容之記 提供一溝 元。 方法其係 半導體基 刻,該的 側壁並使 於半導體 該表面橫 ,在磊晶 材料層最 蓋部分被 法包含提供一半導基 所述半導體基板表面蝕 產生。在溝槽壁上提供P.8 200536057 V. Description of the invention (3)-Selective stupid crystal growth method. German and US patents are incorporated herein by reference. For example, this method can be used to form a trench of a trench capacitor on a silicon substrate, the trench capacitor can only be completed after the high temperature step is performed, and the trench is laterally staggered after each high temperature step is performed. SUMMARY OF THE INVENTION An object of the present invention is to provide a method for generating trenches with a high aspect ratio. It is another object of the present invention to provide a method for generating a high aspect ratio trench capacitor. According to the present invention, the manufacturing of a groove and a groove according to the present invention includes providing a plate with a surface. At the opening The opening The substrate grows in the surface direction, there is a way to remove it. The semiconductor, and preferably the semiconductor wall. To the sealing material, the surface of the layer is covered with a trench method, a conductive material is used to specifically point out, and in addition, a specific material group substrate has one less layer of material to form the sealing material. Finally, one side of the surface is grown on the surface, so that the semiconductor portion is not grown in this way. Another type of plate is formed by half-cutting a trench, which is a half of the surface or filling of the trench embodiment of the present invention. The single material covers the selective grooves, and is composed of the materials used to seal the grooves. In a trench wall, a memory sheet having a specific embodiment of the trench and a capacitor, a trench is used to fabricate a conductor substrate, and the opening is etched to provide a semiconductor method to cover the opening of the semiconductor layer to form a cover. After that, a groove is provided by the record of the uncovered capacitance of the sealing material layer. The method is based on semiconductor etching, the sidewalls and the surface of the semiconductor are transverse, and the topmost part of the epitaxial material layer is formed by providing half of the semiconductor substrate by etching. Available on the trench wall

第9頁 200536057 五、發明說明(4) 至少一結構層。實施這個步驟以使得提供於溝 上層由-密封材料所組成。實施—選擇性蠢晶法以使2 曰】:導?層形成在-半導體基板上且沒有半導體材料直接 ^長於雄、=材料上。在一磊晶式生長半導體層蝕刻出一部 分溝槽。f施這個#驟以使得由密封材 最少有-部分為沒有覆蓋。接著所述由密封材 結構層之無覆蓋部分被移除。 f發明之具體實施例提供一方法藉由已發展出之技術 可用來在半導體基板上產生有特別高之寬深比之溝槽。所 产溝槽被應用在很多需要特別高之寬深比溝槽的領二例 如^微積電領域或感測器技術領域,例如液體或氣體之地 下殊通道系統之製造。 制、生更提供一製造溝槽電容的方法,其係包含前述 ^ ^ 溝抬之方法步驟,和提供鄰接溝槽壁之一底部電容 電極,一儲存介電質及一上電容電極之製造步驟,1係彡 少部分配置於中。 a Λ 、因此,根據本發明之具體實施例,原則上由習知之方 J在半,體基板上形成電容溝槽,電容溝槽經適當的清潔 ^破覆蓋之,如此所述溝槽表面未曝露於外。尤直,提供 溝槽壁至少有一結構層,如此提供於溝槽壁上之^上戶由 岔封材料所組成。 曰 下步,移除剩餘溝槽之银刻擔罩後,實施一選擇蠢 晶法藉由基板表面磊晶式生長之單晶矽層。換言之,在基 板表面上產生一平滑、封閉之磊晶層,在基板上蝕刻出之 200536057 五、發明說明(5) --- 溝槽το全被保留。這是可以理解的,尤其藉由適合方式覆 =住溝槽壁,優點在於選擇性磊晶法一開始溝槽壁未被覆 蓋’選擇性蠢晶法由一非元素矽之密封材料建構而成,此 材料即單晶體矽、多晶矽或非結晶矽,,非金屬材料,非 所謂化合物材料,例如·· S i Ge或矽化物。再者,選擇性地 使用蠢晶法使結構層生長在單晶體石夕層區域。 更明確地說,一選擇性磊晶方法通常使用一氣體混合 物,例如,包含了矽曱烷(silane)或二氣矽甲烷 (jiiChl〇roSilane),和一蝕刻用氣體,如:氯化氫。所述 _選擇性磊晶法利用蝕刻氣體蝕刻在不同材質上生長之矽速 $不同的效果。因此,尤其,設定所述方法的參數以使得 單晶體矽之蝕刻速率小於矽之生長速度,如此,生成於矽 上全部的矽層厚度增加。例如,相比之下,二氧化矽 (S i 〇2)在封材料上之多晶矽晶種層被蝕刻遠大於矽之生成 速專1結果,由於密封材料所覆蓋區域橫向生長,矽只生 長在單晶體表面區域上,一磊晶單晶矽層形成於所述區 域。二氯矽甲烷之流量通常是氯化氫之h2至丨.8倍。 接下來在磊晶式成長矽層上靠傳統方法生成部分溝 ^曹,所述部分溝槽連結於形成在半導體基板上之溝槽。更 •確地’ m’j部分溝槽以使得由該密封材料層所組成之 結構層的至少一部分沒有被覆蓋。 任意重,之以上前述方法步驟,有可能可製造任意深 度之溝礼藉由目如可取得之技術,使製造高深寬比之電 容溝槽可能化。因為現有之製造方法可使用,省下了發展Page 9 200536057 V. Description of the invention (4) At least one structural layer. This step is performed so that the upper layer provided to the trench is composed of a sealing material. Implementation-Selective stupid crystal method so that: The layer is formed on a -semiconductor substrate and there is no semiconductor material directly on the material. A portion of the trench is etched in an epitaxially grown semiconductor layer. This step is applied so that the sealing material has at least-partly no coverage. The uncovered portion of the sealing material structure layer is then removed. A specific embodiment of the invention provides a method that can be used to create trenches having a particularly high aspect ratio on a semiconductor substrate by means of developed technology. The grooves produced are used in many collars that require particularly high aspect ratio grooves, such as in the field of microelectronics or sensor technology, such as the manufacture of sub-channel systems in liquid or gas fields. The manufacturing and manufacturing method provides a method for manufacturing a trench capacitor, which comprises the aforementioned method steps of trench lifting, and manufacturing steps of providing a bottom capacitor electrode adjacent to a trench wall, a storage dielectric, and an upper capacitor electrode. A small part of the 1 series is located in the middle. a Λ. Therefore, according to a specific embodiment of the present invention, in principle, a capacitor trench is formed on a half-body substrate by a conventional method J, and the capacitor trench is covered by proper cleaning, so that the surface of the trench is not Exposed. In particular, it is provided that the trench wall has at least one structural layer, so that the households provided on the trench wall are composed of a branch sealing material. In the next step, after removing the silver engraved mask of the remaining trenches, a selective crystal method is implemented to epitaxially grow a single crystal silicon layer on the substrate surface. In other words, a smooth, closed epitaxial layer is generated on the surface of the substrate, and the substrate is etched on the substrate. 200536057 V. Description of the invention (5) --- The trenches το are all retained. This is understandable, especially by covering the trench wall in a suitable way. The advantage is that the selective epitaxy method is not covered at the beginning. The selective stupid method is constructed from a non-elemental silicon sealing material. This material is monocrystalline silicon, polycrystalline silicon or amorphous silicon, non-metallic materials, non-so-called compound materials, such as Si Ge or silicide. Furthermore, the staggered crystal method is used to selectively grow the structural layer in the region of the single crystal stone layer. More specifically, a selective epitaxy method typically uses a gas mixture, for example, containing silane or jiiChoroSilane, and an etching gas, such as hydrogen chloride. The _selective epitaxy method uses an etching gas to etch silicon grown on different materials at different speeds. Therefore, in particular, the parameters of the method are set so that the etching rate of the single crystal silicon is smaller than the growth rate of silicon, and thus, the thickness of the entire silicon layer formed on the silicon is increased. For example, in comparison, the polycrystalline silicon seed layer of silicon dioxide (Si02) on the sealing material is etched much larger than that of silicon. As a result, due to the lateral growth of the area covered by the sealing material, silicon only grows on On the single crystal surface region, an epitaxial single crystal silicon layer is formed in the region. The flow rate of dichlorosilyl methane is usually h2 to 丨. 8 times that of hydrogen chloride. Next, a partial trench is formed on the epitaxially grown silicon layer by a conventional method, and the partial trench is connected to a trench formed on a semiconductor substrate. More precisely, the 'm'j part of the groove is such that at least a part of the structural layer composed of the sealing material layer is not covered. Arbitrary weight. It is possible to manufacture trenches of any depth using the above-mentioned method steps. It is possible to manufacture capacitor trenches with high aspect ratios by using techniques that are readily available. Saves development because existing manufacturing methods can be used

第11頁 200536057 五、發明說明(6) ' --- =需之費用。此外,有可能不使用感溫材料而達到更高電 容值,雖然根據本發明之方法包含感溫材料之使用。 根據本發明之方法可經由不同之修改而體現之。例 如,溝槽之每一蝕刻步驟之後,提供底電容電極,介電声 =上電容電極在蝕刻出之溝槽或部分溝槽。同樣地,有^ 能開始不填滿溝槽,只在全部溝槽堆疊完成後提供電容 極和介電層。 此 槽,或 I電極和 面,I虫 層和上 根據適 外’然而也有可能完成兩 一個溝槽和一個或一個以 完成溝槽之 刻部分溝槽 電容電極之 合之順序來 介電層之後, ,等等。另外 步驟不需要連 個或任意數目的部分溝 上的部分溝槽在提供電容 然後下一蠢晶層鋪於表 ,形成底電容電極、介電 續實施,更確切地說,可 例子’必需在溝槽壁上鋪 避免蠢晶式生長之石夕材料 之犧牲材料,可完全或部 部分溝槽完成後移除。例 氧化矽,其係用以在接下 域。 介電材料鋪只有在溝槽堆 成在無後續磊晶步驟所帶 •高k值介電質或金屬電 處理。 在一空溝槽中磊晶式生長的 組成之結構以 一層由密封材料所 在溝槽 另 分填充 如,犧 加熱 此 來之熱 容電極 壁上生長。 一方法,有 於溝槽,並 牲材料可包 步驟中實施 外,假如一 後,在這例 負荷下提供 可能引入任意 在溝槽堆疊或 含高摻雜之二 一摻雜溝槽區 電容電極或一 子中然後有可 感溫材料,如Page 11 200536057 V. Description of Invention (6) '--- = Expenses required. In addition, it is possible to reach higher capacitance values without using a temperature-sensitive material, although the method according to the invention includes the use of a temperature-sensitive material. The method according to the invention can be embodied by different modifications. For example, after each etching step of the trench, a bottom capacitor electrode is provided, and the dielectric sound = the trench or part of the trench that is etched by the upper capacitor electrode. Similarly, it is possible to start not filling the trenches, and only provide capacitors and dielectric layers after all trenches are stacked. This slot, or I electrode and surface, I worm layer and upper layer are suitable according to the external conditions. However, it is also possible to complete two trenches and one or one of the trench capacitor electrodes in order to complete the trench. , ,and many more. The other step does not need to connect one or any number of partial trenches to provide capacitance and then lay the next stupid layer on the surface to form a bottom capacitor electrode and continue the dielectric implementation. To be more precise, an example 'must be in the trench The sacrifice material of the stone material that avoids stupid growth can be laid on the groove wall, and it can be removed completely or partially after the groove is completed. Example silicon oxide, which is used in the next field. Dielectric materials are only deposited when trenches are stacked without subsequent epitaxial steps. • High-k dielectric or metal treatment. The structure composed of epitaxial growth in an empty trench is further filled with a layer of sealing material in the trench. For example, the growth of the heat-capacitor electrode wall is then sacrificed. A method, which can be implemented in the trench, and the material can be packaged in the step. If one is provided, under this load, it is possible to introduce any capacitor in the trench stack or a highly doped trench region containing a doped trench electrode. Or in one child there is a temperature sensitive material, such as

第12頁 200536057Page 12 200536057

於半導體基板之溝 之溝槽側壁可被設 磊晶式生長層的厚度最好小於蝕刻 槽深度。這樣提供蝕刻於磊晶式生長層 定成目標之優點。 9 伟士=:分溝槽直握以小於下部之部分溝槽直徑為 ί二ΐ 憶單元之進階元件能相容於最上方之磊晶 層二尤其是選擇電晶體和位元線接觸點。因此形成 一電谷=空間需求較小並有較高之電容值。 ^ ΐί Ϊ為底電容電極或上電容電極和介電層之材料為 曰々之:料’尤其’電容電極之材料可能是高摻雜 厲:述障礙層由絕緣層製造,所述絕緣層配置於基 ΐ i Γ ί 間且被遮斷——經常在較低的區域一一為了 =與金屬層接觸。尤其,石夕化物層由一高熔點之金屬 匕有同熔點之材料,其係適合作為金屬電極之材料。 口右是一二氧化石夕/氮化石夕⑻汍)堆疊層或 ^仆初ίτ η石、3虱化矽、氧化鋁(Al2〇3)、氧化錫(Ti02)、 乳化^(Τ%〇5)或其它高k值之介電質。 麟層母摻:dt層皆可有摻雜’其係不同於下方之結 可自b #用麻ί 2電性而定。另外,每一個部分溝槽中,有 豆2不同於f ΐ電極和上電容電極及儲存介電質之材料, ,、,、二;〃、它部分溝槽或最底部電容電極之材料。 容介電上體!施例藉由一具有一底電容電極、-電 貝π上電容電極之溝槽電容,至少部分配置於一The trench sidewalls of the trenches of the semiconductor substrate may be provided. The thickness of the epitaxial growth layer is preferably smaller than the depth of the etching trench. This provides the advantage of targeting the etched epitaxial growth layer. 9 Weishi =: Divided grooves and grips are smaller than the lower part of the groove diameter. The advanced elements of the memory unit are compatible with the epitaxial layer on the top. Especially the choice of the contact point of the transistor and bit line. . Therefore, a valley is formed = small space requirement and high capacitance value. ^ ΐ Ϊ is the material of the bottom capacitor electrode or the upper capacitor electrode and the dielectric layer: the material of the 'especially' capacitor electrode may be highly doped: the barrier layer is made of an insulating layer, and the insulating layer is configured Between the base ΐ i Γ ί and is interrupted-often in the lower area one by one = for contact with the metal layer. In particular, the stone oxide layer consists of a high melting point metal and a material having the same melting point, which is suitable as a material for a metal electrode. To the right of the mouth is a monolithic oxide / nitrified mineral oxide) stacked layer or ^ service stone, 3 silica, alumina (Al203), tin oxide (Ti02), emulsified ^ (Τ% 〇). 5) or other high-k dielectrics. Lin layer mother doping: dt layer can be doped ’, which is different from the junction below. It can be determined from b # 用 麻 ί 2 electrical properties. In addition, in each part of the trench, there are two materials different from the fΐ electrode, the upper capacitor electrode, and the storage dielectric; 〃, the material of the part of the groove or the bottom capacitor electrode. Capacitive dielectric body! In the embodiment, a trench capacitor having a capacitor electrode at the bottom and a capacitor electrode at the top of the capacitor is at least partially disposed in a capacitor.

200536057 五、發明說明(8) 溝槽中’所述之底電容電極鄰接於一溝槽壁,且所述之溝 槽具有一最小直徑並有一深度,所述之深度與所述之直徑 比值大於70,尤其大於8〇,特別是大於或等於85為佳。 本發明之具體實施例提供一具有高深寬比之溝槽電 容,以提供一空間需求特別小之高儲存電容值之溝槽電 容0 平面圖示中,電容溝槽通常為橢圓形而非圓形。換言 之,沿兩不同斷面方向有兩種直徑。假如蝕刻於半導體基 板上之溝槽和所有部分溝槽有相同之直徑,那麼最小直徑 相當於所有部分溝槽之最小直徑或最小寬度。反之,假如 最上之溝槽至少有一方向之直徑小於其下方之溝槽,如此 最小直徑相當於最上部分溝槽之最小直徑。 。根,f 一步的觀點,本發明提供一半導基板,包含由 一早晶丰導體材料所組成之第一基板部分,一由該單晶半 :體::斗:組成之第二基板部分,該之第二基板部分定義 ;且多數溝槽向-垂直基板表面方向延伸, 在4第基板部分上形成該溝槽。 侧尤ΐ門所述第二基板部分之厚度範圍在_nm至 i〇OOnm之間,主要在8〇〇至15〇〇nm之間。 施方式 然而以I ί :=明ί發明較佳具體實施例之製造與使用。 乡、m彳b & ί i二2疋本發明提供許多應用性之發明概念可 ^ A ^ ^ ^ 用途下。本發明之特定具體實施例僅 作為S兄明本發明之製造與使用之用途,但不限制發明之範200536057 V. Description of the invention (8) The bottom capacitor electrode in the trench is adjacent to a trench wall, and the trench has a minimum diameter and a depth, and the ratio of the depth to the diameter is greater than 70, especially greater than 80, and particularly preferably greater than or equal to 85. A specific embodiment of the present invention provides a trench capacitor with a high aspect ratio to provide a trench capacitor with a high storage capacitance value with a particularly small space requirement. In the plan view, the capacitor trench is generally oval rather than circular. . In other words, there are two diameters along two different cross-sectional directions. If the trench etched on the semiconductor substrate has the same diameter as all the trenches, the minimum diameter is equivalent to the minimum diameter or the minimum width of all the trenches. Conversely, if the diameter of the uppermost groove in at least one direction is smaller than the diameter of the groove below it, the smallest diameter is equivalent to the smallest diameter of the uppermost groove. . From the perspective of step f, the present invention provides a half-conducting substrate including a first substrate portion composed of an early-earth conductor material, and a second substrate portion composed of the single crystal half: body :: bucket: The second substrate portion is defined; and most of the grooves extend in a direction perpendicular to the surface of the substrate, and the grooves are formed on the fourth substrate portion. The thickness of the second substrate portion of the lateral door is in the range of _nm to 1000nm, and is mainly in the range of 8000 to 1500nm. Mode of implementation However, I ί: = 明 ί Manufacture and use of preferred embodiments of the invention. Township, m 彳 b & I 2 2 The present invention provides many applicable inventive concepts that can be used under ^ A ^ ^ ^ applications. The specific embodiments of the present invention are only for the purpose of making and using the present invention, but not limiting the scope of the invention.

第14頁 200536057 五、發明說明(9) 圍。 依照本發明之第一具體實施例,一總深度約丨〗8 #贝之 溝槽電容,用一磊步驟、兩光罩步驟以定義出溝槽電容。 ^,個例子甲,每一溝槽蝕刻後,直接提供底電容電極及 :存介電質及上電容電極。然而’明顯地,依照本發明, 耳先敍刻出出全部深度之溝槽,接者由熟知之方法製造底 電容電極、儲存電容介電質和上電容電極。 - 根據第1圖,半導體基板2之表面i上為一厚度3nm之二 乳化矽層3和一厚度220nm氮化物層4 (例如:氮化矽),一 厚度62〇nm之硼磷矽玻璃層(圖中未標示)鋪於其上。此處 才曰出之特定厚度僅為舉例用,亦可使用其它厚度。 利用一微影光罩(圖中未標示)將硼磷矽玻璃層、氮化 例如:進一步使用 溝槽5内一溝槽壁3 1 例如:藉由一硫 二層/tf二氧化矽層3定義出圖案’例如:甲烷/三氟曱烧 二CHFa)電漿蝕刻以形成一硬擋罩。使用所述之硬擋罩 乍為I虫刻播罩在主區域1 I虫刻出溝槽5 ’化氫/三氣化氮(HBr/NF3)電漿♦虫; 沒有覆蓋。之後,移除硼磷矽玻璃層 酸/氫氟酸(HJfVHF)的溼蝕刻方法: H ’溝槽5深度為6· 6⑽,寬度約100至250nm,溝槽 ’距為1一〇〇nm。如第1圖所示之結構因而產生。 下步驟,使用一n+摻雜之區域6來製造底電容電極 :。’如第2圖所示其係藉由攝氏1〇〇〇度熱處賴秒的步 ,以二積-厚度50nm之砷摻雜之矽酸鹽玻璃“ 〇Pe si licate glass)層和一厚度2〇nm之四乙氧基矽烷Page 14 200536057 V. Description of Invention (9). According to a first specific embodiment of the present invention, a trench capacitor having a total depth of about 8 # is defined by a one-step process and two mask steps to define the trench capacitor. ^, An example A, after each trench is etched, a bottom capacitor electrode and a dielectric capacitor and an upper capacitor electrode are directly provided. However, obviously, according to the present invention, the ears are first engraved with grooves of all depths, and then the bottom capacitor electrode, the storage capacitor dielectric, and the upper capacitor electrode are manufactured by a well-known method. -According to the first figure, the surface i of the semiconductor substrate 2 is a two-emulsion silicon layer 3 with a thickness of 3 nm, a nitride layer 4 with a thickness of 220 nm (for example, silicon nitride), and a borophosphosilicate glass layer with a thickness of 62 nm. (Not shown in the figure). The specific thicknesses shown here are for example only, other thicknesses may be used. Use a lithographic mask (not shown in the figure) to nitrify the borophosphosilicate glass layer. For example, further use a trench wall 3 in the trench 5 1 For example, by using a sulfur two layer / tf silicon dioxide layer 3 A pattern is defined, for example: methane / trifluorosulfonate (CHFa) plasma etching to form a hard shield. Use the hard cover as described above. At first, the insect cover is engraved in the main area. 1 The insect is engraved with a groove 5 ′ hydrogen / three gas nitrogen (HBr / NF3) plasma plasma. There is no cover. Thereafter, the borophosphosilicate glass layer is removed by a wet etching method of acid / hydrofluoric acid (HJfVHF): H ′ trench 5 has a depth of 6.6 ⑽, a width of about 100 to 250 nm, and a trench ’pitch of 110 nm. The structure shown in Fig. 1 is thus produced. In the next step, an n + doped region 6 is used to manufacture the bottom capacitor electrode :. 'As shown in Fig. 2, it is a step of 10,000 degrees Celsius heat for one second, a layer of arsenic-doped silicate glass with a thickness of 50 nm, and a thickness of 〇Pe silicate glass. 20nm tetraethoxysilane

200536057 五、發明說明(10) 时了氧化矽(TEOS-Si 02)層。在這個例子中,在完成之記憶 單元配/置中作為一獨立電容之底電容電極6a之摻雜區域 6玉/、係由在半導體基板2上之碎摻雜之石夕酸鹽玻璃層向外 擴散形成。一氣相摻雜法為另一選擇,例如:參數如下, 攝氏9 0 0 度,3托耳1^人(1:1^1)111^1&]:幻1^)[33%],12分鐘。 、移除砷摻雜之矽酸鹽玻璃層和TE〇s_si〇2層,例如:再 认使用對氮化矽和矽有選擇性之銨/氫氟酸蝕 步驟。 ^ 儿積尽度之氮化石夕和一厚度i.5nm之二 5層:為介電層7。另一方法’介電層7包含氧化鋁、 =声:化鈕或其它習知介電材料。接著,同-位置上 ⑽摻雜多晶矽層8作為上電容電極。如第2 圖所不之結構因而吝& 。+祕 办 研磨(⑽)以平面化之 後’夕晶石夕層8使用化學機械 回據第圖’多晶石夕填充物8由半導體基板2表面1向下 口钱1 0 n m。例如,以』·惫外访,e p、h 者,如繁4 IS1张-,、亂化&(SF6)蝕刻實施這個步驟。接 於溝槽填充表面上。例如,一這可由7充= 2。在這個例子t,密封層9厚度約為^ n、m乳=^用完 =度電獅)法,沉積二氧化:夕層二可藉由 ;大=,。之後,利用習知方法移除硬擋罩 物,如第5圖所示之結構因而產生。 S旱4之剩餘 之後’如第6圖所示為一選擇性曰 基板表面1上生長一厚产5 日日日方法,例如,在 長厚度5⑽之早晶石夕層。例如,蟲晶法可200536057 V. Description of the invention (10) A silicon oxide (TEOS-Si 02) layer is used. In this example, the doped region 6 of the capacitive electrode 6a, which is the bottom of an independent capacitor in the completed memory cell configuration / arrangement, is directed by a fragmented doped silicate glass layer on the semiconductor substrate Outer diffusion is formed. One-phase gas doping method is another option, for example, the parameters are as follows: 900 ° C, 3 Torr 1 ^ person (1: 1: 1 ^ 1) 111 ^ 1 &]: magic 1 ^) [33%], 12 minute. 3. Remove the arsenic-doped silicate glass layer and the TEOS_SiO2 layer. For example, recheck the use of ammonium / hydrofluoric acid etching steps that are selective for silicon nitride and silicon. ^ Nitrile oxide and a thickness of i.5nm bis. 5 layers: for the dielectric layer 7. Another method 'dielectric layer 7 comprises alumina, = acoustic: chemical button or other conventional dielectric materials. Next, an erbium-doped polycrystalline silicon layer 8 is used as an upper capacitor electrode in the same-position. The structure as shown in Figure 2 is therefore 吝 &. + Secretary After grinding (⑽) for planarization, the spar spar layer 8 uses chemical machinery. According to the drawing, the polycrystalline spar filling 8 is from the surface 1 of the semiconductor substrate 2 to the mouth and 10 n m. For example, to carry out this step with "tired foreign visits, e p, h, such as Fan 4 IS1 Zhang-, chaos & (SF6) etching. It is on the trench filling surface. For example, this can be charged by 7 = 2. In this example t, the thickness of the sealing layer 9 is about ^ n, m milk = ^ used up = degree of electric lion) method, and the deposition of dioxide: the second layer can be used; After that, the hard cover is removed by a conventional method, and the structure shown in FIG. 5 is generated. After the remainder of the drought 4 ', as shown in Fig. 6, a selective method for growing a thick-yield 5-day-day-day method on the substrate surface 1 is, for example, a layer of 5 ⑽ long presparite. For example, the worm crystal method can

第16頁 200536057 五、發明說明(11) 能是一化學氣相沉積(CVD)的方法,使用溫度攝氏90 0度, 流虿1 8 0 s c c m (標準條件下每分鐘每立方公分)之二氯石夕甲 烧和溫度攝氏9 0 0度,流量60seem之氯化氳。在這個例子 中,一磊晶空腔1 0形成於每一密封層9之中央。 尤其,由第6圖可看出,一半導體基板包含由單晶半 導體材料所組成之第一基板部分2,由單晶半導體材料所 組成之第二基板部分11,該第二基板部分丨丨為磊晶層,其 係曝露於所述第一部分之上,且一該第二基板部分表面定 義出一基板表面;多數溝槽5向一垂直於該基板表面方向 •延伸,在該第一基板部分2形成該溝槽5。尤其,第二基板 部分厚度可為6 0 0nm至3/zm間,主要為8〇〇nm至ΐ·5//πι間。 接著’由習知之方法在磊晶式沉積矽層丨丨定義出圖 案’並I虫刻出一溝槽其係鄰接於先前钱刻出之溝槽。 如第7圖所示,首先一厚度3ηπι之二氧化矽層3和一厚 &度22〇11111之氮化矽層4再一次鋪於選擇性生長磊晶層丨丨之表 面16 ’ 一厚度62〇nm之硼磷矽玻璃層12鋪於其上。之後, 根據習知之方法塗佈阻一光阻層j 3。 一用以定義第一溝槽圖案之光罩亦被用來做光阻層丨3之 ^光、’然而,亦可使用開口較小之光罩。下方溝槽結構5 t對準由特殊對準光罩完成為佳。 p由硬光罩層微影定義圖案和移除光阻層1 3後,接著, t選擇性反應離子蝕刻RIE溝槽蝕刻將溝槽光罩轉印至磊 晶層Y上’而由下方完整溝槽5之密封層9停止蝕刻。相對 ; 氧化夕石夕遥擇性地被I虫刻,如第8圖所示之結構因Page 16 200536057 V. Description of the invention (11) It can be a chemical vapor deposition (CVD) method, using a temperature of 90 ° C and a flow rate of 1 8 0 sccm (per cubic centimeter per minute under standard conditions). Shi Xijia roasted with thorium chloride at a temperature of 900 degrees Celsius and a flow of 60 seem. In this example, an epitaxial cavity 10 is formed in the center of each sealing layer 9. In particular, it can be seen from FIG. 6 that a semiconductor substrate includes a first substrate portion 2 composed of a single crystal semiconductor material, and a second substrate portion 11 composed of a single crystal semiconductor material. The second substrate portion is The epitaxial layer is exposed on the first portion, and a surface of the second substrate portion defines a substrate surface; most of the trenches 5 extend in a direction perpendicular to the surface of the substrate and extend in the first substrate portion. 2 forms the trench 5. In particular, the thickness of the second substrate portion may be between 600 nm and 3 / zm, and is mainly between 800 nm and ΐ · 5 // m. Next, a pattern is defined in an epitaxial deposition of a silicon layer by a conventional method, and a groove is etched, which is adjacent to the groove engraved by the previous money. As shown in FIG. 7, first a silicon dioxide layer 3 with a thickness of 3 ηι and a silicon nitride layer 4 with a thickness of 2211111 are laid on the surface of the selective growth epitaxial layer again. A borophosphosilicate glass layer 12 of 6200 nm is laid thereon. After that, a photoresist layer j 3 is applied according to a conventional method. A mask for defining the first groove pattern is also used as the light of the photoresist layer. However, a mask with a smaller opening can also be used. The 5 t alignment of the lower trench structure is preferably performed by a special alignment mask. After the pattern is defined by the lithography of the hard mask layer and the photoresist layer 13 is removed, then, the t-reactive ion etching RIE trench etching transfers the trench mask onto the epitaxial layer Y and is completed from below. The etching of the sealing layer 9 of the trench 5 stops. Relatively; the oxidized Xi Shi Xi was selectively carved by I insects, as shown in Figure 8 for structural reasons

第17頁 200536057 丨丨―_丨· 丨 五、發明說明(12) 而產生。之後’使用稀釋氫氟酸(DHF , dilute hydrofluoric acid)移除密封層9,如第9圖所示之結構因 而產生。 之後’以似第2圖所述之方式在蝕刻出之部分溝槽上 產生底電容電極和儲存介電質。然而,普遍而言在底電容 ,極形成之期間,為避免部分溝槽之摻雜,必需考慮到上 4之#分溝槽區域之覆蓋,所述區域之絕緣環形成較晚。 介電;::?二藉由其它習知方法產生底電容電極和錯存 電貝虽然疋可行的。之後,如第1〇圖所示一間隔材料 " 例^ ·矽(無結晶矽),保形沉積厚度約1 5至20nm。 底部ΪΠ5Γ ’由反應離子蝕刻法移除底部分溝槽5 所述門^ i 儲存介電層7。在反應離子蝕刻法移除 時’位於垂直之溝槽壁上之介電層7由 14=遵。在以選擇性溼蝕刻清除間 ' ^ ^ 15f ' ^ ^ A ^ ^ 中,介電屏7知卜带〜Γ 電電極6 ,在這個例子 但這“削槽V容極 =槽電晶體處有重疊和接 於該儲:電j之m:記憶單元結構之儲存電容和連結 此步驟元:造:述於下。 出現。明顯地’根據發明亦可了完:性才 』田八匕任思早兀概念實現溝 第18頁 200536057 五、發明說明(13) 槽電容。 声1 6下1 η 〇图斤示下一步驟,多晶石夕充填8回#至磊晶 槽側壁上介電層以用習知方法钮刻沒有覆蓋之溝 厚度25⑽之二氧化'U之深度°之後’保形沉積一 在溝槽上部形成二4 : °非4向性地敍刻二氧化梦層17以 防止寄生電晶體^絕緣環。二氧化石夕絕緣環17用以 _ P asitle transistor)在此位置發展。 電容溝i。二1^ ί雜之多晶石夕層以在環形區域填滿儲存 借德择+ i 矽層回蝕至磊晶層11表面下約1 20nm以準 備後^埋接。如第13圖之結構因而產生。 乂丰 為完成埋接區17f除去埋接之覆蓋。 沉積η摻雜多晶石夕戶於^卜%夕/面的氮化過程之後,再度 法平面化。所述之、Y錯♦ θ 並以化學機械研磨方 ,.《儿積多晶石夕層由蟲晶層11表面16向下π 钱約4〇nm。(凹槽敍刻,recess etching)矛面16向下回 絕緣結構1 8横向定義出主動區域之界限 r:二影光罩(圖中未標示)可遮罩住主動區域。在 二姓刻深度與溝槽絕緣之深度有關Λ; ! 於其上。 日夕之乳化生成-熱二氧化矽薄層 ,2,以尚密度電漿方法沉積厚度25〇nm I :用LI機;:磨氮化石夕層4,使用麟酸 釋氮氣酉夂钮刻二氧化石夕,完成-絕緣結構18,Page 17 200536057 丨 丨 ―_ 丨 · 丨 V. Invention description (12). After that, the sealing layer 9 is removed using dilute hydrofluoric acid (DHF), resulting in the structure shown in FIG. 9. Thereafter, a bottom capacitor electrode and a storage dielectric are formed on the etched portion of the trench in a manner similar to that described in FIG. 2. However, in general, during the formation of the bottom capacitor and the pole, in order to avoid the doping of part of the trench, it is necessary to take into account the coverage of the ## trench region, which has a relatively late insulation ring formation. Dielectric; ::? Second, it is feasible to generate the bottom capacitor electrode and stray electric current by other conventional methods. After that, as shown in FIG. 10, a spacer material " Example ^ Silicon (without crystalline silicon) has a conformal deposition thickness of about 15 to 20 nm. The bottom ΪΠ5Γ ′ is used to remove the gate dielectric layer 7 of the bottom portion of the trench 5 by a reactive ion etching method. When the reactive ion etching is removed, the dielectric layer 7 located on the vertical trench wall is followed by 14 =. In the removal of selective wet etching, '^ ^ 15f' ^ ^ A ^ ^, the dielectric screen 7 knows the tape ~ Γ electric electrode 6, but in this example but "the groove V capacitor = the groove transistor has Superimposed and connected to the storage: electricity j m: storage capacitor structure of the memory cell and link this step element: manufacturing: described below. Appeared. Obviously 'according to the invention can also be completed: sexual talent' Early concept of realization of the trench Page 18 200536057 V. Description of the invention (13) Slot capacitance. Acoustic 16 under 1 η 〇 The figure shows the next step, polycrystalline stone filling 8 times # to the dielectric layer on the side wall of the epitaxial tank The conventional method is used to engraving the thickness of the trench that is not covered by the thickness of 25 ⑽. After the depth of U, the conformal deposition is formed on the upper part of the trench. Parasitic transistor ^ insulating ring. The dioxide dioxide insulating ring 17 is used to develop at this position. Capacitor trench i. Two 1 ^ miscellaneous polycrystalline silicon layers to fill the storage area in the annular area. Select the + i silicon layer to etch back to about 1 20nm below the epitaxial layer 11 to prepare for ^ embedding. The structure as shown in Figure 13 is thus generated. The buried area 17f is removed from the buried cover. After depositing the η-doped polycrystalline silicon, the planarization is performed again after the nitriding process of ^ %% / area. As described above, Y is wrong and θ is polished by chemical mechanical Fang ,. "Early polycrystalline stone layer from the surface of the worm crystal layer 11 down 16 π about 40nm. (Recess etching) spear surface 16 back down to the insulating structure 1 8 laterally defined active The boundary of the area r: Two shadow masks (not shown in the figure) can cover the active area. The depth at which the second name is engraved is related to the depth of the trench insulation Λ;! On it. Emulsification of the evening sun-thermal silica Thin layer, 2. Deposited by the density plasma method to a thickness of 250 nm. I: Using a LI machine ;: Grinding a layer of nitrided stone. 4. Carving of a stone with a linoleic acid release nitrogen button.

第19頁 .200536057 五、發明說明(14) 且移:f述:更擋罩層,即氮化石夕層4和二氧化石夕層3。 ^接者,、藉由一犧牲氧化層一遮護氧化物(SCreen 3 ' IT成。藉由微影光罩和植入形成n摻雜井和P摻雜 ’ 周圍區域和單元矩陣之選擇電晶體實施起始電屡 (入t V0Uage)植人。更進一步,實施高能離子植 & $ =MUried Weil —ImPlantn)以形成一連接底電容電 極之η摻雜區域1 5,。 合兔 隨後電晶體由通常習知之方法步驟,定 目當於連結和源極/沒極22。接著,記二 -,由已知方式形成金屬平板來完成。 第1 4圖為記憶單元概圖。溝槽電容28和底電容電極 a,儲存介電質7及上電容電極8配置於每個溝槽5之中, Ϊ :多晶矽填充體現之。上電容電極8藉由多晶矽區20和 扣,區19連結於選擇電晶體29之第一源極/汲極。在 2, : I :極’汲極仏、⑽間導電通道之傳導性為閑電極 第15圖為記憶單元8 F2單元架構(8 F2 cell architecture)設計之例子,記憶單元之配置,每—弋 早元’-儲存電容配置於-溝槽5和一平面選擇電晶體% 響Z之空間需求,f為該技術領域中最小能夠製造之特徵尺 1。位元線(BL)為帶狀且互相平行’丨寬度為F,間: 平面圖示中’字元線(WL)垂直位元線,同樣地, 寬度為F,間距為F。主動區域配置於字元線與位元線下 方,兩字元線交叉於主動區上。鄰接於位線下方之每一主Page 19 .200536057 V. Description of the invention (14) And move: f: more barrier layer, namely nitride stone layer 4 and dioxide layer 3. ^ Then, by a sacrificial oxide layer and a shielding oxide (SCreen 3 'IT). N-doped wells and P-doped's are formed by lithographic masks and implants. The crystal is implanted with an initial voltage (into V0Uage). Furthermore, high-energy ion implantation ($ = MUried Weil — ImPlantn) is implemented to form an n-doped region 15 connected to the bottom capacitor electrode. Closing the rabbit The transistor is then focused on the connection and source / dimer 22 by conventionally known method steps. Next, remember the second-and complete it by forming a metal flat plate in a known manner. Figure 14 shows the outline of the memory unit. The trench capacitor 28 and the bottom capacitor electrode a, the storage dielectric 7 and the upper capacitor electrode 8 are arranged in each trench 5, Ϊ: embodied by polycrystalline silicon filling. The upper capacitor electrode 8 is connected to the first source / drain of the selection transistor 29 through a polycrystalline silicon region 20 and a buckle. At 2,: I: The conductivity of the conductive channel between the drain and ⑽ is the idle electrode. Figure 15 is an example of the design of the 8 F2 cell architecture of the memory unit. The configuration of the memory unit is as follows: The early element'-storage capacitor is arranged in the trench 5 and a plane selection transistor% responds to the space requirement of Z, and f is the smallest feature size 1 that can be manufactured in this technical field. The bit line (BL) is band-shaped and parallel to each other. The width is F, and the vertical line of the word line (WL) is shown in the plan view. Similarly, the width is F and the interval is F. The active area is arranged below the character line and the bit line, and the two character lines cross the active area. Adjacent to each bit below the bit line

.200536057 五、發明說明(15) 一 =量。—位元線接觸點(BLK)配置於主動 = 各位元線和主動區A之間有一電連接。: 槽5配置於字兀線下方。主 ^ ^ 曰舻鬥K 9 1认 ^ 動& A之中’形成關聯之選擇電 B曰體閘極21於一字元線與_位元線之交叉點處。摔电 主動區A在兩溝槽5之間延伸,1 — 位元線接觸點連結於關聯之位元後=包含兩精由一常見 動之字元線來決定,從位於溝枰5之:上曰' *所驅 存電容讀出資訊。 I冓槽5之-或其它之溝槽5之儲 :本發明之第二具體實施例—致,製造一溝槽電容且 ί 了;“為曰此目的,定義最底部電容溝槽厂 而,日】!二〜 猫晶式生長-矽層之方法共四次。然 你丨子Γ 1、’、依照需求每當必要時可實施磊晶法。在這個 F ;fe I述出第二'具體實施例,首先製造下部四個溝槽 ϊί電;,垃製造底,容電極26 ’形成介電層7,製造上 ::巧。接者’製造密封層後鋪上第四遙晶層25。在 ίΐΓ。曰層25形成之部分溝槽5具有一較下部溝槽區小之 、二優點在於電容面積可大幅減小在電容值維持不 ::使:而,明㈣’在此所描述之方法可在第-具體實 ,也tH6圖所示之溝槽電容以與第1圖相同之方法製 溝槽之溝槽壁31之覆蓋。然、而,溝槽深度為 5· #m ’ I 度200nm,間距6〇nm。 溝槽清潔後,以熱氧化形成一覆蓋層27於溝槽壁上。 尤八,例如厚度12nm。覆蓋層27作為後續選擇性磊晶法之.200536057 V. Description of the invention (15) A = quantity. -The bit line contact point (BLK) is arranged at the active = there is an electrical connection between each bit line and the active area A. : The slot 5 is arranged below the word line. The main ^ ^ 舻 舻 9 K 9 1 cognition ^ movement & A among the formation of the associated selection circuit B 电 body gate 21 at the intersection of a word line and _ bit line. The active area A is extended between the two grooves 5, after the 1-bit line contact point is connected to the associated bit = the two fine lines are determined by a common moving character line, from the groove 5: On the last day, '* The storage capacitor reads out information. I. Slot 5-or other storage of trench 5: the second embodiment of the present invention-to make a trench capacitor and "; for this purpose, the bottom capacitor trench factory is defined, Day]! Two to four cat-crystal growth-silicon layer method four times. Then you can use epitaxial method whenever necessary according to demand. In this F; fe I describe the second ' In a specific embodiment, firstly, the lower four trenches are fabricated; the bottom is fabricated; the capacitor electrode 26 is formed to form a dielectric layer 7, and is manufactured on the side of: a clever layer. A fourth telecrystalline layer 25 is then laid on the sealing layer. The part of the trench 5 formed by the layer 25 has a smaller size than the lower trench region. The second advantage is that the capacitance area can be greatly reduced. The capacitance value is not maintained. The method can be described in the first embodiment, and the trench capacitor shown in tH6 is covered with the trench wall 31 made of the trench in the same way as in FIG. 1. However, the trench depth is 5 · # m ' I degree 200nm, pitch 60nm. After the trench is cleaned, a cover layer 27 is formed on the trench wall by thermal oxidation. Especially, for example, the thickness is 12nm. The cover layer 27 is used as Of selective epitaxy

.200536057 五、發明說明(16) 保遵層以避免碎蟲晶式生長於溝槽内壁。此外,覆蓋層27 亦作為之後上部蠢晶層11電容溝槽之餘刻終止用。如第1 7 圖所示之結構因而生成。 鋪好覆蓋層27後便移除硬擋罩,其係包含二氧化矽層 3和氮化矽層4與第一具體實施例相同,且實施與第一具體 貫施例相同生成單晶石夕之選擇性蠢晶法。尤其,由一化學 氣相沉積法生成一厚度4 · 3 # m的矽層,使用溫度攝氏9 〇 〇 度,流量180sccm之二氯矽甲烷和溫度攝氏9〇〇度,流量 6〇sccm之氯化氫° _ 磊晶層11於開放之溝槽5上橫向生長,所述溝槽完全 保留為空腔。更明確地說,一單晶體層形成於未填滿之溝 槽上。如第1 8圖所示之結構因而生成。 尤其’如第1 8圖所示,提供一半導體基板,其係包含 由單晶半導體所組成之一第一半導體基板部分2,與由該 單晶半導體所組成之一第二半導體基板部分丨〗。沉積於該 第一部分上之該第二基板部分丨丨為磊晶層,且由該第二部 分之表面定義出基板表面;且數個溝槽5向一垂直於該基 板之方向延伸,該之溝槽形成於該第一基板部分2。 接著,將磊晶式生長層11定義出圖案,其係與前述方 •相似。尤其,再一次鋪上二氧化矽層3,氮化矽層4,及 硼磷矽玻璃層1 2作為硬擋罩。一光阻層丨3塗佈並經適當之 對準後利用溝槽光罩曝光。在定義出硬擋罩後,如第丨9圖 之結構因而生成。 如第20圖所示,以反應離子蝕刻法於磊晶層丨丨蝕刻出.200536057 V. Description of the invention (16) Compliance layer to prevent fragmentary crystal growth on the inner wall of the trench. In addition, the cover layer 27 is also used as the termination of the capacitor trench of the upper stupid layer 11 later. The structure shown in Figure 17 is thus generated. After the covering layer 27 is laid, the hard cover is removed, and the system includes the silicon dioxide layer 3 and the silicon nitride layer 4 which are the same as those in the first embodiment, and are implemented in the same manner as in the first embodiment to generate a monocrystalline stone. Selective stupid method. In particular, a chemical vapor deposition method was used to generate a silicon layer with a thickness of 4 · 3 # m. The temperature was 900 ° C and the flow rate was 180 sccm of dichlorosilane. The temperature was 900 ° C and the flow rate was 60 sccm of hydrogen chloride. ° _ The epitaxial layer 11 grows laterally on the open trench 5, and the trench is completely retained as a cavity. More specifically, a single crystal layer is formed on an unfilled trench. The structure shown in Figure 18 is thus generated. In particular, as shown in FIG. 18, a semiconductor substrate is provided, which includes a first semiconductor substrate portion 2 composed of a single crystal semiconductor and a second semiconductor substrate portion composed of the single crystal semiconductor. . The second substrate portion deposited on the first portion is an epitaxial layer, and the surface of the substrate is defined by the surface of the second portion; and a plurality of grooves 5 extend in a direction perpendicular to the substrate. A trench is formed in the first substrate portion 2. Next, a pattern is defined for the epitaxial growth layer 11, which is similar to that described above. In particular, the silicon dioxide layer 3, the silicon nitride layer 4, and the borophosphosilicate glass layer 12 are once again laid as a hard cover. A photoresist layer 3 is applied and properly aligned, and then exposed with a trench mask. After the hard cover is defined, the structure as shown in Figure 9 is generated. As shown in FIG. 20, the epitaxial layer is etched out by a reactive ion etching method.

第22頁 200536057 五、發明說明(17) 溝槽’而由下方電容溝槽之覆蓋層27終止蝕刻。 例如使用稀釋氫氟酸移除覆蓋層27,以產生如第2 1圖 所示之結構。 之後’ 一新的覆蓋層27覆蓋形成並覆蓋整個溝槽壁 31。在移除殘留之硬擋罩3和4後,再一次使用化學氣相沉 積法生成一厚度4 · 3 e m之選擇性磊晶層2 3於磊晶層11上。 第二遙晶層23亦由前述方式定義圖案,磊晶層^與磊 曰曰層23與矽基板2上之溝槽直徑均相同。定義出電容溝槽 硬擋罩之圖案後,如第22圖之圖案因而產生。以前述方式 _於第二磊晶層上蝕刻出部分溝槽。 移除覆蓋層27並再一次沉積該層於整個溝槽上。移除 ;擋罩並形成一第三蟲晶層24,而又-選擇性蟲晶法完’、 成。如第23圖所示之結構因而產生。 々$人鋪上包含二氧化矽層3、氮化矽層4、及硼磷 夕玻璃層1 2之硬擋罩,一光阻声由習 前光微影步驟所使用之、、盖知方法塗佈並利用先 石硬擔罩之圖案。以前述方式於第二 μ日日層上蝕刻出部分溝槽。 。,接Λ/ν定義底電容電極6、儲存介電質7及上電容電極 由例子&可列以子是V第底電容電極再次由η摻雜區6形成。經 一 疋σ苐一具體實施例所述砷佈植矽酸玻璃 沉積於其上。一氣相J 層11、23、24 乂雅忐為另一方法,例如:參數如 第23頁 200536057 五、發明說明(18) :攝氏9 0 0 度,3 托耳TBA(tributylarsine) [33%],12 分 鐘。 一片之後,沉積一厚度4· 7nm之氮化矽層和一厚度丨· 5nm之 一氧化矽層作為介電層7,接著,同一位置沉積3〇〇1^之多 晶石夕。 如第一具體實施例,利用化學機械研磨以平面化沉積 之^晶石夕層8並钱刻至第三磊晶層表面下約1〇11111處。藉由 熱^化法或高密度電漿法形成一厚度12nm至15_之二氧化 石夕始、封層9 ’與第一具體實施例相似。 馨因為餘刻於第四磊晶層25之溝槽,其寬度較先前之溝 槽小’在這個例子中密封層9亦可確保兩電容電極6、8之 連續絕緣。 第24圖為最終之結構圖示,圖中可見沉積約4·3 之 蟲晶層2 5,一選擇性磊晶法再度完成。如第2 4圖所示,與 第一具體實施例相似,將該磊晶層2 5由二氧化矽層3、氮 化石夕層4、硼磷矽玻璃層丨2作為硬擋罩定義出圖案,而後 光阻層1 3塗佈並利用溝槽光罩曝光。最後一道圖案定義之 溝槽光罩之開口最好較先前小為佳。可減少記憶單元之空 間需求,並得到一高儲存電容之電容值及一高傳導性之上 Λ極8。 如第2 5圖所示,以類似第8圖與第1 2圖所述方法於第 四磊晶層2 5蝕刻出電容溝槽。 將密封層9沒有覆蓋之區域移除,所述之區域也就是 利用14刻部分溝槽3 〇而裸露出之部分。之後,使用前述之Page 22 200536057 V. Description of the invention (17) Trench 'The etching is terminated by the cover layer 27 of the capacitor trench below. The cover layer 27 is removed using, for example, dilute hydrofluoric acid to produce a structure as shown in FIG. 21. After that, a new cover layer 27 covers and forms the entire trench wall 31. After the remaining hard shields 3 and 4 are removed, a selective epitaxial layer 2 3 with a thickness of 4 · 3 e m is formed on the epitaxial layer 11 again using the chemical vapor deposition method. The second remote crystal layer 23 also defines a pattern in the foregoing manner, and the epitaxial layer ^ and the epitaxial layer 23 and the trenches on the silicon substrate 2 have the same diameter. After the pattern of the capacitor trench hard shield is defined, the pattern as shown in Fig. 22 is generated. In the foregoing manner, a part of the trench is etched on the second epitaxial layer. The cover layer 27 is removed and deposited again over the entire trench. The shield is removed and a third parasitic crystal layer 24 is formed, and the selective parasitic method is completed. The structure shown in Fig. 23 is thus produced. A hard cover including a silicon dioxide layer 3, a silicon nitride layer 4, and a borophosphorus glass layer 12 is used. A photoresistive sound is applied by the photolithography step used in the previous study. And use the pattern of the first stone hard cover. Part of the trench was etched on the second μ-day layer in the aforementioned manner. . Then, connect Λ / ν to define the bottom capacitance electrode 6, the storage dielectric 7, and the top capacitance electrode. By example & it can be listed that the Vth bottom capacitance electrode is formed by the n-doped region 6 again. An arsenic-implanted silicate glass is deposited thereon according to one embodiment. One-phase J-layers 11, 23, and 24 are another method. For example, the parameters are as described on page 23, 200536057. 5. Description of the invention (18): 90 ° C, 3 Torr TBA (tributylarsine) [33%] , 12 minutes. After one slice, a silicon nitride layer with a thickness of 4.7 nm and a silicon oxide layer with a thickness of 5 nm were deposited as the dielectric layer 7, and then a polycrystalline silicon oxide with a thickness of 3,000 nm was deposited at the same position. As in the first specific embodiment, chemically mechanical polishing is used to planarize the deposited spar crystal layer 8 and engraved to about 1011111 below the surface of the third epitaxial layer. The formation of a silicon dioxide starting layer and a sealing layer 9 'with a thickness of 12 nm to 15 mm by a thermal process or a high-density plasma process is similar to the first embodiment. Because the grooves carved in the fourth epitaxial layer 25 are smaller in width than the previous grooves, the sealing layer 9 can also ensure continuous insulation of the two capacitor electrodes 6, 8 in this example. Figure 24 is the final structure diagram. It can be seen that the worm crystal layer 25 deposited about 4 · 3, a selective epitaxy method is completed again. As shown in FIG. 24, similar to the first embodiment, the epitaxial layer 25 is defined by a silicon dioxide layer 3, a nitride layer 4, and a borophosphosilicate glass layer 2 as a hard shield. Then, the photoresist layer 13 is coated and exposed using a trench mask. The opening of the trench mask defined by the last pattern is preferably smaller than before. It can reduce the space requirement of the memory cell, and obtain a high storage capacitor capacitance value and a high conductivity above the Λ pole 8. As shown in FIG. 25, a capacitor trench is etched in the fourth epitaxial layer 25 by a method similar to that described in FIGS. 8 and 12. The area not covered by the sealing layer 9 is removed, that is, the area exposed by using the 14-groove portion 30 of the trench 30. After that, use the

200536057 五、發明說明(19) 方法於上部溝槽區域 最上方之溝槽形成之絕二,容電極,習慣上必需考量於 散。 彖每系能適當保護以避免佈植擴 之後’根據習知方法入 於上部溝槽區,間隔声=;1電層7,形成一間隔層14 後,同一位置整個上^ ^如非結晶矽。在移除間隔層 度約30〇nm,如第26圖之/以1換雜多晶石夕層8填滿,厚 Η〜ν、σ不苒因而產峰。 以類似於第一具體實施 ^ 供記憶單元之進階元件。 方法,凡成溝槽電容且提 如第2 6及2 7圖所+,4曰从。 截面積較上部溝槽區ι 明溝槽電容下方溝槽區之 體基板2、蟲晶層U、第、’所边下部溝槽區由半導 義,所述上部溝槽區Λ :/二、在巧 成前藉由底電容電極6a,$入二处在第四猫晶層形 、# μ # A & 介電質7及上電容電極8於下方 溝槽形成,以無收縮洞之方式填滿 下= ;Γ ^ ^ τ „ ^ ^ Wt 帛:j體實施例之另一變化型,當然也可將第四磊曰 • 25之,谷溝槽蝕刻成上下截面積相同。在這個例子中曰,曰 定義出第四磊晶層25圖案後形成底電容電極6與上電容 極8。在這個例子中,電容電極和介電質之材料以不能$ 擒蠢曰曰法過%中之高熱負荷是較有利的。 一 尤其絰由例子,一有摻雜層於其下之矽化物層可作200536057 V. Description of the invention (19) The second method of forming the groove on the top of the upper groove area is the capacitor, and it is customary to consider the dispersion.系 Each line can be properly protected to avoid spreading after installation. 'According to the conventional method, it is inserted into the upper trench area, and the interval sound is equal to 1 electrical layer 7. After forming a spacer layer 14, the entire position is on the same place. . After removing the spacer layer, the thickness is about 30nm. As shown in FIG. 26, the polycrystalline silicon layer 8 is filled with 1, and the thickness Η ~ ν and σ are not high, so that peaks are generated. An advanced element similar to the first embodiment is provided for the memory unit. Method, where a trench capacitor is formed and as shown in Figures 26 and 27+, 4 is called from. The cross-sectional area is higher than that of the upper trench area. The body substrate 2 of the trench area below the trench capacitor, the worm crystal layer U, and the lower trench area bordered by the semi-conductor are described above. Before the coincidence, the bottom capacitor electrode 6a is used to form the fourth cat-crystal layer, # μ # A & dielectric 7 and the upper capacitor electrode 8 are formed in the trench below, in a non-shrinking manner. Fill up =; Γ ^ ^ τ „^ ^ Wt 帛: Another variation of the j-body embodiment. Of course, the fourth groove can also be etched to 25. The valley trench is etched to the same cross-sectional area. In this example In the following, the bottom capacitor electrode 6 and the upper capacitor electrode 8 are defined after the pattern of the fourth epitaxial layer 25 is defined. In this example, the material of the capacitor electrode and the dielectric material cannot be used. A high thermal load is advantageous.-Especially by way of example, a silicide layer with a doped layer under it can be used.

第25頁 200536057 五、發明說明(20) 為底電容電極。一合適之矽化物層是一金屬矽化物層,例 如:耐火金屬矽化物層(refract〇ry metal silicide layer)藉下方摻雜層連結於基板。以類似於前述之方法形 成下方摻雜層。 y 再者,亦可使用包含一金屬層和一障礙層堆疊。在這 個例子中,障礙層包含一絕緣層,尤其是二氧化矽,直 f於溝槽壁且為了與其上之金屬層電接觸,較低區域為開 放的。金屬㉟包括氮化鈦、鱗、H或其它耐火 f化合物。尤其’所謂高k值材料可被用以作為儲存 ^,貝,尤其,多晶矽或其它金屬,或金屬 作為上電容電極。 奶』用以 介電ΐ二上Λ材料或上述材料之組合作為上電容電極8、 電層7和底電容電極6a可更提高電容值。 作為第二具體,實施例之另一進階變化方法, 上飯刻出溝槽5後,該溝槽也可以適合之 在土才 二氧切。如第17B圖所示,為-簡化之製程^滿, 二刻部分溝槽3。的步驟後,以犧牲層26填滿產生之部母分溝 在此配合圖示描述出本發明之具體實施例 $制的意思。本發明實施例之不同㈣改與“。2 或具體實施例。 、括各種修改Page 25 200536057 V. Description of the invention (20) is the bottom capacitor electrode. A suitable silicide layer is a metal silicide layer, for example, a refractory metal silicide layer is connected to the substrate through a lower doped layer. The lower doped layer is formed in a similar manner to the foregoing. y Furthermore, a stack including a metal layer and a barrier layer can also be used. In this example, the barrier layer includes an insulating layer, especially silicon dioxide, which is directly on the trench wall and in order to make electrical contact with the metal layer above it, the lower area is open. Metal hafnium includes titanium nitride, scale, H or other refractory f compounds. In particular, the so-called high-k material can be used for storage, especially polycrystalline silicon or other metals, or metals as upper capacitor electrodes. The milk is used as the upper capacitor electrode 8, the electric layer 7, and the bottom capacitor electrode 6a of the dielectric material and the upper Λ material or a combination of the above materials to further increase the capacitance value. As a second specific, another advanced variation method of the embodiment, after the groove 5 is engraved on the rice, the groove may also be suitable for dioxygen cutting in soil. As shown in FIG. 17B, for the simplified process, the groove 3 is partially etched in two steps. After the steps, the generated female ditches are filled with the sacrificial layer 26. The specific embodiment of the present invention is described with reference to the diagram. Different modifications of the embodiments of the present invention and ". 2 or specific embodiments." Including various modifications

200536057 圖式簡單說明 第1圖至第1 2圖為依照本發明之第一具體實施例製造溝槽 電容之步驟。 第1 3圖為進一步製造一記憶單元之步驟。 第1 4圖為本發明之第一具體實施例中一完成之記憶單元之 基本元件截面概圖。 第15圖為一 8 F2單元結構之佈局圖。 第1 6圖至第2 6圖為本發明第二具體實施例製造溝槽電容之 步驟。 第2 7圖為本發明之第二具體實施例中一完成之記憶單元之 > 基本元件截面概圖。 主要元件符號說明: A 主動區 BL位元線 BLK位元線接觸點 1表面 3 二氧化矽層 5溝槽 6a 底電容電極 8 上電容電極 1 0 蠢晶空腔 鲁2硼磷矽玻璃(BPSG)層 14間隔物 1 6蠢晶層表面 1 8 絕緣結構 2 0多晶矽填充物 WL字元線 2半導體基板 4氮化矽層 6 n +摻雜(n +-doped)區 7介電層 9密封層 11選擇性磊晶層 13 光阻層 1 5 η +掺雜區 17 絕緣環 19摻雜區 21閘電極200536057 Brief Description of Drawings Figures 1 to 12 are the steps for manufacturing a trench capacitor according to the first embodiment of the present invention. Figure 13 shows the steps for further manufacturing a memory unit. Figure 14 is a schematic cross-sectional view of the basic elements of a completed memory unit in the first embodiment of the present invention. Figure 15 shows the layout of an 8 F2 unit structure. 16 to 26 are steps for manufacturing a trench capacitor according to a second embodiment of the present invention. Fig. 27 is a schematic cross-sectional view of > basic elements of a completed memory unit in the second embodiment of the present invention. Description of main component symbols: A Active area BL bit line BLK bit line contact point 1 surface 3 silicon dioxide layer 5 trench 6a bottom capacitor electrode 8 upper capacitor electrode 1 0 stupid cavity cavity 2 borophosphosilicate glass (BPSG ) Layer 14 spacer 1 6 surface of stupid layer 1 8 insulation structure 2 0 polycrystalline silicon filler WL word line 2 semiconductor substrate 4 silicon nitride layer 6 n + doped (n + -doped) region 7 dielectric layer 9 sealing Layer 11 selective epitaxial layer 13 photoresist layer 1 5 η + doped region 17 insulating ring 19 doped region 21 gate electrode

第27頁 200536057Page 27 200536057

第28頁Page 28

Claims (1)

200536057200536057 一種製造溝槽之方法,該方法包含·· 提供一半導體材料所組成的半導體基板,該半導體基 在该半導體基板的該表面钱刻一開口 側壁; 该開口具有一 提供至少一層或填充物,使得該側壁被遮罩,且該開 口所形成之表面由一密封材料所組成;A method for manufacturing a trench, the method comprising: providing a semiconductor substrate composed of a semiconductor material, the semiconductor base engraving an opening side wall on the surface of the semiconductor substrate; the opening has a providing at least one layer or a filler, so that The side wall is covered, and the surface formed by the opening is composed of a sealing material; 晶法’使得於該半導體基板的該表 形成一早B曰半導體層,其中為該密封材料 開口的該表面橫向生長 在蠢晶成長半導體層的一表面蝕刻一 由该岔封材料層所組成之層的至少一 盍, 部分溝槽,使得 部分沒有被覆 2. 移除由該密封材料所組成之層 成該溝槽。 如申請專利範圍第丨項之方法 以實質上沒有半導體材料直接 施0 的無覆蓋部分,藉以完 其中該選擇性磊晶法是 於密封材料上生成而實 3. ❿ 如申請專利範圍第丨項之方法,更勺人· 在部分溝槽側壁上提供至少一芦社I 3 · 溝槽之一形成表面由一密封材料 丄Μ使得該部分 實施一選擇性磊晶法,使得_ 二成; 第一單晶層的該表面形成,其;=晶半導體層於該 該部分溝槽的該表面橫向生長。™在封材料所覆蓋之The crystal method makes an early semiconductor layer formed on the surface of the semiconductor substrate. The surface of the opening of the sealing material is laterally grown on a surface of the staggered semiconductor layer and a layer composed of the bifurcation material layer is etched. At least one of the grooves, part of the grooves, so that the part is not covered 2. Remove the layer composed of the sealing material to form the grooves. For example, the method of applying for the item No. 丨 of the patent application uses substantially no semiconductor material to directly cover the uncovered part, thereby completing the selective epitaxy method on the sealing material. 3. ❿ If the scope of application for the patent item No. 丨Method, more people · provide at least one Lushe I 3 on the side wall of a part of the groove; the surface of one of the grooves is formed by a sealing material 丄 M so that the part implements a selective epitaxy method, so that _ 20%; A single crystal layer is formed on the surface, and a crystalline semiconductor layer grows laterally on the surface of the portion of the trench. ™ Covered in Sealing Material 200536057 六、申請專利範圍 在第二單晶半導體層的一表面蝕刻一第二部分溝槽, 使得由密封材料所組成該層的至少一部分為沒有覆 蓋;以及 移除由該密封材料所組成該層沒有覆蓋部分。 4. 如申請專利範圍第3項之方法,其中至少一部分溝槽或 該第二部分溝槽具有一不同於其它溝槽或該開口直徑 的一直徑。 5. 如申請專利範圍第4項之方法,其中該第二部分溝槽直 徑小於該部分溝槽直徑,或該部分溝槽直徑小於該開 g 口直徑。 6. 如申請專利範圍第1項之方法,其中該單晶半導體層具 有一小於半導體基板上所蝕刻出的該開口深度的一厚 度。 7. 如申請專利範圍第1項之方法,其中該半導體基板包含 單晶體矽。 8. 如申請專利範圍第1項之方法,其中該單晶半導體層包 含單晶體矽。 9. 如申請專利範圍第1項之方法,其中該開口和該部分溝 槽具有相同的直徑。 _ 0.如申請專利範圍第1項之方法,其中該部分溝槽具有一 與該開口直徑不同之直徑。 11.如申請專利範圍第1 0項之方法,其中該部分溝槽直徑 小於該開口直徑。 1 2.如申請專利範圍第1項之方法,該方法更包含:200536057 6. The scope of the patent application: etching a second part of the trench on a surface of the second single crystal semiconductor layer, so that at least a part of the layer composed of the sealing material is not covered; and removing the layer composed of the sealing material No coverage. 4. The method of claim 3, wherein at least a part of the groove or the second part of the groove has a diameter different from the diameter of the other groove or the opening. 5. The method according to item 4 of the patent application, wherein the diameter of the second part of the groove is smaller than the diameter of the part of the groove, or the diameter of the part of the groove is smaller than the diameter of the opening g. 6. The method of claim 1, wherein the single crystal semiconductor layer has a thickness smaller than the depth of the opening etched on the semiconductor substrate. 7. The method of claim 1, wherein the semiconductor substrate comprises single crystal silicon. 8. The method of claim 1, wherein the single crystal semiconductor layer includes single crystal silicon. 9. The method according to item 1 of the patent application, wherein the opening and the part of the groove have the same diameter. _ 0. The method according to item 1 of the patent application, wherein the part of the groove has a diameter different from the diameter of the opening. 11. The method of claim 10, wherein the diameter of the grooves in the portion is smaller than the diameter of the openings. 1 2. If the method of item 1 of the patent application scope, the method further includes: 第30頁 200536057 六、申請專利範圍 形成一鄰接該開口的一壁之底電容電極; 形成一鄰接該底電容電極之電容介電質; 形成一鄰接該電容介電質之上電容電極,其中該底電 容電極、該電容介電質和該上電容電極至少部分配置 於該開口處, 1 3.如申請專利範圍第1 2項之方法,其中提供至少一結構 層或填充物包含該形成一底電容電極。 1 4.如申請專利範圍第1 3項之方法,其中提供至少一結構 層或填充物更包含提供該電容介電質。 1 5.如申請專利範圍第1 4項之方法,其中提供至少一結構 > 層或填充物更包含以一填充材料填充該開口及以一密 封材料所組成之結構層覆蓋該經填充開口的表面。 1 6,如申請專利範圍第1 5項之方法,其中該填充材料為一 適合形成上電容電極之材料。 1 7.如申請專利範圍第1 2項之方法,其中形成該底電容電 極、該電容介電質和該上電容電極的步驟是在該單晶 半導體層上蝕刻一部分溝槽後實施。 1 8.如申請專利範圍第1項之方法,其中提供至少一結構層 或填充物包含提供一犧牲層。 鲁9.如申請專利範圍第1 8項之方法,其中摻雜該犧牲層, 使得其適合於於下游熱處理步驟中鄰接半導體材料的 摻雜的實施。 2 0.如申請專利範圍第1 9項之方法,更包含實施鄰接半導 體材料的摻雜。Page 30 200536057 6. The scope of the patent application forms a bottom capacitor electrode adjacent to a wall of the opening; forms a capacitor dielectric adjacent to the bottom capacitor electrode; forms a capacitor electrode adjacent to the capacitor dielectric above, wherein the The bottom capacitor electrode, the capacitor dielectric, and the upper capacitor electrode are at least partially disposed at the opening. 1 3. The method according to item 12 of the patent application scope, wherein at least one structural layer or a filler is provided including the forming a bottom Capacitive electrode. 14. The method according to item 13 of the patent application scope, wherein providing at least one structural layer or filler further comprises providing the capacitor dielectric. 15. The method according to item 14 of the scope of patent application, wherein providing at least one structure > layer or filler further comprises filling the opening with a filling material and covering the filled opening with a structural layer composed of a sealing material. surface. 16. The method according to item 15 of the scope of patent application, wherein the filling material is a material suitable for forming an upper capacitor electrode. 17. The method according to item 12 of the scope of patent application, wherein the step of forming the bottom capacitor electrode, the capacitor dielectric, and the upper capacitor electrode is performed after etching a portion of the trench on the single crystal semiconductor layer. 18. The method of claim 1 wherein providing at least one structural layer or filler includes providing a sacrificial layer. Lu 9. The method according to item 18 of the scope of patent application, wherein the sacrificial layer is doped so that it is suitable for the doping of adjacent semiconductor materials in the downstream heat treatment step. 20. The method according to item 19 of the scope of patent application, further comprising performing doping of adjacent semiconductor materials. 第31頁 200536057 六、申請專利範圍 21·如申請專利範圍第18項之方法,其中該犧牲層包含; 氧化矽。 一種製造具有儲存電容之記憶單元的方法,特徵為/ 溝槽電容和一選擇電晶體,該方法包含: 提供一由半導體材料所組成之半導體導艚 基板有一表面; i θ t • 侧 22. 該開口有 在該半導體基板的該表面餘刻一開口 壁; 或填充物,使得該側壁為之所遮 實施- ϊ;性』:ί生表:由—密封材料所組成; 一單晶半導體芦曰曰1中t侍於該半導體基板表面形成 口的表面為橫二長密封材料所覆蓋之所述開 在該蠢晶成長的半導體層表面, 得由該密封材料層所組成:丨-部分溝槽,使 被覆蓋; 攝層的至少一部分沒有 移除由該密封材料所組成該結 以形成一溝槽; 《之無覆盍部分,糟 溝槽的一壁之底電容電極; =成郇接邊底電容電極之電容介· 形成一鄰接該電容介電質之— 貝’ 電容電極、該電容介電質和該上f ’其中該底 配置於該溝槽處;以及 電谷電極至少一部分 形成 選擇電晶體,其具有一第— 源極/沒極、一第Page 31 200536057 6. Scope of Patent Application 21. The method of claim 18, wherein the sacrificial layer contains; silicon oxide. A method for manufacturing a memory cell having a storage capacitor, characterized in that: a trench capacitor and a selection transistor, the method comprising: providing a semiconductor conductive substrate composed of a semiconductor material with a surface; i θ t • side 22. The opening has an opening wall etched on the surface of the semiconductor substrate; or a filler, so that the side wall is covered by it-ϊ; sex ": 生 Health table: composed of-sealing material; a single crystal semiconductor In the above description, the surface of the semiconductor substrate surface forming opening is covered by a horizontal two-long sealing material, and the surface of the semiconductor layer grown on the stupid crystal is formed by the sealing material layer: 丨 -part of the trench So that at least a part of the photographic layer does not remove the junction composed of the sealing material to form a trench; "the uncovered part, the capacitor electrode at the bottom of the trench; The capacitor dielectric of the bottom capacitor electrode forms a capacitor adjacent to the capacitor dielectric—the capacitor electrode, the capacitor dielectric, and the upper f ′, wherein the bottom is disposed at the trench; and the valley electrode At least a part selection transistor is formed having a first - source / no electrode, a first 第32頁 200536057Page 32 200536057 六、申請專利範圍 源極/汲極、一傳導通道及閘極,該上電容電極電傳導 連結於該選擇電晶體之第一源極/汲極上。 2 3 ·如申請專利範圍第2 2項之方法,其中實施選擇性磊晶 法’使付基本上沒有半導體材料直接生長於該密封材 料上。 24· —種於半導體主體上所形成之溝槽,該溝槽具有一深 度與一最小直徑,且該深度與該最小直徑之比值大於 70。 其中該深度與該最小 該深度與該最小 該溝漕係包含一 25·如申請專利範圍第24項之方法 > 直徑的該比值大於8 〇。 26·如申請專利範圍第25項之溝槽,其中 直徑的該比值大於或等於85。 ,其中該底電容 至少部分配置於 該溝槽電容包含 選擇電晶體,該 一第一和第二源 -和第二源極/汲 通道上方之一閘 2 7 ·如申請專利範圍第2 4項之溝槽,其中 溝槽電容部分,該溝槽電容更包含: 一底電容電極,鄰接於該溝槽的壁; 一電容介電質,鄰接於該底電容電極 一上電容電極,鄰接於該電容介電質 電極、該電容介電質和該上電容電極 溝槽。 |8·如申請專利範圍第27項之溝槽,其中 吕己憶單元部分,該記憶單元更包含一 選擇電晶體具有位於該半導體主體之 極/汲極區、位於該半導體主體之第_ 極區間之一傳導通道,以及在該傳導 200536057 六、申請專利範圍 極’該第一源極/>及極區電柄接於該上電容電極。6. Scope of patent application Source / drain, a conductive channel and gate, the upper capacitor electrode is electrically conductively connected to the first source / drain of the selection transistor. 2 3 · The method according to item 22 of the scope of patent application, wherein a selective epitaxy method is implemented so that substantially no semiconductor material is directly grown on the sealing material. 24. A trench formed on a semiconductor body, the trench having a depth and a minimum diameter, and the ratio of the depth to the minimum diameter being greater than 70. Wherein the depth and the minimum, the depth and the minimum, the gully contains a 25. The method according to item 24 of the patent application > The ratio of the diameter is greater than 80. 26. The groove of claim 25, wherein the ratio of the diameters is greater than or equal to 85. , Wherein the bottom capacitor is at least partially disposed in the trench capacitor including a selection transistor, the first and second source- and second source / drain channels above the gate 2 7 The trench capacitor includes a trench capacitor portion, and the trench capacitor further includes: a bottom capacitor electrode adjacent to a wall of the trench; a capacitor dielectric adjacent to the bottom capacitor electrode and a capacitor electrode adjacent to the bottom capacitor electrode; The capacitor dielectric electrode, the capacitor dielectric and the upper capacitor electrode trench. | 8. For example, the groove of the 27th in the scope of patent application, in which the Lu Jiyi unit part, the memory unit further includes a selection transistor having a pole / drain region located on the semiconductor body and a _ pole located on the semiconductor body. One of the conduction channels in the interval, and in the conduction 200536057 6. The scope of the patent application: The first source electrode and the pole region handle are connected to the upper capacitor electrode. 第34頁Page 34
TW094111582A 2004-04-23 2005-04-12 A trench and a trench capacitor and method for forming the same TWI260070B (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US56461304P 2004-04-23 2004-04-23
DE102004019863A DE102004019863A1 (en) 2004-04-23 2004-04-23 Trench capacitor manufacture, for memory cells, includes etching trench, preparing sealing layers on its walls and conducting selective epitaxial deposition process

Publications (2)

Publication Number Publication Date
TW200536057A true TW200536057A (en) 2005-11-01
TWI260070B TWI260070B (en) 2006-08-11

Family

ID=37149772

Family Applications (1)

Application Number Title Priority Date Filing Date
TW094111582A TWI260070B (en) 2004-04-23 2005-04-12 A trench and a trench capacitor and method for forming the same

Country Status (2)

Country Link
KR (1) KR100836831B1 (en)
TW (1) TWI260070B (en)

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6310375B1 (en) * 1998-04-06 2001-10-30 Siemens Aktiengesellschaft Trench capacitor with isolation collar and corresponding manufacturing method
TW522507B (en) 2001-10-29 2003-03-01 Winbond Electronics Corp Manufacturing method of semiconductor having trenched capacitor

Also Published As

Publication number Publication date
KR20060047383A (en) 2006-05-18
TWI260070B (en) 2006-08-11
KR100836831B1 (en) 2008-06-11

Similar Documents

Publication Publication Date Title
US9991277B1 (en) Three-dimensional memory device with discrete self-aligned charge storage elements and method of making thereof
CN105374688B (en) Embedded transistor
JP6550541B2 (en) Three-dimensional memory device including vertically isolated charge storage regions and method of forming the same
US9419012B1 (en) Three-dimensional memory structure employing air gap isolation
US9087895B2 (en) Vertical devices and methods of forming
CN110088901A (en) The three dimensional memory device and its manufacturing method of mechanical stability semiconductor pedestal with enhancing
TW495916B (en) System and method of forming a vertically oriented device in an integrated circuit
TWI253171B (en) Method for making radom access memory capacitors in shallow trench isolation
KR101831936B1 (en) Method for forming a thin film and method for manufacturing a semiconductor device by using the same
JP5147183B2 (en) Capacitor having nanotube and method of manufacturing the same
CN103050407B (en) Embedded Transistor
CN108155152B (en) Conductor structure, array of capacitors structure and preparation method
WO2014123084A1 (en) Semiconductor device and method for manufacturing same
TW469635B (en) Fabrication method of semiconductor memory cell transistor
TW201250935A (en) Semiconductor device and method of manufacturing the same
TW451425B (en) Manufacturing method for memory cell transistor
WO2022151697A1 (en) Semiconductor structure and manufacturing method therefor
US7410864B2 (en) Trench and a trench capacitor and method for forming the same
US6759335B2 (en) Buried strap formation method for sub-150 nm best DRAM devices
US7943474B2 (en) EDRAM including metal plates
TW552683B (en) Method for forming an SOI substrate, vertical transistor and memory cell with vertical transistor
CN208655659U (en) Transistor and integrated circuit memory
US11024645B2 (en) Three-dimensional memory device containing a silicon nitride ring in an opening in a memory film and method of making the same
CN208923134U (en) Integrated circuit memory
TW200536057A (en) A trench and a trench capacitor and method for forming the same

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees