TW200522154A - Method of preventing photoresist residues - Google Patents

Method of preventing photoresist residues Download PDF

Info

Publication number
TW200522154A
TW200522154A TW93117517A TW93117517A TW200522154A TW 200522154 A TW200522154 A TW 200522154A TW 93117517 A TW93117517 A TW 93117517A TW 93117517 A TW93117517 A TW 93117517A TW 200522154 A TW200522154 A TW 200522154A
Authority
TW
Taiwan
Prior art keywords
scope
patent application
item
process method
gas
Prior art date
Application number
TW93117517A
Other languages
Chinese (zh)
Other versions
TWI231528B (en
Inventor
Shang-Wei Lin
Hong-Chang Hsieh
Original Assignee
Taiwan Semiconductor Mfg
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US10/736,918 external-priority patent/US7279428B2/en
Application filed by Taiwan Semiconductor Mfg filed Critical Taiwan Semiconductor Mfg
Application granted granted Critical
Publication of TWI231528B publication Critical patent/TWI231528B/en
Publication of TW200522154A publication Critical patent/TW200522154A/en

Links

Landscapes

  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

A method to prevent photoresist residues formed in an contact or via hole is provided. The method includes using a halogen-containing plasma treatment before the contact or via hole is filled with a photoresist. Due to the halogen-containing plasma treatment, amine components on the sidewalls of a via or contact hole or trench opening can be efficiently removed. Accordingly, photoresist residues or via poison can be avoided.

Description

200522154 五、發明說明(1) -----—— 【發明所屬之技術領域】 = 一種半導體積體電路的製造方法,且特別 疋有關於#在半^體積體電路形成雙镶丧結構的方法。 【先前技術】 在半V體曰a 2中使用金屬導線使許多元件互相連結在一 起。了般而言,利用接觸窗將金屬導線連接至各個半導體 電路元件,而金屬導線之間使用介層窗來連接。通常金屬 導線及介層/接觸窗的連接點利用不同的微影、蝕刻及薄 膜沉積製程來製作。隨著半導體元件尺寸持續地縮小,使 得半導體晶片越來越小,且元件㈣度越來越冑,因此在 不同圖案層之間無法對準(Misal ignment )的情形經常發 生。習知技術中在半導體晶圓製程中使用一些方法來改善 微影製程的解析度,例如深紫外線(Deep ultra—violet: DUV)及超紫夕一卜線(Extreffle ultra — vi〇let, EUV)光蝕刻 技術,以提高半導體製程的解析度,其係使用波長為 1 9 3nm或是1 57nm之光源,乃至於更短之波長。 由於金屬導線的電阻值以及導線之間的寄生電容,產生另 一個半導體電路中多層内連線之電阻—電容(R c )延遲的問 題,這些是影響半導體電路操作速度的主要因素。其他方 式係使用各種材質來解決rc延遲的問題,例如在半導體產 業中經常使用低介電常數材質,因其具有較低的介電常 數’此外具有低電阻值的鋼金屬亦可用於取代鋁鋼 (A 1-Cu)金屬’作為導電材料。由於低介電常數材質及低200522154 V. Description of the invention (1) --------- [Technical field to which the invention belongs] = A method for manufacturing a semiconductor integrated circuit, and particularly there is no reference to # method. [Prior art] In a half-V body, a 2 is used to connect many elements to each other using metal wires. In general, a metal window is connected to each semiconductor circuit element using a contact window, and a metal window is used to connect the metal wires. The connection points of metal wires and vias / contacts are usually made using different lithography, etching, and film deposition processes. As the size of semiconductor elements continues to shrink, semiconductor wafers are getting smaller and smaller, and the element size is getting larger and larger, so misalignment between different pattern layers often occurs. In the conventional technology, some methods are used in the semiconductor wafer process to improve the resolution of the lithography process, such as Deep Ultraviolet (DUV) and Extreffle Ultra — violet (EUV). Photoetching technology, in order to improve the resolution of semiconductor processes, uses a light source with a wavelength of 193 nm or 157 nm, or even shorter wavelengths. Due to the resistance value of the metal wires and the parasitic capacitance between the wires, the problem of the resistance-capacitance (R c) delay of the multilayer interconnection in another semiconductor circuit is caused. These are the main factors affecting the operating speed of the semiconductor circuit. Other methods use various materials to solve the problem of rc delay. For example, in the semiconductor industry, low dielectric constant materials are often used because of their lower dielectric constant. In addition, steel metals with low resistance values can also be used instead of aluminum steel. (A 1-Cu) metal 'as a conductive material. Due to the low dielectric constant material and low

第6頁 200522154 五、發明說明(2) 電阻值之銅金屬,故可有效降低在半導體 内連線的RC延遲效應。然而卻不易以傳統的麵、、、。構中多層 刻銅金屬,故使用雙鑲嵌製程來製造鋼内連、綠刻製程來^ 的圖案化製程。 、、’而非傳、絶 一般而言,雙鑲欲製程分成先形成溝渠( 製程及先形成介層洞(via hole)之鑲嵌製^netl)之鑲歲 溝渠之鑲嵌製程的步驟中,於内連線金屬介電’在先形成 (Inter-metal Layer, IMD)層上开》成一盖、、 用^ 開Γ7 在具有溝渠開口的IMD層上形成一圖案化光阻 ,接著 一介層洞結構。通常,形成介層洞結構的圖案胃’以形成 位於溝渠開口之内。接著進行蝕刻製程,以^化光阻層係 I MD層中,最後在介層洞及溝渠開口中填入導〃電成介層洞於 如鋁-銅或是銅金屬,以分別形成介層及溝渠材質,例 在先形成介層洞之鑲嵌製程的步驟中,在具^有線。 的I MD層中蝕刻形成介層結構,然後在具有介層&$刻終止層 圓上沉積圖案化光阻層,以形成溝渠結構。接^^構的晶 製程形成溝渠結構,且蝕刻終止層用於移除—,利用蝕刻Page 6 200522154 V. Description of the invention (2) Copper metal with resistance value, so it can effectively reduce the RC delay effect of the semiconductor interconnect. However, it is not easy to use traditional noodles. In the structure, multiple layers of copper are engraved. Therefore, a dual damascene process is used to manufacture the patterning process of steel interconnection and green engraving. In general, the double inlaying process is divided into the steps of the inlaying process of forming the trench first (the process and the inlay ^ netl of the via hole) first, in the steps of The interconnect metal dielectric is opened on an inter-metal layer (IMD) layer, and a patterned photoresist is formed on the IMD layer having a trench opening with ^ 7, followed by a via structure. . Generally, a pattern stomach 'is formed to form a via structure to be located within the trench opening. Next, an etching process is performed to convert the photoresist layer to the I MD layer, and finally fill the vias and trench openings with conductive dielectric holes such as aluminum-copper or copper metal to form the vias. And trench material. For example, in the step of forming the via hole first, the wire is used. The IMD layer was etched to form a via structure, and then a patterned photoresist layer was deposited on the circle with the via & etch stop layer to form a trench structure. A trench structure is formed by a crystallizing process, and an etch stop layer is used to remove—using etching

層。此外,在先形成介層洞之鑲嵌製程之特點的Ϊ MD 光阻高分子(Polyraer)填塞於介層洞中,以保護位於介成層^ 洞下方的金屬導電層,避免溝渠蝕刻製程所造成的損;害曰。 最後在介層洞結構及溝渠開口中填入一金屬,例如無:銅° 或銅、銀、金、合金等各種金屬,以分別形成介層及溝渠 導線。 木 在先形成介層洞或是溝渠之鑲嵌製程中,使用一介電拜作Floor. In addition, the 镶嵌 MD photoresist polymer (Polyraer), which is the first feature of the interlayer hole formation process, is filled in the interlayer hole to protect the metal conductive layer under the interlayer ^ hole from the trench etching process. Damage; Finally, a metal is filled in the via hole structure and the trench opening, for example, none of copper or various metals such as copper, silver, gold, and alloy to form the via and the trench wire, respectively. In the inlaying process of forming a via or a trench first, a dielectric worship is used.

200522154 五、發明說明(3) 為抗反射層(Anti-reflection Coating, ARC),且於雙 鑲嵌製程使用蝕刻終止層或是化學機械研磨 (Chemical-mechanical Polishing, CMP)終止層。使用 抗反射層(ARC)的原因係為避免或是消除在微影製程中反 射光所產生的干涉或是繞射效應。此外,為了對半導體電 路的多層結構表面進行平坦化,故需要使用CMP製程或蝕 回製程。通常當具有強結合性之介電層對蝕回產生反抗效 應時,使用CMP製程。 根據微影製程及平坦化製程的需求,使用氮氧化石夕作為抗 反射層,然而抗反射層在半導體電路結構中亦會產生其他 問題。 其中一個影響DUV微影製程的問題是含氛之介質與ρυν光阻 層之間的互相反應。由於含氮之介質產生的氮之自由基之 故’含氮之介質層與DUV光阻層之間的互相反應中和光阻 之光酸,導致在顯影製程中一部份的光阻無法溶解,因此 殘餘光阻留在圖案化特徵形狀的邊緣或是結構的侧壁。當 在介層洞或是接觸洞出現殘留物時,此現象稱為介層/接胃 觸阻礙物(Poi sion),在習知技術中使用一些結構及方法 來解決這些製程上的問題。 / 一種用於減少雙鑲嵌結構之介層阻礙物的方法如美國第6 3 1 9, 8 0 9號專利案所述,其中第1圖顯示習知技術的剖面’ 圖。先在基材上形成一金屬層11 〇及第一保護層丨丨/接著 在第一保護層11 5上依序形成第一低介電常數介電層12〇、 蝕刻終止層125、第二低介電常數介電層13〇及第二曰保護層 200522154 1 3 5。接著利用微影餘刻製程在多層結構中形成介層/接觸 洞,移除光阻之後,利用紫外光輻射步驟來清除介層/接 觸洞上的殘留光阻。 θ 第2圖顯示減低介層/接觸洞電阻值及移除光阻的方法,如 美國第2001/003674 0號專利申請案,先在基材2〇〇上形成 金屬層210,接著在基材20 0上依序形成一内介電層 (Inter-layer Dielectric’ ILD) 22 0及光阻層 230,然後 在ILD層220及光阻層2 3 0中形成接觸洞或是介層洞24〇,接 著利用CF及Η 2〇電漿2 5 0移除光阻殘餘物2 6 0及光阻層2 3 0, 其中光阻殘餘物2 6 0係於介層/接觸洞的蝕刻製程中所形 成0 因此必須避免在開孔中形成光阻殘餘物,其中開孔為介 層、接觸洞或是溝渠。 【發明内容】 本發明提供一種避免在開孔中形成光阻殘留的製程方法, 此製程方法係於開孔填入光阻之前,先利用含_素的電漿 進行處理。 光阻的目的係用於形成溝渠圖案。光阻例如可為DUV光 阻’或是EUV光阻,或是電子束光阻。含氮材質或是低介 電吊數材質是胺產生的來源,由於胺之故,光阻(特別是 Duv光阻)圖案無法於曝光步驟之後完全轉移為酸性物質, 因此’光阻殘餘物會附著在圖案化的特徵形狀邊緣或是開 孔的側壁。在使用光阻形成溝渠圖案於基材上之前,進行200522154 V. Description of the invention (3) is an anti-reflection coating (ARC), and an etch stop layer or a chemical-mechanical polishing (CMP) stop layer is used in the dual damascene process. The reason for using the anti-reflection layer (ARC) is to avoid or eliminate the interference or diffraction effect caused by the reflected light during the lithography process. In addition, in order to planarize the surface of a multilayer structure of a semiconductor circuit, a CMP process or an etch-back process is required. Generally, a CMP process is used when a dielectric layer with strong bonding has an anti-etchback effect. According to the requirements of the lithography process and the planarization process, oxynitride is used as the anti-reflection layer. However, the anti-reflection layer also causes other problems in the semiconductor circuit structure. One of the problems affecting the DUV lithography process is the interaction between the atmosphere-containing medium and the ρυν photoresist layer. Due to the free radicals of nitrogen generated by the nitrogen-containing medium, the interaction between the nitrogen-containing medium layer and the DUV photoresist layer neutralizes the photoresist of the photoresist. Therefore, the residual light is left on the edge of the patterned feature shape or the sidewall of the structure. When residues appear in the interstitial or contact holes, this phenomenon is called interstitial / gastric barrier (Poision), and some structures and methods are used in the conventional technology to solve these process problems. / A method for reducing the interlayer obstruction of the dual damascene structure is described in U.S. Patent No. 6 319,809, in which FIG. 1 shows a cross-sectional view of a conventional technique. First, a metal layer 11 o and a first protective layer are formed on the substrate. // Then a first low-k dielectric layer 12 o, an etch stop layer 125, and a second are sequentially formed on the first protective layer 115. Low dielectric constant dielectric layer 130 and second protective layer 200522154 1 35. Then, a photolithography process is used to form a via / contact hole in the multilayer structure. After the photoresist is removed, a UV light irradiation step is used to remove the residual photoresist on the via / contact hole. θ Figure 2 shows the method of reducing the resistance of the interlayer / contact hole and removing the photoresist. For example, US Patent Application No. 2001/003674 0, a metal layer 210 is first formed on the substrate 200, and then the substrate An inter-layer dielectric (ILD) 22 0 and a photoresist layer 230 are sequentially formed on 20 0, and then a contact hole or a dielectric hole 24 is formed in the ILD layer 220 and the photo resist layer 230. Then, using CF and Η 2 0 plasma 2 50 to remove the photoresist residue 2 60 and the photoresist layer 2 3 0, wherein the photoresist residue 2 60 is in the interlayer / contact hole etching process. Formation of 0 It is therefore necessary to avoid the formation of photoresist residues in the openings, where the openings are vias, contact holes or trenches. [Summary of the Invention] The present invention provides a manufacturing method for avoiding the formation of photoresist residues in openings. This process method is to use a plasma containing plasma to process the openings before filling the photoresist in the openings. The purpose of photoresist is to form a trench pattern. The photoresist may be, for example, a DUV photoresist 'or an EUV photoresist, or an electron beam photoresist. Nitrogen-containing materials or materials with a low dielectric constant are sources of amines. Because of amines, the photoresist (especially Duv photoresist) patterns cannot be completely transferred to acidic materials after the exposure step, so 'photoresist residues will Attached to the edge of the patterned feature shape or the side wall of the opening. Before using a photoresist to form a trench pattern on a substrate,

200522154 五、發明說明(5) 電漿處理步驟’以減低位於覆蓋層表面及開孔側壁之 成分或是胺成分。 因為電漿處理可與胺反應,形成酸性環境,藉著消除或是 減低鹼性成分,使得用於形成溝渠圖案的光阻不會與介層 材質之驗性物質產生反應,有效避免產生光阻殘留物或是 有害介層洞的殘留物。 / 【實施方式】 第3 A-3D圖係繪示依據本發明在半導體電路中先形成介層 雙鑲嵌結構之製程的剖面圖。 曰 第3 A圖係繪示依據本發明在基材3 〇 0形成多層材質結構之 剖面圖。 首先提供具有導線310之基材300,其中基材30 0為具有各 種不同元件之半導體,基材30 0例如可為半導體之矽基 材、矽鍺基材、絕緣矽基材(S0丨)或是ΙΠ—ν族合成物基 材。導線3 1 0由導電材料組成,例如銅—銘或是銅金屬,此 外導線3 1 0可利用微影蝕刻法或是化學機械研磨法形成。 接著在基材3 0 0上形成多層材質結構,亦即在基材3 〇 〇上依 序形成保護層315、第一介電層320、蝕刻終止層330、第 二介電層3 4 0及覆蓋層3 5 0。 保護層31 5用於保護基材3 00上的元件,以隔離第一介電層 32 0所產生的雜質。保護層315例如可為氮化矽層、氮氧/匕 矽層、碳化矽或是任何與保護層31 5具有相同功能之材 質。在一實施例中,使用氮化矽層作為保護層315,厚度200522154 V. Description of the invention (5) Plasma treatment step 'to reduce the component or amine component on the surface of the cover layer and the side wall of the opening. Because the plasma treatment can react with amine to form an acidic environment, by eliminating or reducing the alkaline components, the photoresist used to form the trench pattern will not react with the test substance of the interlayer material, which effectively avoids photoresist. Residues or residues of harmful vias. / [Embodiment] Figures 3A-3D are cross-sectional views showing a process of first forming a interlayer dual damascene structure in a semiconductor circuit according to the present invention. Figure 3A is a cross-sectional view showing a multilayer material structure formed on a substrate 300 according to the present invention. First, a substrate 300 having a wire 310 is provided, wherein the substrate 300 is a semiconductor with various components, and the substrate 300 may be, for example, a silicon substrate for silicon, a silicon germanium substrate, an insulating silicon substrate (S0 丨), or Is a III-v composite substrate. The conductive wire 3 1 0 is composed of a conductive material, such as copper-metal or copper metal. In addition, the conductive wire 3 1 0 can be formed by a lithographic etching method or a chemical mechanical polishing method. Next, a multi-layer material structure is formed on the substrate 300, that is, a protective layer 315, a first dielectric layer 320, an etch stop layer 330, a second dielectric layer 3400, and a protective layer 315 are sequentially formed on the substrate 300. Covering layer 3 5 0. The protective layer 315 is used to protect components on the substrate 300 to isolate impurities generated by the first dielectric layer 320. The protective layer 315 may be, for example, a silicon nitride layer, an oxynitride / silicon layer, a silicon carbide layer, or any material having the same function as the protective layer 315. In one embodiment, a silicon nitride layer is used as the protective layer 315 with a thickness

第10頁 200522154Page 10 200522154

介於100至9 0 0埃之間’且利用常壓化 (APCVD)或是低壓化學氣相沉積法(Lpcv = 學氣相沉積法(PECVD)形成之。去、隹—人冤庄稀 刻製程時,姓刻終止層介層㈤/接觸洞姓 成又鑲肷…構。一般而言,蝕刻製程對第二介電層34〇比 對餘刻終止層33G具有更高的則率。當使用氮化石夕層作 為蝕刻終止層330時,氮化矽層的厚度介於〇至ι〇〇〇埃之 間,且利用常壓化學氣相沉積法(APCVD)或是低壓化學氣 相沉積法(LPCVD),或電漿輔助化學氣相沉積法(pECVD) 形成之。,蓋層350作為後續微影製程之抗反射層(ARC)。 此外,覆蓋層3 5 0亦可作為蝕刻終止層、保護層或是其组 合之一。覆蓋層350例如可為氮化矽層、氮氧化矽層^是 任何與覆蓋層350具有相同功能之材質。在一實施例中, 使用氮化碎層作為覆盖層350,厚度介於50 0至7 0 〇埃之 間,且利用常壓化學氣相沉積法(APCVD)或是低壓化學氣 相沉積法(LPCVD),或電漿輔助化學氣相沉積法(pEcvS) 形成之。 ^ 第一介電層32 0及第二介電層340可為相同或是不同低介電 常數之材質,介電常數小於4 · 0,例如S i LK (Poly-arylene Ether)、 FLARE (Fluorinated Poly-arylene Ether)或是 HSQ (Hydrogen Silsesquioxane)。在一實施例中,第一介電層32 0及第一 介電層340的厚度介於20〇〇至6 0 0 0埃之間,且可利用旋塗 法或是化學氣相沉積法形成。在另一實施例中,第一介電Between 100 and 900 Angstroms' and formed by atmospheric pressure (APCVD) or low-pressure chemical vapor deposition (Lpcv = learn vapor deposition (PECVD). To, 隹-people injustice and rare carving During the manufacturing process, the final termination layer interlayer / contact hole is formed again. Generally speaking, the etching process has a higher rate for the second dielectric layer 34 than for the remaining termination layer 33G. When When a nitride nitride layer is used as the etch stop layer 330, the thickness of the silicon nitride layer is between 0 and 1,000 Angstroms, and the atmospheric pressure chemical vapor deposition method (APCVD) or low pressure chemical vapor deposition method is used. (LPCVD), or plasma-assisted chemical vapor deposition (pECVD). The cover layer 350 is used as an anti-reflection layer (ARC) in the subsequent lithographic process. In addition, the cover layer 350 can also be used as an etching stop layer, The protective layer or a combination thereof. The cover layer 350 may be, for example, a silicon nitride layer or a silicon oxynitride layer. Any material having the same function as the cover layer 350. In one embodiment, a nitrided layer is used as the cover. Layer 350 having a thickness between 50 and 700 angstroms and utilizing atmospheric pressure chemical vapor deposition (APCVD) It is formed by low pressure chemical vapor deposition (LPCVD) or plasma assisted chemical vapor deposition (pEcvS). ^ The first dielectric layer 320 and the second dielectric layer 340 may be the same or different low dielectrics. The material of the electric constant, the dielectric constant is less than 4.0, such as Si LK (Poly-arylene Ether), FLARE (Fluorinated Poly-arylene Ether) or HSQ (Hydrogen Silsesquioxane). In one embodiment, the first dielectric The thickness of the layer 280 and the first dielectric layer 340 is between 2000 and 6000 angstroms, and can be formed by a spin coating method or a chemical vapor deposition method. In another embodiment, the first Dielectric

200522154 五、發明說明(7) —- ---一- 層320及第二介電層34〇可為低介電常數材質,其介電常數 大於3. 0。 熟習此項技術者應瞭解上述之多層材質結構係依據積體電 路的製程技術及製程所使用的新材料而定,且並不需要在 基材3 0 0上形成所有的介電層。同樣地,除了第3圖所示之 材釦層外,多層材質結構亦可包含其的材質層。 第3B圖顯示本發明第3A圖之多層材質結構中形成開孔的結 構剖面圖。 在覆蓋層3 5 0上形成光阻層(未圖示),係利用傳統的微影 触刻製程’在光阻層中形成一開口。接著利用圖案化的光 阻層作為蝕刻罩幕,以移除一部份的覆蓋層35〇、第二介 電層3 4 0、#刻終止層3 3 0、介電層3 2 0及保護層3 1 5,以形 成開孔3 6 0。在先形成介層雙鑲嵌結構之製程中,開孔3 6 〇 為介層洞/接觸洞。此外利用持續的非等向性蝕刻移除一 部份的多層材質結構,此蝕刻製程可於單一反應室或是不 同的反應室進行。 第3C圖顯示在介層洞36 0中形成高分子插塞370後,利用電 毁處理380之結構剖面圖。接著敘述形成插塞370的製程及 電漿處理製程。 形成開孔3 6 0之後,將填充材質(未圖示)填入開孔3 6 0,並 且平坦化其表面,其中填充材質例如可為樹脂等高分子材 質。然後進行蝕回步驟,以移除一部份的填充層並且形成 向分子填充物3 7 0於第3 C圖之開孔3 6 0中,其中高分子填充 物3 7 0的目的係保護導線3 1 0,免於後續蝕刻製程的損害。200522154 V. Description of the invention (7) The layer 320 and the second dielectric layer 34 may be made of a low dielectric constant material, and its dielectric constant is greater than 3.0. Those familiar with this technology should understand that the above-mentioned multi-layer material structure is based on the integrated circuit process technology and the new materials used in the process, and it is not necessary to form all dielectric layers on the substrate 300. Similarly, in addition to the material buckle layer shown in FIG. 3, the multilayer material structure may also include its material layer. FIG. 3B is a cross-sectional view of a structure in which openings are formed in the multilayer material structure of FIG. 3A of the present invention. A photoresist layer (not shown) is formed on the cover layer 350, and an opening is formed in the photoresist layer by using a conventional lithography touch-etching process'. Then use the patterned photoresist layer as an etching mask to remove a part of the cover layer 35, the second dielectric layer 3 40, the #etch stop layer 3 3 0, the dielectric layer 3 2 0, and the protection. Layer 3 1 5 to form an opening 3 6 0. In the process of forming the interlayer dual damascene structure first, the opening 36 is a via hole / contact hole. In addition, part of the multilayer material structure is removed by continuous anisotropic etching. This etching process can be performed in a single reaction chamber or different reaction chambers. Figure 3C shows a cross-sectional view of the structure of the electrical destruction process 380 after the polymer plug 370 is formed in the via hole 360. Next, a process for forming the plug 370 and a plasma processing process will be described. After the openings 360 are formed, a filling material (not shown) is filled into the openings 360 and the surface thereof is flattened. The filling material may be, for example, a polymer material such as resin. Then, an etch-back step is performed to remove a part of the filling layer and form a molecular filler 3 70 in the opening 3 6 0 in FIG. 3C. The purpose of the polymer filler 3 7 0 is to protect the wire. 3 1 0, to avoid the damage of the subsequent etching process.

第12頁 200522154 五、發明說明(8) 然而,亦可不需要在開孔3 6 0中形成高分子填充物3 7 0 ’但 是使用高分子填充物370將更有效保護基材3 0 0免於後續蝕 刻製程的損害。 接著進行電漿處理製程380,電漿處理製程38 0係使用含鹵 素之電漿,鹵素包含氟、氯、溴或是銦。假如製程中使用 含氯之電漿來處理基材,則含氯的氣體可作為氣體源,氣 體源係為C 1 2、HC 1、C XC 1 y、C XH yC 1威是其組合之一,以產 生含氣的電漿。在一實施例中,電漿處理38〇包含氮成分 ^情性氣體,氮成分可由含氮氣體產生,例如N减是N 20, 惰性氣體可為氦、氖、氬或是氙。較佳實施例中,以氯 乍為電漿來源,其流率介於5至400seem之間,且氬的 =率介於10至40 0 SCCm之間。此外N2/〇亦可用於所述之實 ί 中。在另一實施例中’電衆處理3 8 0可以使用雙電源 ^於η藉由施加介於200至2 0 0 0watts之上電極電源,以及 如何科\4〇〇WattS之下電極電源。熟習此項項技術者應知 分咬是2上述實施例’而達到移除位於開孔360中含氮成 刀必疋胺之目的。 構之結構剖面圖。在形 阻如上。ί阻層390例如可為DUV光阻層,或是任何光 源,由材!或是低介電常數材質是胺產生的來 曝光步驟之$ ί 光阻層(特別是DUV光阻)圖案無法於 附著在圖案;物二”因丄光瞻^^ 逆琢$疋開孔的侧壁。在使用光Page 12 200522154 V. Description of the invention (8) However, it is not necessary to form a polymer filler 3 7 0 'in the opening 3 6 0, but using the polymer filler 370 will more effectively protect the substrate 3 0 0 from Damage to subsequent etching processes. Next, a plasma treatment process 380 is performed. The plasma treatment process 380 uses a halogen-containing plasma. The halogen contains fluorine, chlorine, bromine, or indium. If a plasma containing chlorine is used to process the substrate in the process, the gas containing chlorine can be used as the gas source. The gas source is C 1 2, HC 1, C XC 1 y, C XH yC 1 and Wei is one of the combination. To produce a gas-containing plasma. In one embodiment, the plasma treatment 38 includes a nitrogen gas. The nitrogen gas may be generated from a nitrogen-containing gas, such as N minus N 20. The inert gas may be helium, neon, argon, or xenon. In a preferred embodiment, chlorine is used as the plasma source, the flow rate is between 5 and 400 seem, and the rate of argon is between 10 and 40 0 SCCm. In addition, N2 / 〇 can also be used in the described embodiments. In another embodiment, the electric power processing 380 can use a dual power source ^ on η by applying an electrode power source between 200 and 2000 watts, and how to apply the electrode power source under 400 Watts. Those skilled in the art should know that biting is the 2 embodiment described above, and the purpose of removing the nitrogen-containing scopolamine located in the opening 360 is achieved. Structure of the structure section. The resistance is as above. The resist layer 390 may be, for example, a DUV photoresist layer, or any light source. Or the low-dielectric constant material is produced by amine for the exposure step. The photoresist layer (especially DUV photoresist) cannot be attached to the pattern. Side wall. In use light

200522154 五、發明說明(9) 阻層3 9 0形成溝渠圖案於基材上之前,進行電漿處理3 8 〇步 驟,以移除位於覆蓋層3 5 0表面及開孔3 6 0側壁之含氮成分 或是胺成分。因為電漿處理38 0可與胺反應,中和鹼基, 形成一個中性或偏酸之環境,使得用於形成溝渠圖案的光 阻層39 0不會與多層材質結構產生反應。 第4A-4C圖係繪示依據本發明在半導體電路中先形成溝渠 雙鑲嵌結構之製程的剖面圖。第4A-4C與第3A-3D相同的元 件之編號增加1 0 0。這些元件包括基材4 〇 〇、導線4 1 0、保 護層415、第一介電層420、蝕刻終止層430、第二介電層 4 40、覆蓋層450及電漿處理480,每個元件的細節將不再 贅述。 第4A圖顯示依據本發明之類似於第3A圖之剖視圖。依序在 基材4 0 0上形成保護層4 1 5、第一介電層4 2 0、蝕刻終止層 430、第二介電層440及覆蓋層450。 第4 B圖顯示依據本發明形成開孔4 6 〇於多層材質結構中及 電漿處理480之結構剖視圖。 在覆蓋層4 5 0上形成光阻層(未圖示),係利用傳統的微影 蝕刻製程,在光阻層中形成一開口。接著利用圖案化的光 阻層作為蝕刻罩幕,以移除一部份的覆蓋層4 5 〇及第二介 電層440,以形成開孔460。本發明之實施例中,先形成溝 渠雙鑲嵌結構,開孔4 6 0為一溝渠開口。此外利用持續的 非等向性蝕刻移除一部份的多層材質結構,在蝕刻製程 中,蝕刻終止層4 3 0用以保護第一介電層4 2 〇,避免蝕刻損 害。如上述第3 B所述,此蝕刻多層材質結構的製程可於不200522154 V. Description of the invention (9) Before forming the trench pattern on the substrate, the resist layer 3 9 0 is subjected to a plasma treatment step 3 8 0 to remove the inclusions on the surface of the cover 3 5 0 and the side wall of the opening 3 6 0. Nitrogen or amine. Because the plasma treatment 38 0 can react with amines, neutralize the bases, and form a neutral or acidic environment, so that the photoresist layer 39 0 used to form the trench pattern will not react with the multilayer material structure. Figures 4A-4C are cross-sectional views illustrating a process for forming a trench dual damascene structure in a semiconductor circuit according to the present invention. The numbers of the same components in 4A-4C and 3A-3D are increased by 100. These components include a substrate 400, a wire 4 10, a protective layer 415, a first dielectric layer 420, an etch stop layer 430, a second dielectric layer 4 40, a cover layer 450, and a plasma treatment 480. The details will not be repeated. FIG. 4A shows a cross-sectional view similar to FIG. 3A according to the present invention. A protective layer 415, a first dielectric layer 420, an etch stop layer 430, a second dielectric layer 440, and a cover layer 450 are sequentially formed on the substrate 400. FIG. 4B shows a cross-sectional view of a structure in which openings 460 are formed in a multilayer material structure and plasma treatment 480 according to the present invention. A photoresist layer (not shown) is formed on the cover layer 450. A conventional photolithographic etching process is used to form an opening in the photoresist layer. Then, a patterned photoresist layer is used as an etching mask to remove a part of the cover layer 450 and the second dielectric layer 440 to form an opening 460. In the embodiment of the present invention, a trench dual mosaic structure is first formed, and the opening 460 is a trench opening. In addition, part of the multilayer material structure is removed by continuous anisotropic etching. During the etching process, the etch stop layer 4 3 0 is used to protect the first dielectric layer 4 2 0 and avoid etch damage. As described in Section 3B above, the process of etching a multilayer material structure can be

第14頁 200522154 五、發明說明(ίο) 同的反應室中進行。另外,並不需要將所有的材質層加入 結構中,同樣地,除了第4A圖所示之材質層之外,亦可包 含更多的材質層。 接著進行電漿處理製程4 8 0,電漿處理製程4 8 0係使用含鹵 素之電漿,鹵素包含氟、氯、溴或是銦。假如製程中使用 含氯之電漿來處理基材,則含氣的氣體可作為氣體源,氣 體源係為C 1 2、HC 1、c XC 1 y、c XH yc 1威是其組合之一,以產 生含氣的電漿。在一實施例中,電漿處理4 8 0包含氮成分 及惰性氣體,氮成分可由含氮氣體產生,例如N戒是N 20, 惰性氣體可為氦、氖、氬或是氙。值得注意的是,電漿處 理製程4 8 0並不需要使用氮及惰性氣體,較佳實施例中, 以氯作為電聚來源’其流率介於5至4 0 0 s c c m之間,且氬的 流率介於1 0至400seem之間。在另一實施例中,電漿處理 48〇可以使用雙電源系統,藉由施加介於2 0 0至2 0 0 0watts 之上電極電源,以及介於0至400watts之下電極電源。熟 習此項項技術者應知如何微調上述實施例,而達到移除位 於開孔460中含氮成分或是胺之目的。 第4C圖顯示依據本發明形成圖案化光阻層49〇 ^ ^ ^ ^ 490,, ^ ^ ^ 0;νΓΓ/ ^ =枉何光阻。如上所述,含氮材質或低介電常數材質是胺 J生的來源’由於胺之驗性特性’光阻層(特別是嶋 )無法曝光之後完全轉變為酸性物質,因此,阻 物會附著在圖案化的特徵邊緣或是開孔的侧壁 、 200522154Page 14 200522154 V. Description of the Invention (ίο) Performed in the same reaction chamber. In addition, it is not necessary to add all material layers to the structure. Similarly, in addition to the material layers shown in FIG. 4A, more material layers can be included. Plasma treatment process 480 is followed. Plasma treatment process 480 uses a halogen-containing plasma. The halogen contains fluorine, chlorine, bromine or indium. If a plasma containing chlorine is used to process the substrate in the manufacturing process, gas containing gas can be used as a gas source. The gas source is C 1 2, HC 1, c XC 1 y, c XH yc 1. To produce a gas-containing plasma. In one embodiment, the plasma treatment 480 includes a nitrogen component and an inert gas. The nitrogen component may be generated from a nitrogen-containing gas, such as N or N20. The inert gas may be helium, neon, argon, or xenon. It is worth noting that the plasma treatment process 480 does not require the use of nitrogen and inert gases. In a preferred embodiment, chlorine is used as the source of the electropolymerization. Its flow rate is between 5 and 40 sccm, and argon is used. The flow rate is between 10 and 400 seem. In another embodiment, the plasma treatment 480 may use a dual power supply system by applying an electrode power source between 2000 and 2000 watts and an electrode power source between 0 and 400 watts. Those skilled in the art should know how to fine-tune the above embodiments to achieve the purpose of removing nitrogen-containing components or amines in the openings 460. FIG. 4C shows that a patterned photoresist layer is formed in accordance with the present invention. 49 ^ ^ ^ 490 ,, ^ ^ ^ 0; νΓΓ / ^ = any photoresist. As mentioned above, nitrogen-containing materials or low-dielectric-constant materials are the source of amines. "Because of the amine's experimental properties," the photoresist layer (especially thorium) cannot be completely converted into an acidic material after exposure. On the edge of the patterned feature or the side wall of the opening, 200522154

五、發明說明(11) 阻層490形成溝渠圖案於基材上之前,進行電漿處理48()+ 驟,以減低位於覆蓋層4 5 0表面及開孔4 6 0側壁之含氮成又 或是胺成分。因為電漿處理480可與胺反應,形成光酸, 藉著消除或是減低胺成分,使得用於形成溝渠圖幸的& 木叼光阻 層49 0不會與第4C圖之多層材質結構產生反應。 在填充開孔(例如介層洞/接觸洞,或是具有光阻之溝汽 之前,先施加含幽素之電漿處理,以避免光阻殘丛木) 開孔中。 线物留在V. Description of the invention (11) Before the resist layer 490 forms a trench pattern on the substrate, a plasma treatment 48 () + step is performed to reduce the nitrogen content on the surface of the cover layer 4 50 and the side wall of the opening 4 6 0. Or amine. Because plasma treatment 480 can react with amines to form photoacids. By eliminating or reducing the amine component, the & woodblock photoresist layer 49 0 used to form the trench pattern will not have a multilayer material structure as shown in FIG. 4C. Produce a response. Before filling the openings (such as vias / contact holes, or trenches with photoresistance, apply plasma treatment with peptin to avoid photoresistive bushes). Thread remains

雖然本發明已用較佳實施例揭露如上,然其並非用以限〜 本發明,任何熟習此技藝者,在不脫離本發明之精神和^ 圍内,當可作各種之更動與潤飾,因此本發明之保護範圍 當視後附之申請專利範圍所界定者為準。Although the present invention has been disclosed as above with the preferred embodiment, it is not intended to limit the present invention. Any person skilled in the art can make various modifications and decorations without departing from the spirit and scope of the present invention. The protection scope of the present invention shall be determined by the scope of the attached patent application.

第16頁Page 16

200522154 圖式簡單說明 【圖式簡單說明】 為讓本發明之上述和其他目的、特徵、和優點能更明顯易 懂,下文特舉較佳實施例,並配合所附圖式,作詳細說明 如下: 第1圖係繪示習知技術之一結構剖視圖。 第2圖係繪示習知技術之另一結構剖視圖。 第3A-3D圖係繪示依據本發明在半導體電路中先形成介層 雙鑲嵌結構之製程的剖面圖。200522154 Brief description of the drawings [Simplified description of the drawings] In order to make the above and other objects, features, and advantages of the present invention more comprehensible, the preferred embodiments are described below in detail with the accompanying drawings, as described below in detail : Figure 1 is a cross-sectional view showing a structure of a conventional technique. FIG. 2 is a cross-sectional view showing another structure of the conventional technology. Figures 3A-3D are cross-sectional views showing a process of forming a interlayer dual damascene structure in a semiconductor circuit according to the present invention.

第4A-4C圖係繪示依據本發明在半導體電路中先形成溝渠 雙鑲嵌結構之製程的剖面圖。 【元件代表符號簡單說明】 110金屬層115第一保護層 1 2 0第一低介電常數介電層1 2 5蝕刻終止層 130第二低介電常數介電層135第二保護層 2 0 0基材210金屬層 220内介電層230光阻層 240介層洞250電漿Figures 4A-4C are cross-sectional views illustrating a process for forming a trench dual damascene structure in a semiconductor circuit according to the present invention. [Simple description of element representative symbols] 110 metal layer 115 first protective layer 1 2 0 first low dielectric constant dielectric layer 1 2 5 etch stop layer 130 second low dielectric constant dielectric layer 135 second protective layer 2 0 0 Substrate 210 Metal layer 220 Inner dielectric layer 230 Photoresist layer 240 Interlayer hole 250 Plasma

2 6 0光阻殘餘物3 0 0基材 3 1 0導線3 1 5保護層 320第一介電層330蝕刻終止層 34 0第二介電層3 5 0覆蓋層 3 6 0開孔3 7 0高分子填充物 380電漿處理390光阻層2 6 0 Photoresist residue 3 0 0 Substrate 3 1 0 Wire 3 1 5 Protective layer 320 First dielectric layer 330 Etch stop layer 34 0 Second dielectric layer 3 5 0 Cover layer 3 6 0 Opening hole 3 7 0 Polymer Filler 380 Plasma Treatment 390 Photoresist Layer

第17頁 200522154 圖式簡單說明 4 0 0基材4 1 0導線 415保護層420第一介電層 430蝕刻終止層440第二介電層 4 5 0覆蓋層4 6 0開孔 480電漿處理490光阻層Page 17 200522154 Brief description of the diagram 4 0 0 substrate 4 1 0 wire 415 protective layer 420 first dielectric layer 430 etch stop layer 440 second dielectric layer 4 5 0 cover layer 4 6 0 opening 480 plasma treatment 490 photoresist layer

第18頁Page 18

Claims (1)

200522154 六、申請專利範圍 1. 一種避免在開孔中形成殘留光阻的製程方法,在填入 光阻於開孔中的步驟之前,至少包含使用利用含i素的電 漿進行處理之步驟。 2. 如申請專利範圍第1項所述之製程方法,其中該開孔係 為介層洞或是接觸洞。 3. 如申請專利範圍第2項所述之製程方法,其中該光阻用 於形成一溝渠圖案。 4. 如申請專利範圍第1項所述之製程方法,其中該光阻為 深紫外線(DUV)之光阻。 5. 如申請專利範圍第1項所述之製程方法,其中該開孔的 側壁上具有一氮成分。 6. 如申請專利範圍第5項所述之製程方法,其中該氮成分 至少包含胺成分。 7 ·如申請專利範圍第6項所述之製程方法,其中利用含鹵 素的電漿進行處理的步驟係用以減少位於該開孔的該側壁 上之該胺成分。 8.如申請專利範圍第1項所述之製程方法,其中利用含鹵200522154 VI. Scope of patent application 1. A manufacturing method for avoiding the formation of residual photoresist in the openings. Before the step of filling the photoresist in the openings, at least the step of using a plasma containing i element for processing is included. 2. The process method described in item 1 of the scope of patent application, wherein the opening is a via hole or a contact hole. 3. The process method as described in item 2 of the patent application scope, wherein the photoresist is used to form a trench pattern. 4. The process method described in item 1 of the scope of patent application, wherein the photoresist is a deep ultraviolet (DUV) photoresist. 5. The manufacturing method according to item 1 of the scope of patent application, wherein a sidewall of the opening has a nitrogen component. 6. The process method as described in item 5 of the scope of patent application, wherein the nitrogen component includes at least an amine component. 7. The process method as described in item 6 of the scope of patent application, wherein the step of treating with a halogen-containing plasma is to reduce the amine component on the side wall of the opening. 8. The process method as described in item 1 of the scope of patent application, wherein the use of halogen-containing 第19頁 200522154 六、申請專利範圍 素的電漿進行處理的步驟中至少包含使用氯反應氣體。 9.如申請專利範圍第8項所述之製程方法,其中該氣反應 氣體係選自C 1 2、HC卜C XC 1 y、C XH yC 1及其組合之一。 1 0.如申請專利範圍第9項所述之製程方法,其中該氯反 應氣體係為C1 2。Page 19, 200522154 VI. Scope of patent application The process of plasma processing of elementary element includes at least the use of chlorine reaction gas. 9. The process method as described in item 8 of the scope of patent application, wherein the gas reaction gas system is selected from one of C 1 2, HC, C XC 1 y, C XH yC 1 and combinations thereof. 10. The process method as described in item 9 of the scope of patent application, wherein the chlorine reaction gas system is C1 2. 11.如申請專利範圍第1 0所述之製程方法,其中該氣反應 氣體更包含一惰性氣體。 1 2.如申請專利範圍第11項所述之製程方法,其中該惰性 氣體為氬氣。 1 3.如申請專利範圍第1 2項所述之製程方法,其中該C 1妁 流率介於5至4 0 0 s c c m之間,且氬的流率介於1 0至4 0 0 s c c m 之間。11. The process method as described in claim 10, wherein the gas reaction gas further comprises an inert gas. 1 2. The process method as described in item 11 of the scope of patent application, wherein the inert gas is argon. 1 3. The process method as described in item 12 of the scope of patent application, wherein the C 1 flow rate is between 5 and 4 0 0 sccm, and the flow rate of argon is between 10 and 4 0 0 sccm. between. 1 4.如申請專利範圍第1 3項所述之製程方法,其中該氯反 應氣體更包含氮成分。 1 5 .如申請專利範圍第1 4項所述之製程方法,其中該氮成 分以N拃為氣體源。14. The process method as described in item 13 of the scope of patent application, wherein the chlorine reaction gas further includes a nitrogen component. 15. The process method as described in item 14 of the scope of patent application, wherein the nitrogen component uses N 拃 as a gas source. 第20頁 200522154 六、申請專利範圍 1 6. —種避免在介層洞/接觸洞中形成殘留光阻的製程方 法,在填入光阻於該介層洞/接觸洞中的步驟之前,至少 包含使用含氯的電漿進行處理之步驟。 1 7.如申請專利範圍第1 6項所述之製程方法,其中該光阻 用於形成一溝渠圖案。 1 8.如申請專利範圍第1 7項所述之製程方法,其中該光阻 為深紫外線(DUV)之光阻。 1 9.如申請專利範圍第1 6項所述之製程方法,其中該介層 洞/接觸洞的側壁上具有一氮成分。 2 0 .如申請專利範圍第1 9項所述之製程方法,其中該氮成 分至少包含胺成分。 2 1.如申請專利範圍第2 0項所述之製程方法,其中利用該 含氯的電漿進行處理的步驟係用以減少位於該開孔的該側 壁上之該胺成分。 2 2 .如申請專利範圍第1 7項所述之製程方法,其中該氯之 反應氣體係選自C 1 2、HC卜C XC 1 y、C XH yC 1及其組合之一。 2 3 .如申請專利範圍第2 2項所述之製程方法,其中該氣之Page 20 200522154 VI. Scope of patent application 1 6. — A process method to avoid the formation of residual photoresist in the via / contact hole, at least before the step of filling the photoresist in the via / contact hole, at least Contains the step of processing using a plasma containing chlorine. 1 7. The process method as described in item 16 of the patent application scope, wherein the photoresist is used to form a trench pattern. 1 8. The process method as described in item 17 of the scope of patent application, wherein the photoresist is a deep ultraviolet (DUV) photoresist. 19. The process method as described in item 16 of the scope of patent application, wherein the sidewall of the via / contact hole has a nitrogen component. 20. The process method as described in item 19 of the scope of patent application, wherein the nitrogen component includes at least an amine component. 2 1. The process method as described in item 20 of the scope of the patent application, wherein the step of using the chlorine-containing plasma for processing is to reduce the amine component on the side wall of the opening. 2 2. The process method as described in item 17 of the scope of the patent application, wherein the chlorine reaction gas system is selected from one of C 1 2, HC, C XC 1 y, C XH yC 1 and combinations thereof. 2 3. The process method described in item 22 of the scope of patent application, wherein the gas 第21頁 200522154 — 六、申請專利範圍 反應氣體係為c 1 2。 24·如申請專利範圍第23項所述之製程方法, 反應氣體更包含一惰性氣體。 2 5 ·如申請專利範圍第2 4項所述之製程方法, 氣體為氬氣。 2 6 ·如申請專利範圍第2 5所述之製程方法’其 率介於5至40 0sccin之間,且氬的流率介於1〇至 間。 2 7 ·如申請專利範圍第2 6項所述之製程方法, 反應氣體更包含氮成分。 2 8 ·如申請專利範圍第2 7項所述之製程方法, |份以N拃為氣體源。 29· —種避免在雙鑲嵌結構之介層洞/接觸洞4 f阻的製程方法,至少包含下列步驟: 提供一基材,該基材上具有含胺之材質層; |在該含胺之材質層中形成一介層洞/接觸洞; 以一含氣電漿處理該介層洞/接觸洞;以及 |利用一 DUV光阻填入該介層洞/接觸洞中’以形 其中該氯之 其中該惰性 中該C 1妁流 4 0 0 s c c m之 其中該氯之 其中該氣成 形成殘留 成一溝渠圖Page 21 200522154 — VI. Scope of Patent Application The reaction gas system is c 1 2. 24. The process method as described in item 23 of the scope of patent application, wherein the reaction gas further comprises an inert gas. 2 5 · The process method described in item 24 of the scope of patent application, the gas is argon. 2 6 · The process method according to claim 25 of the patent application scope, wherein the rate is between 5 and 40 sccin, and the flow rate of argon is between 10 and 10. 2 7 · The process method described in item 26 of the scope of patent application, the reaction gas further contains a nitrogen component. 2 8 · According to the process method described in item 27 of the scope of patent application, | N is used as the gas source. 29 · —A manufacturing method for avoiding 4 f resistance in the interlayer / contact hole of the dual damascene structure, including at least the following steps: providing a substrate having an amine-containing material layer on the substrate; Forming a via hole / contact hole in the material layer; processing the via hole / contact hole with a gas-containing plasma; and | filling the via hole / contact hole with a DUV photoresist to shape the chlorine Wherein the C 1 stream of 4 0 0 sccm in the inertia where the gas in which the gas is formed to form a residual channel 200522154 六、申請專利範圍 案。 3。·如申請專利範圍第29項所述:f : : $丄$中利用含 氯的電聚進行處理的步驟係用以厂y ; i ;1曰洞/接觸 洞的該侧壁上之該胺成分。 ,+·之製程方法,其中兮惫夕 31.如申請專利範圍$ 29項所「其組合二。 反應氣體係選自Cl2、HCl、C’ y i製程方法,其中含女惫:? 3 2 .如申請專利範圍第3 1項所述 ° 反應氣體係為C 1 2。 尬ο n r Μ怵之製程方法’其中該氣之 3 3 ·如申請專利範圍第3 2項所 反應氣體更包含一惰性氣體。 / π之製程方法’其中該惰性 3 4 ·如申請專利範圍第3 3項戶斤 氣體為氮氣。 ,+·之製程方法,其中該C 1妁 35.如申請專利範圍第34項所f的流率介於1〇至4〇〇sccm 流率介於5至4 0 0 s c c m之間,真班* 之間。 之製程方法,其中該氯反 3 6 .如申請專利範圍第3 5項所述 應氣體更包含氮成分。200522154 6. Application for Patent Scope. 3. · As described in item 29 of the scope of the patent application: f :: $ 丄 $ The step of using chlorine-containing electropolymerization for processing is used to plant y; i; the amine on the side wall of the hole / contact hole ingredient. , + · 的 Process method, Xi Xi Xi 31. As the patent application scope of $ 29 "the combination of two. The reaction gas system is selected from Cl2, HCl, C 'yi process method, which contains female fatigue:? 3 2. As described in item 31 of the scope of the patent application, the reaction gas system is C 1 2. The process method of nr Μ ′, where the gas is 3 3 · The reactant gas as in item 32 of the scope of the patent application further contains an inert gas. Gas. / Π process method 'where the inert 3 4 · as in the scope of the patent application No. 33 household gas is nitrogen., + · Process method, where the C 1 ~ 35. as in the scope of the patent application No. 34 The flow rate of f is between 10 and 400 sccm, the flow rate is between 5 and 400 sccm, and the real class *. The process method, wherein the chlorine is 3 6. The response gas described in the item further contains a nitrogen component. 200522154200522154 第24頁Page 24
TW93117517A 2003-12-16 2004-06-17 Method of preventing photoresist residues TWI231528B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US10/736,918 US7279428B2 (en) 2003-11-19 2003-12-16 Method of preventing photoresist residues

Publications (2)

Publication Number Publication Date
TWI231528B TWI231528B (en) 2005-04-21
TW200522154A true TW200522154A (en) 2005-07-01

Family

ID=36121972

Family Applications (1)

Application Number Title Priority Date Filing Date
TW93117517A TWI231528B (en) 2003-12-16 2004-06-17 Method of preventing photoresist residues

Country Status (1)

Country Link
TW (1) TWI231528B (en)

Also Published As

Publication number Publication date
TWI231528B (en) 2005-04-21

Similar Documents

Publication Publication Date Title
JP4050631B2 (en) Manufacturing method of electronic device
US7291553B2 (en) Method for forming dual damascene with improved etch profiles
JP3248492B2 (en) Semiconductor device and manufacturing method thereof
US6228760B1 (en) Use of PE-SiON or PE-OXIDE for contact or via photo and for defect reduction with oxide and W chemical-mechanical polish
US8183694B2 (en) Reversing tone of patterns on integrated circuit and nanoscale fabrication
US7285853B2 (en) Multilayer anti-reflective coating for semiconductor lithography and the method for forming the same
JP3778174B2 (en) Semiconductor device and manufacturing method thereof
US20020155693A1 (en) Method to form self-aligned anti-via interconnects
KR20060090449A (en) Fabrication method of dual damascene interconnections of microelectronics and microelectronics having dual damascene interconnections fabricated thereby
JP2004274053A (en) Method for forming via contact structure
US6972258B2 (en) Method for selectively controlling damascene CD bias
JP4104426B2 (en) Manufacturing method of semiconductor device
TW201635437A (en) Interconnection structure and manufacturing method thereof
CN110890321A (en) Method for manufacturing semiconductor structure
US6271115B1 (en) Post metal etch photoresist strip method
TWI236094B (en) Method for forming multi-layer metal line of semiconductor device
JP2004055781A (en) Method for manufacturing semiconductor device
US20060118955A1 (en) Robust copper interconnection structure and fabrication method thereof
TW201906001A (en) Method of fabricating semiconductor structure
JP4523351B2 (en) Manufacturing method of semiconductor device
US20230060956A1 (en) Trench etching process for photoresist line roughness improvement
US6861376B1 (en) Photoresist scum free process for via first dual damascene process
US20060068594A1 (en) Method for line etch roughness (LER) reduction for low-k interconnect damascene trench etching
US7279428B2 (en) Method of preventing photoresist residues
US6613665B1 (en) Process for forming integrated circuit structure comprising layer of low k dielectric material having antireflective properties in an upper surface

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees