RU2597477C1 - Frequency synthesizer - Google Patents

Frequency synthesizer Download PDF

Info

Publication number
RU2597477C1
RU2597477C1 RU2015117991/08A RU2015117991A RU2597477C1 RU 2597477 C1 RU2597477 C1 RU 2597477C1 RU 2015117991/08 A RU2015117991/08 A RU 2015117991/08A RU 2015117991 A RU2015117991 A RU 2015117991A RU 2597477 C1 RU2597477 C1 RU 2597477C1
Authority
RU
Russia
Prior art keywords
phase
dds
frequency
output
input
Prior art date
Application number
RU2015117991/08A
Other languages
Russian (ru)
Inventor
Игорь Владимирович Сочнев
Original Assignee
Акционерное общество "Научно-производственное предприятие "Радар ммс"
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Акционерное общество "Научно-производственное предприятие "Радар ммс" filed Critical Акционерное общество "Научно-производственное предприятие "Радар ммс"
Priority to RU2015117991/08A priority Critical patent/RU2597477C1/en
Application granted granted Critical
Publication of RU2597477C1 publication Critical patent/RU2597477C1/en

Links

Images

Abstract

FIELD: radar.
SUBSTANCE: invention relates to a frequency synthesizer. Synthesizer includes a phase-locked loop system, a direct digital synthesis (DDS) microcircuit consisting of in-series connected: a controller, a phase accumulator with feedback, an adder, an amplitude-phase converter, a DDS multiplier and a DAC, a control circuit including a phase memory device consisting of parallel sets of phase detuning registers and frequency registers and the first and the second multiplexers, a data former, herewith the phase memory device contains connected in parallel adders with feedback, and the DDS contains a sync pulse generator.
EFFECT: technical result is improvement of accuracy of determining parameters of target objects by onboard radars and reduction of weight and dimensions of the transceiving channel of the whole on-board radar due to optimization of the frequency synthesizer structure.
1 cl, 5 dwg

Description

Изобретение относится к области радиотехники и может применяться в радиосвязи, системах радионавигации и радиолокации. В частности, предлагаемое изобретение предназначено для высокоточных бортовых радиолокационных систем (БРЛС), обладающих высоким уровнем помехозащищенности и скрытности.The invention relates to the field of radio engineering and can be used in radio communications, radio navigation systems and radar systems. In particular, the present invention is intended for high-precision airborne radar systems (radar) with a high level of noise immunity and stealth.

Для обеспечения высокого уровня помехозащищенности и скрытности радиолокационных систем существует необходимость генерировать серии волн с различными частотами с сохранением фазовой когерентности между сериями волн одной и той же частоты, т.е. необходимость в сохранении одного и того же фазового сдвига относительно тактового сигнала. В большинстве случаев такая задача решается при помощи синтезаторов частот, обеспечивающих синтез множества когерентных частот.To ensure a high level of noise immunity and secrecy of radar systems, there is a need to generate a series of waves with different frequencies while maintaining phase coherence between series of waves of the same frequency, i.e. the need to maintain the same phase shift relative to the clock signal. In most cases, this problem is solved with the help of frequency synthesizers, providing the synthesis of many coherent frequencies.

Для синтеза множества когерентных частот в радиолокационных системах наиболее часто применяются синтезаторы с фазовой автоподстройкой частоты (ФАПЧ). Хотя преимуществом схем на основе ФАПЧ являются достаточно чистый спектр выходного сигнала и намного более низкий уровень сложности по сравнению с аналоговыми синтезаторами, синтезаторы на основе ФАПЧ имеют существенные недостатки. Одним из них является большое время перестройки. Другим недостатком является высокий уровень фазового шума, зависящий от коэффициента деления частотного делителя. Для уменьшения суммарного коэффициента деления в синтезатор частот можно ввести схему частотного преобразования либо использовать дробные коэффициенты деления. В первом случае требуется оптимизация отельных элементов схемы из-за высокой чувствительности к ним смесителей, а также устранение проблемы ложного захвата частоты, присущей схемам на основе частотного преобразования, что является далеко не тривиальными задачами. Во втором случае необходимо учитывать, что механизму дробного деления присущи фазовые ошибки, приводящие к повышенному содержанию негармонических спектральных составляющих.For the synthesis of many coherent frequencies in radar systems, phase-locked loop (PLL) synthesizers are most often used. Although the advantage of PLL-based circuits is a fairly clean output signal spectrum and a much lower level of complexity compared to analog synthesizers, PLL-based synthesizers have significant drawbacks. One of them is a great adjustment time. Another disadvantage is the high level of phase noise, depending on the division ratio of the frequency divider. To reduce the total division coefficient, a frequency conversion circuit can be introduced into the frequency synthesizer or fractional division coefficients can be used. In the first case, optimization of the individual elements of the circuit is required due to the high sensitivity of the mixers to them, as well as the elimination of the problem of false frequency capture inherent in circuits based on frequency conversion, which is far from trivial tasks. In the second case, it is necessary to take into account that the fractional division mechanism is characterized by phase errors, which lead to an increased content of nonharmonic spectral components.

Наиболее эффективным решением вышеописанных проблем является использование метода прямого цифрового синтеза частоты, отличающегося высоким разрешением по частоте и фазе, экстремально быстрой перестройкой по частоте без разрыва фазы и отсутствием необходимости применения точной подстройки опорной частоты.The most effective solution to the above problems is to use the direct digital frequency synthesis method, which is distinguished by a high resolution in frequency and phase, extremely fast frequency tuning without phase discontinuity and no need to use precise tuning of the reference frequency.

Наиболее близким по технической сущности к предложенному техническому решению является синтезатор частот (заявка США №2014240004 A1, «Генератор фазо-когерентных сигналов», опубл. 28.08.2014 г., Н03В 21/00), который принят за прототип.The closest in technical essence to the proposed technical solution is a frequency synthesizer (US application No. 2014240004 A1, “Phase-coherent signal generator”, published on 08.28.2014, H03B 21/00), which is adopted as a prototype.

Структурная схема устройства-прототипа приведена на фиг. 1, где введены следующие обозначения:The block diagram of the prototype device is shown in FIG. 1, where the following notation is introduced:

1 - система фазовой автоподстройки частоты (ФАПЧ);1 - phase locked loop (PLL);

2 - ответвитель;2 - coupler;

3 - делитель тактовой частоты;3 - clock frequency divider;

4 - схема управления;4 is a control diagram;

5 - микросхема прямого цифрового синтеза (DDS);5 - direct digital synthesis chip (DDS);

6 - устройство фазовой памяти;6 - phase memory device;

7 - счетчик;7 - counter;

8 - умножитель;8 - multiplier;

9 - регистр частоты;9 - frequency register;

10 - регистр фазовой отстройки;10 - register phase detuning;

11 - первый мультиплексор;11 - the first multiplexer;

12 - второй мультиплексор;12 - second multiplexer;

13 - регистр конфигурации DDS;13 - DDS configuration register;

14 - формирователь данных;14 - data generator;

15 - контроллер;15 - controller;

16 - аккумулятор фазы;16 - phase accumulator;

17 - сумматор;17 - adder;

18 - амплитудно-фазовый конвертер;18 - amplitude phase converter;

19 - умножитель DDS;19 - DDS multiplier;

20 - цифроаналоговый преобразователь;20 - digital-to-analog converter;

fCLK - тактовая частота DDS;f CLK - DDS clock frequency;

fSYS - системная частота схемы управления;f SYS is the system frequency of the control circuit;

i - номер частоты;i is the frequency number;

POW - (phase offset word) - инкремент фазы;POW - (phase offset word) - phase increment;

FTW - (frequency tuning word) - значение частоты;FTW - (frequency tuning word) - frequency value;

PTW - (phase tuning word) - значение фазовой отстройки;PTW - (phase tuning word) - value of phase detuning;

CW - (configuration word) - значение конфигурации DDS;CW - (configuration word) - DDS configuration value;

IP - (instantaneous phase) - мгновенное значение фазы;IP - (instantaneous phase) - instantaneous phase value;

FOUT - выходной сигнал синтезатора частот.F OUT - the output signal of the frequency synthesizer.

Синтезатор частот содержит последовательно соединенные систему ФАПЧ 1 и ответвитель 2, один выход которого через делитель тактовой частоты 3 подключен к схеме управления 4 на базе программируемой логической схемы (ПЛИС), а другой - напрямую подключен к DDS 5. Схема управления 4 включает в себя устройство фазовой памяти 6, организованное в виде счетчика 7, умножителя 8, параллельно соединенных наборов регистров частот 9 и регистров фазовой отстройки 10, а также первого 11 и второго 12 мультиплексоров, и регистр конфигурации DDS 13, выход которого соединен с одним входом формирователя данных 14. При этом выходы регистров фазовой отстройки 10 из каждого набора подключены к входам первого мультиплексора 11, выход которого соединен с умножителем 8, а выходы регистров частоты 9 из каждого набора подключены к входам второго мультиплексора 12, выход которого соединен с одним из входов формирователя данных 14. Другой вход формирователя данных 14 соединен с выходом умножителя 8.The frequency synthesizer contains a PLL system 1 and a coupler 2 connected in series, one output of which through a clock frequency divider 3 is connected to a control circuit 4 based on a programmable logic circuit (FPGA), and the other is directly connected to DDS 5. Control circuit 4 includes a device phase memory 6, organized as a counter 7, a multiplier 8, parallel-connected sets of frequency registers 9 and phase-off registers 10, as well as the first 11 and second 12 multiplexers, and a configuration register DDS 13, the output of which is connected n with one input of the data shaper 14. In this case, the outputs of the phase detuning registers 10 from each set are connected to the inputs of the first multiplexer 11, the output of which is connected to the multiplier 8, and the outputs of the frequency registers 9 from each set are connected to the inputs of the second multiplexer 12, the output of which is connected with one of the inputs of the data shaper 14. The other input of the shaper 14 is connected to the output of the multiplier 8.

DDS 5 содержит последовательно соединенные контроллер 15, аккумулятор фазы 16, сумматор 17, амплитудно-фазовый конвертер 18, умножитель DDS 19 и цифроаналоговый преобразователь 20, выход которого является выходом синтезатора частот. При этом выход формирователя данных 14 схемы управления 4 подключен к входу контроллера 15 DDS 5, а выходы контроллера 15 подключены к входам аккумулятора фазы 16, сумматора 17 и умножителя DDS 19.DDS 5 contains a series-connected controller 15, a phase 16 accumulator, an adder 17, an amplitude-phase converter 18, a DDS multiplier 19, and a digital-to-analog converter 20, the output of which is the output of the frequency synthesizer. In this case, the output of the data shaper 14 of the control circuit 4 is connected to the input of the controller 15 of the DDS 5, and the outputs of the controller 15 are connected to the inputs of the phase 16 accumulator, the adder 17, and the DDS 19 multiplier.

Устройство-прототип работает следующим образом.The prototype device operates as follows.

На вход системы ФАПЧ 1 подается низкочастотный сигнал опорной частоты, который преобразуется в СВЧ-сигнал fCLK. С выхода системы ФАПЧ 1 сигнал fCLK поступает на ответвитель 2, который разделяет этот сигнал по мощности. Одна часть разделенного СВЧ-сигнала поступает на вход делителя тактовой частоты 3, а другая часть - на вход DDS 5, где тактовый сигнал fCLK разветвляется и подается на входы контроллера 15, аккумулятора фазы 16 и цифроаналогового преобразователя 20. При получении СВЧ-сигнала DDS 5 запускается и ожидает команд от схемы управления 4. В то же время делитель тактовой частоты 3 перестраивает полученный СВЧ-сигнал по частоте и подает последовательность тактовых импульсов системной частоты fSYS (fSYS= fCLK/K, где fSYS - системная частота, K - коэффициент деления), на счетчик 7 устройства фазовой памяти 6 и на один из входов формирователя данных 14, запуская схему управления 4. Счетчик 7 начинает накапливать тактовые импульсы и через каждый такт (1 такт = 1 период сигнала fSYS) выдает накопленное значение в виде двоичного кода на умножитель 8. В это же время на входы первого 11 и второго 12 мультиплексоров подается сигнал выбора частоты (i - порядковый номер частоты).At the input of the PLL 1, a low-frequency reference frequency signal is supplied, which is converted into a microwave signal f CLK . From the output of the PLL 1, the signal f CLK is supplied to the coupler 2, which separates this signal by power. One part of the divided microwave signal is fed to the input of the clock divider 3, and the other part is fed to the input DDS 5, where the clock signal f CLK is branched and fed to the inputs of the controller 15, the battery of phase 16, and the digital-to-analog converter 20. Upon receipt of the microwave signal DDS 5 starts and waits for commands from the control circuit 4. At the same time, clock divider 3 tunes the received microwave signal in frequency and provides a sequence of clock pulses of system frequency f SYS (f SYS = f CLK / K, where f SYS is the system frequency, K is the division coefficient), by counter 7 of the phase memory device 6 and to one of the inputs of the data shaper 14, starting the control circuit 4. Counter 7 starts to accumulate clock pulses and after each clock cycle (1 clock = 1 period of the signal f SYS ) gives the accumulated value in the form of a binary code to the multiplier 8 At the same time, a frequency selection signal (i - frequency serial number) is applied to the inputs of the first 11 and second 12 multiplexers.

Первый мультиплексор 11 обрабатывает полученный сигнал и «выбирает» из набора регистров нужный регистр фазовой отстройки 10 в соответствии со значением номера частоты i. Соответствующее значение инкремента фазы POWi в виде двоичного кода с выхода выбранного регистра фазовой отстройки 10 поступает на один из входов первого мультиплексора 11, а затем подается на умножитель 8, где и перемножается с накопленным в счетчике 7 значением. В результате перемножения формируется значение фазовой отстройки PTWi для заданной частоты, которое поступает на вход формирователя данных 14.The first multiplexer 11 processes the received signal and "selects" from the set of registers the desired phase detuning register 10 in accordance with the value of the frequency number i. The corresponding value of the increment of the phase POW i in the form of binary code from the output of the selected register phase detuning 10 is supplied to one of the inputs of the first multiplexer 11, and then fed to the multiplier 8, where it is multiplied with the value accumulated in the counter 7. As a result of multiplication, the phase detuning value PTW i is generated for a given frequency, which is input to the data former 14.

Второй мультиплексор 12 также обрабатывает полученный сигнал и «выбирает» из набора регистров нужный регистр частоты 9 в соответствии со значением номера частоты i. Соответствующее значение частоты FTWi в виде двоичного кода с выхода выбранного регистра частоты 9 поступает на один из входов второго мультиплексора 12, а затем подается на другой вход формирователя данных 14.The second multiplexer 12 also processes the received signal and "selects" from the set of registers the desired frequency register 9 in accordance with the value of the frequency number i. The corresponding frequency value FTW i in the form of a binary code from the output of the selected frequency register 9 is supplied to one of the inputs of the second multiplexer 12, and then fed to the other input of the data shaper 14.

На свободный вход формирователя данных 14 из регистра конфигурации DDS 13 подается значение конфигурации DDS CW (начальные условия работы DDS: форма выходного сигнала, режим генерации сигнала и т.д.) в виде двоичного кода.To the free input of the data shaper 14 from the DDS 13 configuration register, the DDS CW configuration value (initial DDS operating conditions: output signal form, signal generation mode, etc.) is supplied in the form of a binary code.

Формирователь данных 14 обрабатывает полученные данные (PTWi, FTWi и CW) и выдает сигнал сброса (RESET) на вход контроллера 15, обнуляя все регистры DDS 5, в целях исключения наложения записываемых данных на остаточные. После этого формирователь данных 14 отправляет накопленные данные о значениях фазовой отстройки, частоты и конфигурации DDS на контроллер 15 DDS 5.The data shaper 14 processes the received data (PTW i , FTW i and CW) and provides a reset signal (RESET) to the input of the controller 15, resetting all DDS 5 registers, in order to avoid overwriting the recorded data on the residual. After that, the data shaper 14 sends the accumulated data on the values of the phase offset, frequency and configuration of the DDS to the controller 15 DDS 5.

Контроллер 15 анализирует полученные кодовые последовательности (значения фазовой отстройки, частоты и конфигурации DDS) и записывает эти данные во внутренние регистры аккумулятора фазы 16 по фронту тактовой частоты fCLK.The controller 15 analyzes the received code sequences (phase offset, frequency and DDS configuration values) and writes this data to the internal registers of the phase 16 accumulator along the edge of the clock frequency f CLK .

Аккумулятор фазы 16 складывает соответствующее значение частоты FTWi с собственным внутренним значением (в двоичном коде) и в каждый такт выдает результат сложения IP, являющийся мгновенным значением фазы в двоичном коде, на сумматор 17. При этом этот же результат сложения IP посредством обратной связи подается на второй вход аккумулятора фазы 16 и вновь складывается с тем же заданным соответствующим значением частоты FTWi. Процесс сложения длится непрерывно, пока работает синтезатор частот. На другой вход сумматора 17 контроллером 15 подается значение фазовой отстройки PTWi, которое складывается с мгновенным значением фазы IP.The phase 16 accumulator adds the corresponding value of the frequency FTW i with its own internal value (in binary code) and in each clock cycle gives the result of adding IP, which is the instantaneous value of the phase in binary code, to adder 17. At the same time, the same result of adding IP by feedback is fed to the second input of the battery of phase 16 and is added up again with the same preset corresponding frequency value FTW i . The addition process continues continuously while the frequency synthesizer is working. To the other input of the adder 17, the controller 15 supplies the phase detuning value PTW i , which is added to the instantaneous phase IP value.

С выхода сумматора 17 отстроенное значение фазы в виде двоичного кода подается на вход амплитудно-фазового конвертера 18. Конвертер 18 выбирает значение функции синуса в соответствии с полученным значением фазы и выдает амплитудное значение синуса на вход умножителя DDS 19, на другой вход которого с выхода контроллера 15 подается масштабирующий коэффициент амплитуды ATW.From the output of the adder 17, the detuned phase value in the form of a binary code is fed to the input of the amplitude-phase converter 18. Converter 18 selects the sine function value in accordance with the obtained phase value and outputs the amplitude sine value to the input of the DDS 19 multiplier, to the other input of which is from the controller output 15, an ATW scaling factor is applied.

С выхода умножителя DDS 19 отмасштабированное по амплитуде значение сигнала в цифровом виде поступает на цифроаналоговый преобразователь 20, который преобразует это значение в аналоговый вид и выдает на выход DDS 5 сигнал FOUT синусоидальной формы.From the output of the DDS multiplier 19, the amplitude-scaled value of the signal is digitally supplied to a digital-to-analog converter 20, which converts this value into an analog form and outputs a F OUT sine wave signal to the output of the DDS 5.

Недостатком вышеописанного синтезатора частот является сложный алгоритм тактирования применяемых микросхем, требующий применения дополнительных схемных компонентов - ответвителя и делителя тактовой частоты. Плата синтезатора частот прототипа имеет достаточно большие размеры.The disadvantage of the above frequency synthesizer is the complex timing algorithm of the used circuits, which requires the use of additional circuit components - a coupler and a clock divider. The prototype frequency synthesizer board is quite large.

Недостатком также является финитный (конечный) характер когерентности синтезируемых частот, определяемый разрядностью используемого в устройстве фазовой памяти счетчика. По достижении заданного разрядностью значения счетчик обнуляется, происходит потеря полезной информации в виде значений фазовой отстройки, что приводит к рассогласованности частот на выходе синтезатора. Отсутствие когерентности частот на выходе синтезатора приведет к тому, что при дальнейшей обработке сигнала в приемопередающем тракте бортовой РЛС будет накапливаться ошибка. В результате точность определения параметров целевых объектов бортовой РЛС снизится.A disadvantage is also the finite (finite) nature of the coherence of the synthesized frequencies, which is determined by the capacity of the counter phase memory used in the device. Upon reaching the value set by the digit capacity, the counter is reset to zero, there is a loss of useful information in the form of phase detuning values, which leads to a frequency mismatch at the output of the synthesizer. The lack of frequency coherence at the output of the synthesizer will lead to the fact that during further processing of the signal, an error will accumulate in the transceiver path of the airborne radar. As a result, the accuracy of determining the parameters of targets onboard radar will decrease.

Недостатком вышеописанного синтезатора также является применение аппаратного сброса всех регистров микросхемы DDS, вследствие которого процесс синтеза частоты прекращается на время перезаписи необходимых регистров. Т.е. происходит молчание схемы на некоторый период и, таким образом, уменьшается время полезной работы всего синтезатора частот, что также приводит к снижению точности определения параметров целевых объектов бортовой РЛС.The disadvantage of the synthesizer described above is the use of hardware reset of all the registers of the DDS chip, as a result of which the frequency synthesis process stops during the overwriting of the necessary registers. Those. the circuit is silent for a period and, thus, the useful time of the entire frequency synthesizer is reduced, which also leads to a decrease in the accuracy of determining the parameters of the target objects of the airborne radar.

Предлагаемое техническое решение направлено на устранение вышеперечисленных недостатков. Технический результат заключается в обеспечении высокой точности определения параметров целевых объектов бортовыми РЛС и уменьшении массогабаритных характеристик приемопередающего тракта всей бортовой РЛС за счет оптимизации структуры синтезатора частот.The proposed technical solution is aimed at eliminating the above disadvantages. The technical result consists in providing high accuracy in determining the parameters of target objects by airborne radars and reducing the weight and size characteristics of the transceiver path of the entire airborne radar station by optimizing the structure of the frequency synthesizer.

Технический результат достигается тем, что синтезатор частот, содержащий систему фазовой автоподстройки частоты, микросхему прямого цифрового синтеза (DDS), состоящую из последовательно подключенных контроллера, аккумулятора фазы с обратной связью, сумматора, амплитудно-фазового конвертера, умножителя DDS и цифроаналогового преобразователя, при этом выходы контроллера подключены к аккумулятору фазы, сумматору и умножителю DDS соответственно, и схему управления, включающую в себя устройство фазовой памяти, состоящее из параллельно включенных наборов регистров фазовой отстройки и регистров частоты и первого и второго мультиплексоров, свободные входы которых предназначены для приема значений порядкового номера частоты, а выход каждого регистра частоты подключен к соответствующим входам второго мультиплексора, формирователь данных, один вход которого соединен с выходом первого мультиплексора, а другой его вход соединен с регистром конфигурации DDS, при этом выход формирователя данных является выходом схемы управления и подключен к входу контроллера DDS, отличается тем, что устройство фазовой памяти содержит параллельно соединенные сумматоры с обратной связью, входы которых подключены к соответствующим регистрам фазовой отстройки, а выход каждого сумматора подключен к соответствующим входам первого мультиплексора, при этом DDS содержит генератор синхроимпульсов, подключенный к тактовому входу схемы управления, и сообщается с каждым сумматором устройства фазовой памяти.The technical result is achieved by the fact that a frequency synthesizer containing a phase-locked loop, a direct digital synthesis chip (DDS), consisting of a series-connected controller, a phase feedback battery, an adder, an amplitude-phase converter, a DDS multiplier and a digital-to-analog converter, the controller outputs are connected to a phase accumulator, an adder and a DDS multiplier, respectively, and a control circuit including a phase memory device consisting of in parallel with sets of phase detuning registers and frequency registers and the first and second multiplexers, the free inputs of which are designed to receive values of the frequency serial number, and the output of each frequency register is connected to the corresponding inputs of the second multiplexer, a data shaper, one input of which is connected to the output of the first multiplexer, and its other input is connected to the DDS configuration register, while the output of the data driver is the output of the control circuit and connected to the input of the DDS controller, characterized in that the phase memory device contains parallel connected adders with feedback, the inputs of which are connected to the corresponding registers of the phase detuning, and the output of each adder is connected to the corresponding inputs of the first multiplexer, while the DDS contains a clock generator connected to the clock input of the control circuit, and communicates with each adder phase memory devices.

Структурная схема предлагаемого синтезатора частот приведена на фиг. 2, где введены следующие обозначения:The structural diagram of the proposed frequency synthesizer is shown in FIG. 2, where the following notation is introduced:

1 - система фазовой автоподстройки частоты (ФАПЧ);1 - phase locked loop (PLL);

2 - микросхема прямого цифрового синтеза (DDS);2 - direct digital synthesis chip (DDS);

3 - генератор синхроимпульсов;3 - a clock generator;

4 - контроллер;4 - controller;

5 - аккумулятор фазы;5 - phase accumulator;

6 - сумматор;6 - adder;

7 - амплитудно-фазовый конвертер;7 - amplitude phase converter;

8 - умножитель DDS;8 - DDS multiplier;

9 - цифроаналоговый преобразователь9 - digital-to-analog converter

10 - схема управления;10 is a control diagram;

11 - устройство фазовой памяти;11 - phase memory device;

12 - регистр фазовой отстройки;12 - register phase detuning;

13 - регистр частоты;13 - frequency register;

14 - сумматор;14 - adder;

15 - первый мультиплексор;15 - the first multiplexer;

16 - второй мультиплексор;16 - second multiplexer;

17 - формирователь данных;17 - data generator;

18 - регистр конфигурации DDS.18 - DDS configuration register.

fCLK - тактовая частота DDS;f CLK - DDS clock frequency;

fSYS - системная частота схемы управления; i - номер частоты;f SYS is the system frequency of the control circuit; i is the frequency number;

POW - (phase offset word) - инкремент фазы;POW - (phase offset word) - phase increment;

FTW - (frequency timing word) - значение частоты;FTW - (frequency timing word) - frequency value;

PTW - (phase tuning word) - значение фазовой отстройки;PTW - (phase tuning word) - value of phase detuning;

CW - (configuration word) - значение конфигурации DDS;CW - (configuration word) - DDS configuration value;

IP - (instantaneous phase) - мгновенное значение фазы;IP - (instantaneous phase) - instantaneous phase value;

FOUT - выходной сигнал синтезатора частот.F OUT - the output signal of the frequency synthesizer.

Синтезатор частот содержит систему фазовой автоподстройки частоты (ФАПЧ) 1, выход которой подключен к тактовому входу микросхемы прямого цифрового синтеза (DDS) 2.The frequency synthesizer contains a phase locked loop (PLL) 1, the output of which is connected to the clock input of a direct digital synthesis chip (DDS) 2.

DDS 2 включает в себя генератор синхроимпульсов 3, последовательно подключенные контроллер 4, аккумулятор фазы 5 в виде накапливающего сумматора с обратной связью, сумматор 6, амплитудно-фазовый конвертер 7, представляющий собой постоянное запоминающее устройство (ПЗУ), в котором записаны табличные значения одного периода функции синуса, и предусмотренный для обработки кода фазы, умножитель DDS 8 и цифроаналоговый преобразователь 9 для преобразования выбранного по амплитуде значения сигнала из цифрового вида в аналоговый и выдачи преобразованного сигнала FOUT синусоидальной формы на выход DDS, являющийся выходом всего синтезатора частот.DDS 2 includes a clock generator 3, a controller 4 connected in series, a phase 5 battery in the form of an accumulating feedback adder, an adder 6, an amplitude-phase converter 7, which is a read-only memory (ROM), in which tabular values of one period are recorded sine function, and provided for processing the phase code, a DDS 8 multiplier and a digital-to-analog converter 9 for converting the amplitude-selected signal value from a digital form to analog and issuing a converter of the signal F OUT sine wave to the DDS output, which is the output of the entire frequency synthesizer.

Синтезатор частот также содержит схему управления 10, организованную на базе ПЛИС. Схема управления 10 включает в себя устройство фазовой памяти 11, представляющее собой параллельно включенные наборы регистров фазовой отстройки 12, регистров частоты 13 и сумматоров 14 с обратной связью для каждой частоты, при этом выход каждого регистра фазовой отстройки 12 соединен с входом соответствующего сумматора 14, и первый 15 и второй 16 мультиплексоры, формирователь данных 17 и регистр конфигурации DDS 18, задающий начальные условия работы DDS 2. Выходы каждого регистра частоты 13 подключены к соответствующим входам первого 15 мультиплексора, а выходы каждого сумматора 14 подключены к соответствующим входам второго 16 мультиплексора. Выходы мультиплексоров 15 и 16 подключены к входам формирователя данных 17. Выход формирователя данных 17 является выходом схемы управления 10 и подключен к входу контроллера 4 DDS 2. Тактовый вход схемы управления 10 подключен к выходу генератора синхроимпульсов 3 DDS 2.The frequency synthesizer also contains a control circuit 10, organized on the basis of the FPGA. The control circuit 10 includes a phase memory device 11, which is a parallel-connected sets of phase offset registers 12, frequency registers 13 and adders 14 with feedback for each frequency, while the output of each phase offset register 12 is connected to the input of the corresponding adder 14, and the first 15 and second 16 multiplexers, a data shaper 17 and a configuration register DDS 18 defining the initial operating conditions of the DDS 2. The outputs of each frequency register 13 are connected to the corresponding inputs of the first 15 multiplexer, and the outputs of each adder 14 are connected to the corresponding inputs of the second 16 multiplexer. The outputs of the multiplexers 15 and 16 are connected to the inputs of the shaper 17. The output of the shaper 17 is the output of the control circuit 10 and connected to the input of the controller 4 DDS 2. The clock input of the control circuit 10 is connected to the output of the clock generator 3 DDS 2.

Устройство работает следующим образом.The device operates as follows.

На вход системы ФАПЧ 1 подается низкочастотный сигнал опорной частоты, который преобразуется в СВЧ-сигнал fCLK. С выхода системы ФАПЧ 1 сигнал fCLK подается на тактовый вход схемы DDS 2 и, разветвляясь, поступает на входы контроллера 4, аккумулятора фазы 5, цифроаналогового преобразователя 9 и генератора синхроимпульсов 3. При получении сигнала fCLK генератор синхроимпульсов 3 формирует системную тактовую частоту fSYS и подает последовательность импульсов на тактовый вход схемы управления 10, запуская ее. Системная частота fSYS подается на входы каждого сумматора 14 устройства фазовой памяти 11 и на один из входов формирователя данных 17. На другие входы сумматоров 14 подаются соответствующие данному набору значения инкрементов фазы POWi в виде двоичных кодов.At the input of the PLL 1, a low-frequency reference frequency signal is supplied, which is converted into a microwave signal f CLK . From the output of the PLL 1, the signal f CLK is fed to the clock input of the DDS 2 circuit and, branching, is fed to the inputs of the controller 4, the phase 5 accumulator, the digital-to-analog converter 9, and the clock generator 3. Upon receipt of the signal f CLK, the clock generator 3 generates the system clock frequency f SYS and feeds the pulse train to the clock input of the control circuit 10, starting it. The system frequency f SYS is supplied to the inputs of each adder 14 of the phase memory device 11 and to one of the inputs of the data shaper 17. The incremental values of the POW i phase increments in the form of binary codes corresponding to this set are supplied to the other inputs of the adder 14.

Значения инкрементов фазы POWi являются заранее вычисленными по формуле значениями для каждого значения частоты FTWi и хранятся в соответствующих регистрах фазовой отстройки 12:The values of the increments of the phase POW i are pre-calculated by the formula values for each frequency value FTW i and are stored in the corresponding registers of the phase offset 12:

Figure 00000001
Figure 00000001

гдеWhere

i - порядковый номер частоты, соответствующий значению частоты, записанной в регистре частоты (i=1, 2 …, N);i is the serial number of the frequency corresponding to the value of the frequency recorded in the frequency register (i = 1, 2 ..., N);

POWi - значение инкремента фазы соответствующего регистра фазовой отстройки в двоичном коде;POW i is the phase increment value of the corresponding phase detuning register in binary code;

FTWi - значение частоты соответствующего регистра частоты в двоичном коде;FTW i is the frequency value of the corresponding frequency register in binary code;

fCLK - значение тактовой частоты, подаваемой на DDS;f CLK - the value of the clock frequency supplied to the DDS;

fSYS - значение системной частоты, подаваемой на схему управления.f SYS is the value of the system frequency supplied to the control circuit.

Значения FTWi регистров частоты являются программно заданными и вычисленными по формуле исходя из значений частот FOUT, синтезируемых DDS:The FTW i values of the frequency registers are programmed and calculated by the formula based on the values of the F OUT frequencies synthesized by DDS:

Figure 00000002
Figure 00000002

гдеWhere

FOUTi- значение i-й частоты, синтезируемой DDS.F OUTi - the value of the i-th frequency synthesized by DDS.

Каждый сумматор 14 складывает соответствующее значение инкремента фазы POWi с собственным внутренним значением и в каждый такт выдает результат сложения PTWi, являющийся значением фазовой отстройки в виде двоичного кода, на соответствующий выход второго мультиплексора 16. При этом результат сложения PTWi посредством обратной связи подается на другой вход сумматора 14 и вновь складывается с тем же заданным соответствующим значением инкремента фазы POWi. Процесс сложения длится непрерывно, пока работает синтезатор частот.Each adder 14 adds the corresponding increment value of the POW i phase with its own internal value and outputs the addition result PTW i , which is the phase offset value in the form of a binary code, to the corresponding output of the second multiplexer 16. In this case, the addition result of PTW i is fed back via feedback to the other input of the adder 14 and again added up with the same specified corresponding value of the phase increment POW i . The addition process continues continuously while the frequency synthesizer is working.

На свободный вход второго мультиплексора 16 подается сигнал выбора частоты (i - порядковый номер частоты), на основании которого мультиплексор 16 выбирает соответствующий набор регистров фазовой отстройки 12 и сумматоров 14 (например, i=1, выбирается 1-й набор) и подает значение фазовой отстройки PTWi из выбранного набора на вход формирователя данных 17.The frequency select signal (i is the frequency serial number) is applied to the free input of the second multiplexer 16, on the basis of which the multiplexer 16 selects the corresponding set of phase offset registers 12 and adders 14 (for example, i = 1, the 1st set is selected) and supplies the phase value detuning PTW i from the selected set to the input of the data shaper 17.

На свободный вход первого мультиплексора 15 также подается сигнал выбора частоты, на основании которого мультиплексор 15 выбирает соответствующий набор регистров частоты 13 и подает значение частоты FTWi из выбранного набора на вход формирователя данных 17.The frequency select signal is also fed to the free input of the first multiplexer 15, based on which the multiplexer 15 selects the corresponding set of frequency registers 13 and supplies the frequency value FTW i from the selected set to the input of the data former 17.

На свободный вход формирователя данных 17 поступает значение конфигурации DDS CW (начальные условия работы DDS: форма выходного сигнала, режим генерации сигнала и т.д.), хранящееся в регистре конфигурации DDS 18.The DDS CW configuration value (initial DDS operating conditions: output signal form, signal generation mode, etc.) stored in the DDS 18 configuration register is supplied to the free input of the data shaper 17.

Формирователь данных 17 обрабатывает полученные данные (PTWi, FTWi и CW) и посылает накопленные значения фазовой отстройки PTWi, частоты FTWi и конфигурации DDS CW на вход контроллера 4 DDS 2. Отправка данных формирователем 17 осуществляется по фронту системной частоты fSYS.Shaper data 17 processes the received data (PTW i , FTW i and CW) and sends the accumulated phase offset values PTW i , frequency FTW i and DDS configuration CW to the input of controller 4 DDS 2. Sending data by shaper 17 is carried out on the front of the system frequency f SYS .

Контроллер 4 анализирует полученные значения, посылает сигнал сброса (RESET) на аккумулятор фазы 5, обнуляя его внутренние регистры, задает условия работы DDS 2 и затем записывает во внутренние регистры аккумулятора фазы 5, сумматора 6 и умножителя DDS 8 значения частоты FTWi, фазовой отстройки PTWi и амплитуды ATW соответственно.Controller 4 analyzes the received values, sends a reset signal (RESET) to the phase 5 accumulator, resetting its internal registers, sets the operating conditions of the DDS 2 and then writes the FTW i frequency, phase offset values to the internal registers of the phase 5 accumulator, adder 6 and DDS 8 multiplier PTW i and ATW amplitudes, respectively.

Аккумулятор фазы 5 складывает полученное значение частоты FTWi с собственным внутренним значением и в каждый такт выдает результат сложения IP, являющийся мгновенным значением фазы в виде двоичного кода, на сумматор 6. При этом мгновенное значение фазы IP посредством обратной связи подается на другой вход аккумулятора фазы 5 и вновь складывается со значением частоты FTWi. Процесс сложения длится непрерывно, пока работает синтезатор частот. На другой вход сумматора 6 с выхода контроллера 4 подается значение фазовой отстройки PTWi, которое складывается с мгновенным значением фазы IP.The phase 5 accumulator adds the obtained value of the frequency FTW i with its own internal value and outputs the result of adding IP, which is the instantaneous value of the phase in the form of a binary code, to the adder 6. In this case, the instantaneous value of the IP phase is fed back to the other input of the phase accumulator 5 and again added to the frequency value FTW i . The addition process continues continuously while the frequency synthesizer is working. At the other input of the adder 6 from the output of the controller 4, the phase offset value PTW i is supplied, which is added to the instantaneous value of the IP phase.

С выхода сумматора 6 отстроенное значение фазы в виде двоичного кода подается на вход амплитудно-фазового конвертера 7. Конвертер 7 выбирает значение функции синуса в соответствии с полученным значением фазы и выдает амплитудное значение синуса на вход умножителя DDS 8, на другой вход которого с выхода контроллера 4 подается масштабирующий коэффициент амплитуды ATW.From the output of adder 6, the detuned phase value in the form of a binary code is fed to the input of the amplitude-phase converter 7. Converter 7 selects the value of the sine function in accordance with the obtained phase value and provides the amplitude value of the sine to the input of the DDS 8 multiplier, to the other input of which is from the controller output 4, a scaling amplitude coefficient ATW is supplied.

С выхода амплитудно-фазового конвертера 7 отмасштабированное значение сигнала в цифровом виде поступает на цифроаналоговый преобразователь 9, который преобразует это значение в аналоговый вид и выдает на выход DDS 2, являющийся выходом синтезатора частот, сигнал FOUT синусоидальной формы.From the output of the amplitude-phase converter 7, the scaled signal value in digital form is fed to a digital-to-analog converter 9, which converts this value into analog form and outputs to the DDS 2 output, which is the output of the frequency synthesizer, a F OUT signal of a sinusoidal shape.

Для построения схемы управления возможно использование ПЛИС фирм Altera и Xilinx (к примеру, EP3C5E144I7N, EP3C25E144I7N, EP3C120F484I7N, EP4CE115F23I7N, EP4CGX150CF23I7N и т.д.). Для построения микросхемы прямого цифрового синтеза (DDS) возможно использование микросхем фирмы Analog Devices (к примеру, AD9914BCPZ, AD9915BCPZ). В качестве системы ФАПЧ возможно использование микросхем фирм Analog Devices, Hittite (к примеру, HMC440QS16G, HMC830PL6GE, HMC833LP6GE, ADF4350BCPZ и т.д.).To build a control circuit, it is possible to use FPGAs from Altera and Xilinx (for example, EP3C5E144I7N, EP3C25E144I7N, EP3C120F484I7N, EP4CE115F23I7N, EP4CGX150CF23I7N, etc.). To build a direct digital synthesis chip (DDS), you can use chips from Analog Devices (for example, AD9914BCPZ, AD9915BCPZ). As a PLL system, it is possible to use microcircuits from Analog Devices, Hittite (for example, HMC440QS16G, HMC830PL6GE, HMC833LP6GE, ADF4350BCPZ, etc.).

Для реализации настоящего изобретения применяется схема прямого цифрового синтеза частот серии AD9914, содержащая генератор синхроимпульсов. Генератор синхроимпульсов необходим для синхронизации момента запуска нескольких микросхем, используемых в синтезаторе частот. В заявленном синтезаторе применяется всего одна схема DDS, а выход генератора синхроимпульсов подключается к тактовому входу схемы управления. Тактовые импульсы генератора запускают схему управления. Такое подключение позволяет отказаться от использования дополнительных электронных компонентов в схеме синтезатора частот (в частности, ответвителя и делителя тактовых импульсов) и, тем самым, уменьшить массогабаритные параметры платы синтезатора частот и приемопередающего тракта всей БРЛС соответственно.To implement the present invention, a direct digital frequency synthesis circuit of the AD9914 series is used, comprising a clock generator. A clock generator is needed to synchronize the start time of several circuits used in a frequency synthesizer. In the claimed synthesizer, only one DDS circuit is used, and the output of the clock generator is connected to the clock input of the control circuit. Generator clocks trigger a control circuit. This connection allows you to abandon the use of additional electronic components in the frequency synthesizer circuit (in particular, a coupler and a clock divider) and, thereby, reduce the weight and size parameters of the frequency synthesizer board and the transceiver path of the entire radar, respectively.

Организация устройства фазовой памяти схемы управления в виде набора сумматоров с обратной связью позволяет избежать потери полезной информации в виде значений фазовой отстройки. Это достигается тем, что сумматор свободен от обнуления, т.е. он может складывать значения фазовой отстройки для каждой частоты бесконечно долго без потери информации об остатке фазы. Таким образом, синтез частоты на протяжении всего времени работы устройства происходит непрерывно без разрыва фазы, что способствует улучшению когерентности сигнала в синтезаторе частот. Благодаря этому качество обработки сигнала в приемопередающем тракте БРЛС повышается, и БРЛС может определять различные параметры целевых объектов БРЛС с высокой точностью.The organization of the phase memory device of the control circuit in the form of a set of adders with feedback avoids the loss of useful information in the form of phase detuning values. This is achieved by the fact that the adder is free from zeroing, i.e. it can add phase offset values for each frequency indefinitely without loss of information about the remainder of the phase. Thus, the synthesis of the frequency throughout the entire operation of the device occurs continuously without phase disruption, which helps to improve the coherence of the signal in the frequency synthesizer. Due to this, the signal processing quality in the radar transceiver path is improved, and the radar can determine various parameters of the radar target objects with high accuracy.

Также высокая точность определения параметров целевых объектов БРЛС достигается применением программного сброса в микросхеме DDS. В отличие от аппаратного сброса, применяемого в прототипе и обнуляющего все регистры микросхемы, программный сброс при появлении сигнала об обновлении данных обнуляет только аккумулятор фазы DDS, т.к. для синтеза когерентных частот необходимо контролировать только значение фазы, т.е. обнуление всех регистров DDS не требуется. Это позволяет DDS синтезировать старую частоту во время записи в него новых данных. Таким образом, время переключения синтезатора между частотами сокращается из-за отсутствия периода молчания схемы после сброса, что позволяет непрерывно обрабатывать сигнал в приемопередающем тракте БРЛС.Also, high accuracy in determining the parameters of radar targets is achieved by using a software reset in the DDS chip. In contrast to the hardware reset used in the prototype and zeroing all the registers of the microcircuit, a software reset when a signal appears about updating the data only resets the DDS phase battery, because for the synthesis of coherent frequencies, it is necessary to control only the phase value, i.e. resetting all DDS registers is not required. This allows DDS to synthesize the old frequency while writing new data to it. Thus, the switching time of the synthesizer between frequencies is reduced due to the absence of a period of silence of the circuit after a reset, which allows you to continuously process the signal in the transceiver path of the radar.

На фиг. 3 приведены графики выходных сигналов эталонного и испытуемого синтезаторов частот. При проверке синтезатора частот производился одновременный запуск двух синтезаторов на одинаковых частотах. Каждый синтезатор подключался к одному из каналов осциллографа, синхронизированного по фронту одного из синтезаторов (эталонного), относительно которого измерялась начальная фаза «испытуемого» синтезатора. Развертку осциллографа настроили так, чтобы можно было различать сигналы двух синтезаторов: поменяли амплитудную развертку испытуемого синтезатора (график большей амплитуды) относительно эталонного (график меньшей амплитуды) так, чтобы его амплитуда была в два раза больше амплитуды другого (фиг. 3А, время операции 09:24:56). Затем меняли частоту испытуемого синтезатора, при этом, поскольку осциллограф синхронизирован по фронту сигнала от другого синтезатора, график начинал «дрожать» (фиг. 3Б, время операции 09:25:24). После этого частоту испытуемого синтезатора снова переключали на прежнюю. Сигнал синтезатора при этом «вставал» на прежние значения начальной фазы относительно сигнала эталонного синтезатора (фиг. 3В, время операции 09:25:56).In FIG. Figure 3 shows the graphs of the output signals of the reference and test frequency synthesizers. When checking the frequency synthesizer, two synthesizers were simultaneously launched at the same frequencies. Each synthesizer was connected to one of the channels of the oscilloscope, synchronized along the front of one of the synthesizers (reference), relative to which the initial phase of the “test” synthesizer was measured. The oscilloscope sweep was set up so that the signals of two synthesizers could be distinguished: the amplitude sweep of the tested synthesizer was changed (graph of larger amplitude) relative to the reference (graph of smaller amplitude) so that its amplitude was two times the amplitude of the other (Fig. 3A, operation time 09 : 24: 56). Then, the frequency of the tested synthesizer was changed, and since the oscilloscope was synchronized along the edge of the signal from another synthesizer, the graph started to “tremble” (Fig. 3B, operation time 09:25:24). After that, the frequency of the tested synthesizer was again switched to the previous one. The synthesizer signal thus “rose” to the previous values of the initial phase relative to the reference synthesizer signal (Fig. 3B, operation time 09:25:56).

Испытания показали, что при переключении частот сигналы синтезатора частот в разные моменты времени остаются когерентными. Благодаря этому качество обработки сигнала в приемопередающем тракте БРЛС повышается, и БРЛС может определять различные параметры целевых объектов БРЛС с высокой точностью.Tests have shown that when switching frequencies, the signals of the frequency synthesizer at different points in time remain coherent. Due to this, the signal processing quality in the radar transceiver path is improved, and the radar can determine various parameters of the radar target objects with high accuracy.

Claims (1)

Синтезатор частот, содержащий систему фазовой автоподстройки частоты, микросхему прямого цифрового синтеза (DDS), состоящую из последовательно подключенных контроллера, аккумулятора фазы с обратной связью, сумматора, амплитудно-фазового конвертера, умножителя DDS и цифро-аналогового преобразователя, при этом выходы контроллера подключены к аккумулятору фазы, сумматору и умножителю DDS соответственно, и схему управления, включающую в себя устройство фазовой памяти, состоящее из параллельно включенных наборов регистров фазовой отстройки и регистров частоты и первого и второго мультиплексоров, свободные входы которых предназначены для приема значений порядкового номера частоты, а выход каждого регистра частоты подключен к соответствующим входам второго мультиплексора, формирователь данных, один вход которого соединен с выходом первого мультиплексора, а другой его вход соединен с регистром конфигурации DDS, при этом выход формирователя данных является выходом схемы управления и подключен к входу контроллера DDS, отличающийся тем, что устройство фазовой памяти содержит параллельно соединенные сумматоры с обратной связью, входы которых подключены к соответствующим регистрам фазовой отстройки, а выход каждого сумматора подключен к соответствующим входам первого мультиплексора, при этом DDS содержит генератор синхроимпульсов, подключенный к тактовому входу схемы управления, и сообщается с каждым сумматором устройства фазовой памяти. A frequency synthesizer containing a phase-locked loop, a direct digital synthesis chip (DDS), consisting of a series-connected controller, a phase feedback battery, an adder, an amplitude-phase converter, a DDS multiplier and a digital-to-analog converter, while the controller outputs are connected to a phase accumulator, an adder and a DDS multiplier, respectively, and a control circuit including a phase memory device consisting of parallel sets of phase detuning registers and frequency registers and the first and second multiplexers, the free inputs of which are designed to receive frequency serial number values, and the output of each frequency register is connected to the corresponding inputs of the second multiplexer, a data shaper, one input of which is connected to the output of the first multiplexer, and its other input is connected to the register DDS configuration, while the output of the shaper is the output of the control circuit and is connected to the input of the DDS controller, characterized in that the phase memory device contains steam llelno connected adders with feedback inputs are connected to the respective registers of the phase detuning, and each combiner output being connected to respective inputs of the first multiplexer, the DDS comprises a clock generator connected to the clock input of the control circuit, and communicates with each adder phase memory device.
RU2015117991/08A 2015-05-13 2015-05-13 Frequency synthesizer RU2597477C1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
RU2015117991/08A RU2597477C1 (en) 2015-05-13 2015-05-13 Frequency synthesizer

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
RU2015117991/08A RU2597477C1 (en) 2015-05-13 2015-05-13 Frequency synthesizer

Publications (1)

Publication Number Publication Date
RU2597477C1 true RU2597477C1 (en) 2016-09-10

Family

ID=56892613

Family Applications (1)

Application Number Title Priority Date Filing Date
RU2015117991/08A RU2597477C1 (en) 2015-05-13 2015-05-13 Frequency synthesizer

Country Status (1)

Country Link
RU (1) RU2597477C1 (en)

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4951004A (en) * 1989-03-17 1990-08-21 John Fluke Mfg. Co., Inc. Coherent direct digital synthesizer
US5467294A (en) * 1994-03-09 1995-11-14 Hu; Vince High speed, low power direct digital synthesizer
RU90915U1 (en) * 2009-06-29 2010-01-20 Федеральное государственное унитарное предприятие "Научно-производственное предприятие "Полет" DIGITAL FREQUENCY SYNTHESIS
RU2504891C1 (en) * 2012-11-27 2014-01-20 Закрытое акционерное общество "Научно-производственный центр "Алмаз-Фазотрон" Method of generating output frequency of direct synthesis digital synthesiser
US20140240004A1 (en) * 2013-02-25 2014-08-28 Teledyne Wireless, Llc Phase disciplined, direct digital synthesizer based, coherent signal generator

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4951004A (en) * 1989-03-17 1990-08-21 John Fluke Mfg. Co., Inc. Coherent direct digital synthesizer
US5467294A (en) * 1994-03-09 1995-11-14 Hu; Vince High speed, low power direct digital synthesizer
RU90915U1 (en) * 2009-06-29 2010-01-20 Федеральное государственное унитарное предприятие "Научно-производственное предприятие "Полет" DIGITAL FREQUENCY SYNTHESIS
RU2504891C1 (en) * 2012-11-27 2014-01-20 Закрытое акционерное общество "Научно-производственный центр "Алмаз-Фазотрон" Method of generating output frequency of direct synthesis digital synthesiser
US20140240004A1 (en) * 2013-02-25 2014-08-28 Teledyne Wireless, Llc Phase disciplined, direct digital synthesizer based, coherent signal generator

Similar Documents

Publication Publication Date Title
EP2495634B1 (en) A time base generator and method for providing a first clock signal and a second clock signal
US7064616B2 (en) Multi-stage numeric counter oscillator
WO2008144579A2 (en) Fractional-n synthesized chirp generator
US10976409B2 (en) Frequency-modulated continuous wave generator and frequency-modulated continuous wave radar system including the same
CN109959905B (en) AD 9915-based phase compensation method and circuit for agile phase-coherent radar
EP2207263B1 (en) A digital time base generator and method for providing a first clock signal and a second clock signal
CN103675780A (en) Ku (K-under) wave band fully-coherent radar target simulator
WO2019094509A1 (en) Midband phase noise reducer for plls
US9602115B1 (en) Method and apparatus for multi-rate clock generation
RU2597477C1 (en) Frequency synthesizer
RU2566962C1 (en) Digital computational synthesiser of frequency-modulated signals
US11424749B1 (en) Analog lock detector for a phase lock loop
RU2701050C1 (en) Digital synthesizer of phase-shift keyed signals
RU2721408C1 (en) Digital computer synthesizer with fast frequency tuning
RU2710280C1 (en) Digital computing synthesizer for double-frequency signals
Ryabov Digital Synthesizers of Frequency-Modulated Signals
Samarah A 320 mhz digital linear frequency modulated signal generator for radar applications using fpga technology
Liu et al. Design and implementation of a ultra-high timing resolution pulse generator based on real-time computation
RU2362180C2 (en) Short-range radiolocator with ultra high resolution (versions)
RU2597670C1 (en) Digital synthesizer of variable frequency
Indrawijaya et al. Fractional-N PLL Synthesizer for FMCW Signal Generator with Dual-Mode Modulation Pattern
RU2726281C1 (en) Active phased antenna array
Klyuzhev et al. Direct digital synthesis of signals in radiolocation, communication and telecommunication systems
RU2757413C1 (en) Digital computational synthesizer for adaptive communication systems with pprf
Sawant et al. Waveform generator for RADAR using FPGA