RU2374745C2 - Voltage converter - Google Patents

Voltage converter Download PDF

Info

Publication number
RU2374745C2
RU2374745C2 RU2007122215/09A RU2007122215A RU2374745C2 RU 2374745 C2 RU2374745 C2 RU 2374745C2 RU 2007122215/09 A RU2007122215/09 A RU 2007122215/09A RU 2007122215 A RU2007122215 A RU 2007122215A RU 2374745 C2 RU2374745 C2 RU 2374745C2
Authority
RU
Russia
Prior art keywords
output
voltage
voltage converter
operational amplifier
inverting input
Prior art date
Application number
RU2007122215/09A
Other languages
Russian (ru)
Other versions
RU2007122215A (en
Inventor
Илья Романович Плоткин (RU)
Илья Романович Плоткин
Александр Николаевич Нагайцев (RU)
Александр Николаевич Нагайцев
Игорь Васильевич Твердов (RU)
Игорь Васильевич Твердов
Сергей Леонидович Затулов (RU)
Сергей Леонидович Затулов
Андрей Владимирович Картышов (RU)
Андрей Владимирович Картышов
Original Assignee
ООО "Александер Электрик источники электропитания"
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ООО "Александер Электрик источники электропитания" filed Critical ООО "Александер Электрик источники электропитания"
Priority to RU2007122215/09A priority Critical patent/RU2374745C2/en
Publication of RU2007122215A publication Critical patent/RU2007122215A/en
Application granted granted Critical
Publication of RU2374745C2 publication Critical patent/RU2374745C2/en

Links

Images

Landscapes

  • Dc-Dc Converters (AREA)

Abstract

FIELD: electricity.
SUBSTANCE: voltage converter consists of transistor switch, transformer, encircling diode, pulse-width modulation controller, current protection sensor, peak detector, the first and the second operating amplifiers, reserve capacity, resistors, smoothing capacitor, throttle, current transformer and their connections. The method differs by the fact that to current transformer there introduced is the third winding which is connected through an additional detector to adjustable output voltage to output "Current Blocking" of pulse-width modulation controller.
EFFECT: steady start up of voltage converter in the system with similar voltage converter operating in parallel for total load irrespective of the number of voltage converters and their power.
3 dwg

Description

Изобретение относится к электротехнике и может быть использовано для преобразования электрической энергии переменного и постоянного тока в постоянное стабилизированное напряжение. Далее рассматривается преобразователь напряжения постоянного напряжения в постоянное, так как преобразователь напряжения переменного напряжения в постоянное отличается только наличием двух дополнительных элементов: диодного моста и конденсатора, которые широко известны.The invention relates to electrical engineering and can be used to convert electrical energy of alternating and direct current to a constant stabilized voltage. Next, we consider a DC-to-DC voltage converter, since an AC to DC voltage converter differs only in the presence of two additional elements: a diode bridge and a capacitor, which are widely known.

Известен преобразователь напряжения, включающий транзисторный ключ, трансформатор, сглаживающий фильтр, обводной диод, ШИМ-контроллер, датчик токовой защиты [1]. Однако работа такого преобразователя напряжения в параллель с другим преобразователем напряжения на общую нагрузку невозможна.A known voltage converter, including a transistor switch, a transformer, a smoothing filter, a bypass diode, a PWM controller, a current protection sensor [1]. However, the operation of such a voltage converter in parallel with another voltage converter for the total load is impossible.

Наиболее близким по техническому существу к заявленному является выбранный в качестве прототипа преобразователь напряжения [2], содержащий транзисторный ключ, трансформатор, сглаживающий LC-фильтр, обводной диод, ШИМ-контроллер, датчик токовой защиты, двухобмоточный трансформатор тока, первая обмотка которого включена в выходную цепь, а вторая подключена к пиковому детектору, выход которого соединен с неинвертирующим входом первого операционного усилителя, а его инвертирующий вход через ограничительный резистор подключен к выводу «Параллель», которым снабжен каждый преобразователь напряжения, участвующий в параллельной работе, и которые соединены между собой [2, фиг.2,б]. Выход первого операционного усилителя подключен к накопительной емкости, которая соединена с инвертирующим входом второго операционного усилителя, а его неинвертирующий вход соединен с выходом токового детектора и средней точкой делителя, включенного на выходе преобразователя напряжения. Выход второго операционного усилителя соединен с инвертирующим входом усилителя ошибки ШИМ-контроллера.The closest in technical essence to the declared one is the voltage converter selected as a prototype [2], which contains a transistor switch, a transformer, a smoothing LC filter, a bypass diode, a PWM controller, a current protection sensor, a two-winding current transformer, the first winding of which is included in the output circuit, and the second is connected to a peak detector, the output of which is connected to the non-inverting input of the first operational amplifier, and its inverting input is connected to the output “Par allele ", which is equipped with each voltage Converter involved in parallel operation, and which are interconnected [2, Fig.2, b]. The output of the first operational amplifier is connected to a storage capacitor, which is connected to the inverting input of the second operational amplifier, and its non-inverting input is connected to the output of the current detector and the midpoint of the divider connected to the output of the voltage converter. The output of the second operational amplifier is connected to the inverting input of the error amplifier of the PWM controller.

Формирование сигнала ошибки осуществляется следующим образом. Величина тока на входе преобразователя напряжения определяется токовым трансформатором, а пиковый детектор преобразует ток в напряжение, которое подается на неинвертирующий вход первого операционного усилителя. На инвертирующий вход этого операционного усилителя поступает сигнал вывода «Параллель». Величина напряжения на этом выводе зависит от токов (предварительно преобразованных в напряжения) в других преобразователях напряжения. Схемотехника первого операционного усилителя такова, что напряжение на накопительной емкости будет определяться преобразователем с максимальным током. Напряжение с этой накопительной емкости подается на инвертирующий вход второго операционного усилителя. На неинвертирующий вход этого операционного усилителя поступает суммарный сигнал о величине выходного тока преобразователя напряжения и величине выходного напряжения. Напряжение на выходе второго операционного усилителя управляет ШИМ-контроллером. Чем ниже напряжение на выходе второго операционного усилителя, тем шире импульс на выходе ШИМ-контроллера, это приводит к повышению напряжения на выходе преобразователя напряжения, тем самым осуществляется принудительное равномерное распределение тока нагрузки между преобразователями напряжения. Возможным вариантом для такого преобразователя напряжения является включение первой обмотки токового трансформатора в цепь обводного диода, ток в которой пропорционален току нагрузки, но значительно меньше.The formation of the error signal is as follows. The magnitude of the current at the input of the voltage converter is determined by the current transformer, and the peak detector converts the current into voltage, which is fed to the non-inverting input of the first operational amplifier. At the inverting input of this operational amplifier receives the output signal "Parallel". The voltage value at this terminal depends on the currents (previously converted to voltages) in other voltage converters. The circuitry of the first operational amplifier is such that the voltage across the storage capacitance will be determined by the converter with the maximum current. The voltage from this storage capacitance is supplied to the inverting input of the second operational amplifier. The non-inverting input of this operational amplifier receives a total signal about the magnitude of the output current of the voltage converter and the magnitude of the output voltage. The voltage at the output of the second operational amplifier controls the PWM controller. The lower the voltage at the output of the second operational amplifier, the wider the pulse at the output of the PWM controller, this leads to an increase in the voltage at the output of the voltage converter, thereby uniformly distributing the load current between the voltage converters. A possible option for such a voltage converter is to include the first winding of the current transformer in the circuit of the bypass diode, the current in which is proportional to the load current, but much less.

Недостатком этого преобразователя напряжения является неустойчивый пуск при параллельной работе на общую нагрузку большого количества мощных преобразователей напряжения когда сопротивление нагрузки составляет сотые доли Ома. При такой нагрузке первый запустившийся преобразователь напряжения принимает нагрузку за короткое замыкание и снижает выходное напряжение до нуля. Следующий запустившийся преобразователь напряжения также начинает работать при нулевом напряжении, оценивает нагрузку как короткое замыкание и также переходит в режим защиты от перегрузок.The disadvantage of this voltage converter is an unstable start when parallel to the total load of a large number of powerful voltage converters when the load resistance is hundredths of a Ohm. With such a load, the first starting voltage converter takes the load for a short circuit and reduces the output voltage to zero. The next started voltage converter also starts to work at zero voltage, evaluates the load as a short circuit and also goes into overload protection mode.

Вероятность одновременного пуска нескольких преобразователей напряжения и заряда выходного конденсатора крайне мала. Устойчивый пуск системы параллельно включенных преобразователей напряжения невозможен в таких условиях.The probability of the simultaneous start of several voltage converters and the charge of the output capacitor is extremely small. A stable start-up of a system of parallel-connected voltage converters is impossible under such conditions.

Для устранения отмеченного недостатка в преобразователе напряжения, содержащем транзисторный ключ, трансформатор, сглаживающий LC-фильтр, обводной диод, ШИМ-контроллер, датчик токовой защиты, пиковый детектор, первый и второй операционные усилители, накопительную емкость, двухобмоточный трансформатор заменен на трехобмоточный, причем третья его обмотка подключена через регулируемый детектор к выводу «Блокировка по току» ШИМ-контроллера.To eliminate the noted drawback in the voltage converter containing a transistor switch, a transformer, a smoothing LC filter, a bypass diode, a PWM controller, a current protection sensor, a peak detector, the first and second operational amplifiers, the storage capacitance, the two-winding transformer is replaced by a three-winding one, the third its winding is connected through an adjustable detector to the output "current lock" of the PWM controller.

Сопоставительный анализ с прототипом показывает, что заявляемый преобразователь напряжения отличается наличием новых элементов: трехобмоточного трансформатора тока, дополнительного детектора с регулируемым выходным напряжением и их связями с остальными элементами схемы. Таким образом заявляемый преобразователь напряжения соответствует критерию «новизна».Comparative analysis with the prototype shows that the inventive voltage converter is characterized by the presence of new elements: a three-winding current transformer, an additional detector with an adjustable output voltage and their connections with the rest of the circuit elements. Thus, the inventive voltage Converter meets the criterion of "novelty."

Сравнение заявляемого решения с другими технически решениями показывает, что трехобмоточные трансформаторы и детекторы с регулируемым выходным напряжением широко известны. Однако при их введении в указанной связи с остальными элементами в заявляемый преобразователь напряжения вышеуказанные элементы проявляют новое свойство, что приводит к устойчивому пуску преобразователя напряжения в системе с аналогичным преобразователем напряжения, работающим в параллель на общую нагрузку, независимо от количества преобразователей напряжения и их мощности. Это позволяет сделать вывод о соответствии технического решения критерию «существенные отличия».Comparison of the proposed solution with other technical solutions shows that three-winding transformers and detectors with adjustable output voltage are widely known. However, when they are introduced in this connection with the other elements into the inventive voltage converter, the above elements exhibit a new property, which leads to a stable start-up of the voltage converter in a system with a similar voltage converter operating in parallel for a common load, regardless of the number of voltage converters and their power. This allows us to conclude that the technical solution meets the criterion of "significant differences".

На фиг.1 представлена структурная схема преобразователя напряжения, на фиг.2 - параллельное включение трех преобразователей напряжения на общую нагрузку, на фиг.3 - потенциальная и токовая диаграммы пуска трех преобразователей напряжения, работающих в параллель на общую нагрузку.Figure 1 shows the structural diagram of the voltage Converter, figure 2 - parallel connection of three voltage converters to the total load, figure 3 - potential and current start diagram of three voltage converters operating in parallel to the total load.

Преобразователь напряжения (фиг.1) содержит ШИМ-контроллер 1, вывод которого «Выход А» соединен с базой транзистора 2, а в цепь истока включен датчик токовой защиты 3, напряжение с которого подается на «Вход пилообразного напряжения» ШИМ-контроллера 1 и через резистор 4 на вывод «Блокировка по току» ШИМ-контроллера 1.The voltage Converter (figure 1) contains a PWM controller 1, the output of which “Output A” is connected to the base of the transistor 2, and a current protection sensor 3 is included in the source circuit, the voltage from which is supplied to the “sawtooth voltage input” of the PWM controller 1 and through resistor 4 to the output "current Lock" PWM controller 1.

В цепь стока транзистора включен трансформатор 5, вторичная обмотка которого подключена к выходу преобразователя напряжения одним концом через дроссель 6, другим - непосредственно. Также к концам вторичной обмотки подключен обводной диод 7 через первую обмотку токового трансформатора 8, а его вторая обмотка подключена к пиковому детектору 11, выход которого соединен с неинвертирующим входом операционного усилителя 12, а его инвертирующий вход через ограничительный резистор 13 - к выводу «Параллель».A transformer 5 is included in the drain circuit of the transistor, the secondary winding of which is connected to the output of the voltage converter at one end through inductor 6, and the other directly. Also, a bypass diode 7 is connected to the ends of the secondary winding 7 through the first winding of the current transformer 8, and its second winding is connected to a peak detector 11, the output of which is connected to the non-inverting input of the operational amplifier 12, and its inverting input through the limiting resistor 13 to the parallel output .

Выход операционного усилителя 12 подключен к накопительной емкости 15, которая соединена с инвертирующим входом операционного усилителя 16, а его неинвертирующий вход соединен с выходом пикового детектора 11 и средней точкой делителя из резисторов 17 и 18, включенного на выходе. Выход операционного усилителя 16 соединен с выводом «Усилитель ошибки» ШИМ-контроллера 1. На выходе преобразователя напряжения включен сглаживающий конденсатор 10, а между инвертирующим входом и выходом операционного усилителя 16 - резистор 14, определяющий коэффициент усиления операционного усилителя 16.The output of the operational amplifier 12 is connected to the storage capacitance 15, which is connected to the inverting input of the operational amplifier 16, and its non-inverting input is connected to the output of the peak detector 11 and the midpoint of the divider from the resistors 17 and 18 connected to the output. The output of the operational amplifier 16 is connected to the output “Error amplifier” of the PWM controller 1. At the output of the voltage converter, a smoothing capacitor 10 is connected, and a resistor 14 determining the gain of the operational amplifier 16 is connected between the inverting input and the output of the operational amplifier 16.

Третья обмотка трансформатора тока 8 через детектор с регулируемым выходным напряжением 9 соединена с ШИМ-контроллером 1.The third winding of the current transformer 8 through a detector with an adjustable output voltage 9 is connected to the PWM controller 1.

Преобразователь напряжения работает следующим образом. Работа рассматривается на примере пуска трех преобразователей напряжения, включенных в параллель на общую нагрузку (фиг.2).The voltage Converter operates as follows. The work is considered on the example of starting three voltage converters, connected in parallel to the total load (figure 2).

Предположим, что раньше всех включился первый преобразователь напряжения (фиг.3) и начинает работать на нагрузку, которая значительно превышает его номинальную мощность. На выходе нарастает ток Iн и напряжение Uн, и в момент t1 (фиг.3) ток достигает значения Iогр, которое установлено регулятором детектора 9.Suppose that first of all the first voltage converter turned on (Fig. 3) and starts to work on a load that significantly exceeds its rated power. At the output, the current I n and the voltage U n increase, and at time t 1 (Fig. 3), the current reaches the value of I og , which is set by the detector regulator 9.

На интервале t1-t5 первый преобразователь напряжения работает в режиме ограничения тока на уровне Iогр., при этом нарастает ток и напряжение на нагрузке. В момент t2 включается преобразователь напряжения 2, и на интервале t2-t3 ток нарастает до значения Iогр. и на этом уровне сохраняется на интервале t3-t5. Одновременно продолжается нарастание тока и напряжения на нагрузке. В момент t4 включается третий преобразователь напряжения, и в момент t5 его ток нарастает до номинального значения Iном., а токи первого и второго преобразователей напряжения снижаются от Iорг. до Iном. Мощность на нагрузке достигает номинального значения, а токи в каждом преобразователе напряжения в момент t5 выравниваются на уровне Iпр1=Iпр2=Iпр3=Iном/3.On the interval t 1 -t 5 the first voltage Converter operates in the mode of current limitation at the level of I ogre. , while increasing the current and voltage at the load. At time t 2, the voltage converter 2 is turned on, and in the interval t 2 -t 3 the current rises to the value of I ogre. and at this level is stored in the interval t 3 -t 5 . At the same time, an increase in current and voltage at the load continues. At time t 4, the third voltage converter is switched on , and at time t 5 its current rises to the nominal value I nom. , and the currents of the first and second voltage converters are reduced from I org. up to I nom . The power at the load reaches the nominal value, and the currents in each voltage converter at time t 5 are equalized at the level I pr1 = I pr2 = I pr3 = I nom / 3.

В установившемся режиме преобразователь напряжения работает так же как прототип [2].In steady state, the voltage converter works in the same way as a prototype [2].

Экспериментальные исследования заявленного преобразователя напряжения в составе выпрямителя мощностью 6 кВт, в который вошли восемь 900 Вт преобразователей напряжения, показали, что по сравнению с выпрямителем, составленным из преобразователей напряжения по схеме прототипа, обеспечивается устойчивый пуск выпрямителя.Experimental studies of the claimed voltage converter as part of a 6 kW rectifier, which includes eight 900 watts of voltage converters, showed that, in comparison with a rectifier made up of voltage converters according to the prototype circuit, a steady start of the rectifier is provided.

Источники информацииInformation sources

1. Интегральные микросхемы. Микросхемы для импульсных источников питания и их применение. ДОДЭКА 2000. Высокочастотный ШИМ-контроллер UC 3825 Unitrode Products from Texas Instruments, стр.246, рис.18.1. Integrated circuits. Chips for switching power supplies and their application. DODEKA 2000. UC 3825 High Frequency PWM Controller Unitrode Products from Texas Instruments, p. 246, Fig. 18.

2. Производство источников электропитания промышленного и специального назначения -АЭИЭП, г.Москва, 2006, С.56-59, рис.2, 3.2. Production of power supplies for industrial and special purposes - AEIEP, Moscow, 2006, S.56-59, Fig. 2, 3.

Claims (1)

Преобразователь напряжения, содержащий ШИМ-контроллер, соединенный выходом с базой транзистора, в цепь истока которого включен датчик токовой защиты, соединенного с входом пилообразного напряжения ШИМ-контроллера и через резистор на другой выход ШИМ-контроллера, при этом в цепь стока транзистора включен трансформатор, вторичная обмотка которого подключена к выходу преобразователя напряжения одним концом через дроссель, другим - непосредственно, на выходе преобразователя напряжения включен сглаживающий конденсатор, также к концам вторичной обмотки трансформатора подключен обводной диод через первую обмотку токового трансформатора, а его вторая обмотка подключена к пиковому детектору, выход которого соединен с неинвертирующим входом операционного усилителя, а инвертирующим входом через ограничительный резистор подключен к выводу «Параллель», выход операционного усилителя подключен к накопительной емкости, которая соединена с инвертирующим входом операционного усилителя, а его неинвертирующий вход соединен с выходом пикового детектора и средней точкой делителя из резисторов, включенных на выходе, кроме этого, выход операционного усилителя соединен с инвертирующим входом усилителя ошибки ШИМ-контроллера, а между инвертирующим входом и выходом операционного усилителя - резистор, определяющий коэффициент усиления операционного усилителя, отличающийся тем, что введенная в токовый трансформатор третья обмотка подключена через дополнительный детектор с регулируемым выходным напряжением к другому выходу ШИМ-контроллера. A voltage converter comprising a PWM controller connected by an output to the base of the transistor, the source circuit of which includes a current protection sensor connected to the input of the sawtooth voltage of the PWM controller and through a resistor to another output of the PWM controller, while the transformer is connected to the drain circuit of the transistor, the secondary winding of which is connected to the output of the voltage converter by one end through the inductor, the other directly, a smoothing capacitor is connected to the output of the voltage converter, also to the ends of the the transformer’s winding is connected to a bypass diode through the first winding of the current transformer, and its second winding is connected to a peak detector, the output of which is connected to the non-inverting input of the operational amplifier, and the inverting input is connected to the Parallel output through the limiting resistor, and the output of the operational amplifier is connected to the storage capacitor which is connected to the inverting input of the operational amplifier, and its non-inverting input is connected to the output of the peak detector and the midpoint of the divider of the resistors included in the output, in addition, the output of the operational amplifier is connected to the inverting input of the error amplifier of the PWM controller, and between the inverting input and the output of the operational amplifier there is a resistor that determines the gain of the operational amplifier, characterized in that the third winding inserted into the current transformer connected via an additional detector with adjustable output voltage to another output of the PWM controller.
RU2007122215/09A 2007-06-13 2007-06-13 Voltage converter RU2374745C2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
RU2007122215/09A RU2374745C2 (en) 2007-06-13 2007-06-13 Voltage converter

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
RU2007122215/09A RU2374745C2 (en) 2007-06-13 2007-06-13 Voltage converter

Publications (2)

Publication Number Publication Date
RU2007122215A RU2007122215A (en) 2008-12-20
RU2374745C2 true RU2374745C2 (en) 2009-11-27

Family

ID=41476947

Family Applications (1)

Application Number Title Priority Date Filing Date
RU2007122215/09A RU2374745C2 (en) 2007-06-13 2007-06-13 Voltage converter

Country Status (1)

Country Link
RU (1) RU2374745C2 (en)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
RU2453029C1 (en) * 2011-03-30 2012-06-10 Государственное образовательное учреждение высшего профессионального образования "Сибирский государственный индустриальный университет" Adjustable zhmakin voltage multiplier
RU2474948C1 (en) * 2011-10-28 2013-02-10 Федеральное государственное унитарное предприятие "Научно-производственное объединение автоматики имени академика Н.А. Семихатова" Stabilised voltage converter
RU2525232C2 (en) * 2013-07-11 2014-08-10 Александр Юрьевич Гончаров Voltage converter
RU2637516C2 (en) * 2012-08-22 2017-12-05 Филипс Лайтинг Холдинг Б.В. Circuit and rectification method for unbalanced two-phase dc network
RU2787930C1 (en) * 2022-04-21 2023-01-13 Владимир Владимирович Шубин Input register element

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
Производство источников электропитания промышленного и специального назначения, АЭИЭП, Москва, 2006, с.56-59. *

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
RU2453029C1 (en) * 2011-03-30 2012-06-10 Государственное образовательное учреждение высшего профессионального образования "Сибирский государственный индустриальный университет" Adjustable zhmakin voltage multiplier
RU2474948C1 (en) * 2011-10-28 2013-02-10 Федеральное государственное унитарное предприятие "Научно-производственное объединение автоматики имени академика Н.А. Семихатова" Stabilised voltage converter
RU2637516C2 (en) * 2012-08-22 2017-12-05 Филипс Лайтинг Холдинг Б.В. Circuit and rectification method for unbalanced two-phase dc network
RU2525232C2 (en) * 2013-07-11 2014-08-10 Александр Юрьевич Гончаров Voltage converter
RU2787930C1 (en) * 2022-04-21 2023-01-13 Владимир Владимирович Шубин Input register element

Also Published As

Publication number Publication date
RU2007122215A (en) 2008-12-20

Similar Documents

Publication Publication Date Title
JP3988724B2 (en) Power factor improving converter and control method thereof
US6768658B2 (en) DC-DC power supply with at least two paralleled converters and current share method for same
US8787040B2 (en) Voltage-regulating circuit with input voltage detecting circuit and parallel voltage-regulating circuit system using the same
JP3697696B2 (en) DC-DC converter
CN101677206B (en) Method and apparatus to reduce line current harmonics from a power supply
US8711580B2 (en) Resonant conversion system with over-current protection processes
US9236801B2 (en) Switch mode power supply, control circuit and associated control method
US7787261B2 (en) Intermediate bus architecture with a quasi-regulated bus converter
US5982642A (en) Pulsed power supply of switched-mode power supplies
US20130335043A1 (en) Multi-level voltage regulator system and method
US9444246B2 (en) Power converter with switching element
WO2007005985A2 (en) Method and apparatus for overcurrent protection in dc-dc power converters
US6239585B1 (en) Self-oscillating switch-mode DC to DC conversion with current switching threshold hysteresis
US20150338862A1 (en) Dc-dc converter
US11664734B2 (en) Flyback converter for controlling on time variation
RU2374745C2 (en) Voltage converter
US5392206A (en) Control circuit for a switching DC-DC power converter including a controlled magnetic core flux resetting technique for output regulation
EP2647115B1 (en) Efficiency-optimizing, calibrated sensorless power/energy conversion in a switch-mode power supply
US11689109B2 (en) Input-parallel output-series multi-converter switching power supply
JP5213621B2 (en) Switching regulator control circuit, control method, switching regulator using them, and charging device
US7795847B2 (en) Power supply device, in particular for redundant operation with a plurality of further power supply devices connected in parallel on the output side
US9871456B2 (en) Voltage conversion device and method of operation
KR20150038937A (en) Power supply apparatus
JP2008099395A (en) Dc-dc converter
JP3475691B2 (en) DC stabilized power supply