NL2024075A - A pellicle for euv lithography - Google Patents

A pellicle for euv lithography Download PDF

Info

Publication number
NL2024075A
NL2024075A NL2024075A NL2024075A NL2024075A NL 2024075 A NL2024075 A NL 2024075A NL 2024075 A NL2024075 A NL 2024075A NL 2024075 A NL2024075 A NL 2024075A NL 2024075 A NL2024075 A NL 2024075A
Authority
NL
Netherlands
Prior art keywords
membrane
pores
metal
layer
substrate layer
Prior art date
Application number
NL2024075A
Other languages
Dutch (nl)
Other versions
NL2024075B1 (en
Inventor
Van Zwol Pieter-Jan
Donmez Inci
Hendrik Klootwijk Johan
Silvester Houweling Zomer
Johannes Maria Giesbers Adrianus
Ludwig Klein Alexander
Gerardus Martinus Maria Van Kraaij Markus
Willem Notenboom Arnoud
Kurganova Evgenia
Wouter Van Der Woord Ties
Tong Si Fai
Original Assignee
Asml Netherlands Bv
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Asml Netherlands Bv filed Critical Asml Netherlands Bv
Publication of NL2024075A publication Critical patent/NL2024075A/en
Application granted granted Critical
Publication of NL2024075B1 publication Critical patent/NL2024075B1/en

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/62Pellicles, e.g. pellicle assemblies, e.g. having membrane on support frame; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/22Masks or mask blanks for imaging by radiation of 100nm or shorter wavelength, e.g. X-ray masks, extreme ultraviolet [EUV] masks; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70058Mask illumination systems
    • G03F7/70191Optical correction elements, filters or phase plates for controlling intensity, wavelength, polarisation, phase or the like
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70216Mask projection systems
    • G03F7/70308Optical correction elements, filters or phase plates for manipulating imaging light, e.g. intensity, wavelength, polarisation, phase or image shift
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/70908Hygiene, e.g. preventing apparatus pollution, mitigating effect of pollution or removing pollutants from apparatus
    • G03F7/70916Pollution mitigation, i.e. mitigating effect of contamination or debris, e.g. foil traps

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Atmospheric Sciences (AREA)
  • Health & Medical Sciences (AREA)
  • Epidemiology (AREA)
  • Public Health (AREA)
  • Engineering & Computer Science (AREA)
  • Environmental & Geological Engineering (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)

Abstract

A pellicle for EUV lithography comprising: a frame; and a membrane supported by the frame, wherein the membrane comprises: a metallic or semimetallic layer, wherein the membrane comprises 5 pores at a density of at least 5 per umz. The membrane may have a substrate layer for supporting the metallic or semimetallic layer, the substrate layer comprising for example silicon obtained from silicon on insulator or polysilicon.

Description

A PELLICLE FOR EUV LITHOGRAPHY
FIELD
[0001] The present invention relates to a pellicle, a membrane, a patterning device assembly and a dynamic gas lock assembly for EUV lithography.
BACKGROUND
[0002] A lithographic apparatus is a machine that applies a desired pattern onto a substrate, usually onto a target portion of the substrate. A lithographic apparatus can be used, for example, in the manufacture of integrated circuits (ICs). In that instance, a patterning device, which is alternatively referred to as a mask or a reticle, may be used to generate a circuit pattern to be formed on an individual layer of the IC. This pattern can be transferred onto a target portion (e.g., comprising part of, one, or several dies) on a substrate (e.g., a silicon wafer). Transfer of the pattern is typically via imaging onto a layer of radiation-sensitive material (resist) provided on the substrate. In general, a single substrate will contain a network of adjacent target portions that are successively patterned.
[0003] Lithography is widely recognized as one of the key steps in the manufacture of ICs and other devices and/or structures. However, as the dimensions of features made using lithography become smaller, lithography is becoming a more critical factor for enabling miniature IC or other devices and/or structures to be manufactured.
[0004] A theoretical estimate of the limits of pattern printing can be given by the Rayleigh criterion for resolution as shown in equation (1):
CD^k}^ (1)
NA where λ is the wavelength of the radiation used, NA is the numerical aperture of the projection system used to print the pattern, kl is a process-dependent adjustment factor, also called the Rayleigh constant, and CD is the feature size (or critical dimension) of the printed feature. It follows from equation (1) that reduction of the minimum printable size of features can be obtained in three ways: by shortening the exposure wavelength λ, by increasing the numerical aperture NA or by decreasing the value of kl.
[0005] In order to shorten the exposure wavelength and, thus, reduce the minimum printable size, it has been proposed to use an extreme ultraviolet (EUV) radiation source. EUV radiation is electromagnetic radiation having a wavelength within the range of 10-20 nm, for example within the range of 13-14 nm. It has further been proposed that EUV radiation with a wavelength of less than 10 nm could be used, for example within the range of 5-10 nm such as 6.7 nm or 6.8 nm. Such radiation is termed extreme ultraviolet radiation or soft x-ray radiation. Possible sources include, for example, laser-produced plasma sources, discharge plasma sources, or sources based on synchrotron radiation provided by an electron storage ring.
[0006] A lithographic apparatus includes a patterning device (e.g., a mask or a reticle). Radiation is provider! through or reflected off the patterning device to form an image on a substrate. A membrane assembly may be provided to protect the patterning device from airborne particles and other forms of contamination. The membrane assembly for protecting the patterning device may be called a pellicle. Contamination on the surface of the patterning device can cause manufacturing defects on the substrate. The membrane assembly may comprise a frame and a membrane stretched across the frame.
[0007] In use the performance of the membrane can degrade over time, particularly at higher temperatures. At higher temperatures the membrane can give off a gas. It is desirable to keep the temperature of the pellicle relatively low. It is also desirable for the pellicle to transmit a high proportion of EUV radiation and to have low flare towards the substrate.
SUMMARY OF THE INVENTION
[0008] According to an aspect of the invention, there is provided a pellicle for EUV lithography comprising; a frame; and a membrane supported by the frame, wherein the membrane comprises: a metallic or semimetallic layer, wherein the membrane comprises pores at a density of at least 5 per pm2
[0009] According to an aspect of the invention, there is provided a membrane for a pellicle for EUV lithography comprising: a non-gold metallic or semimetallic layer, wherein the membrane comprises pores at a density of at least 5 per pm2.
[0010] According to an aspect of the invention, there is provided a method of manufacturing a pellicle for EUV lithography comprising: applying a first material on a second material for forming a frame of the pellicle; applying a third material for forming a metallic or semimetallic layer of a membrane of the pellicle on a substrate layer of the membrane; and forming pores in the substrate layer at a density of at least 5 per pm2.
[0011] According to an aspect of the invention, there is provided a membrane for a pellicle for EUV lithography comprising a grating, the grating comprising a plurality of holes, pores or protrusions. The plurality of holes may e.g. comprise round, square, rounded squares or arbitrary shaped holes. The membrane can e.g. comprise a main film or main layer. The main film or layer thickness in the grating can e.g. range from 20 nm to 1 OOnm. In an embodiment the main film or main layer may also be referred to as the core or membrane core. Preferably the grating pitch is less than 200nm to ensure a good thermal emissivity. The grating pitch may be defined as the distance between the centers of adjacent holes of the grating. In an embodiment the dominant grating pitch is preferably less than 1 OOnm to ensure a low flare at the wafer level. A smaller grating pitch such as 30 nm or less can stop debris particles from falling on the reticle. The membrane for a pellicle for EUV lithography according to any embodiment may comprise a metal layer having a thickness between 4 and 15nm, or a semimetal of a thickness in the range from 20 to 80nm. The metal layer is preferably thicker if the membrane is more open. In an embodiment the membrane grating has substantially square openings (i.e. holes), a pitch from 30 to 200 nm, such as lOOnm and a % of open area or an openness defined by the grating openings of from 50 to 90%, such as a 75% openness or open area. An emissive Ru layer of around 8nm thickness has a thermal emissivity >0.35. The material of the pellicle membrane may comprise for example SO1 Si membrane core in combination with metal layers. In a further embodiment the membrane grating comprising SOI Si has a substantial circular or square shaped openings covering from 50 to 90% of the membrane area, a grating pitch of less than 100 nm and a metal layer having a thickness in the range from 5 to 15 nm which provides low flare at wafer level and a thermal emissivity > 0.2.
BRIEF DESCRIPTION OF THE DRAWINGS
[0012] Embodiments of the invention will now be described, by way of example only, with reference to the accompanying schematic drawings in which corresponding reference symbols indicate corresponding parts, and in which:
[0013] Figure 1 depicts a lithographic apparatus according to an embodiment of the invention;
[0014] Figure 2 is a more detailed view of the lithographic apparatus;
[0015] Figure 3 schematically depicts, in cross-section, part of a membrane assembly according to an embodiment of the invention;
[0016] Figure 4 is a graph showing the relationship between angle of incident radiation and emissivity for membranes having different fill factors;
[0017] Figure 5 is a graph showing the relationship between angle of incident radiation and emissivity for membranes haring different distances between pores;
[0018] Figure 6 is a graph showing the relationship between angle of incident radiation and emissivity for membranes having different metallic layers;
[0019] Figure 7 is a cross-sectional view of a membrane of a pellicle according to an embodiment of the invention;
[0020] Figure 8 is a plan view of a membrane of a pellicle with circular pores according to an embodiment of the invention;
[0021] Figure 9 is a plan view of a membrane of a pellicle with square pores according to an embodiment of the invention;
[0022] Figures 10-13 schematically depict stages of a method of manufacturing a membrane of a pellicle according to an embodiment of the invention;
[0023] Figures 14-16 schematically depict different stages of an alternative method of manufacturing a membrane of a pellicle according to an embodiment of the invention;
[0024] Figures 17-20 schematically depict different stages of forming pores in a membrane of a pellicle according to an embodiment of the invention;
[0025] Figures 21 -24 schematically depict different stages of an alternative way of forming pores in a membrane of a pellicle according to an embodiment of the invention;
[0026] Figure 25 is an image of metal islands used for forming pores in a membrane of a pellicle according to an embodiment of the invention;
[0027] Figure 26 is an image of a metallic layer of a membrane of a pellicle according to an embodiment of the invention; and
[0028] Figure ΤΊ is an image of a honeycomb structure used for forming pores in a membrane of a pellicle according to an embodiment of the invention.
DETAILED DESCRIPTION
[0029] Figure 1 schematically depicts a lithographic apparatus 100 including a source collector module SO according to one embodiment of the invention. The apparatus 100 comprises: an illumination system (or illuminator) IL configured to condition a radiation beam B (e.g., EUV radiation).
a support structure (e.g., a mask table) MT constructed to support a patterning device (e.g., a mask or a reticle) MA and connected to a first positioner PM configured to accurately position the patterning device;
a substrate table (e.g., a wafer table) WT constructed to hold a substrate (e.g., a resist-coated wafer) W and connected to a second positioner PW configured to accurately position the substrate; and a projection system (e.g., a reflective projection system) PS configured to project a pattern imparted to the radiation beam B by patterning device MA onto a target portion C (e.g., comprising one or more dies) of the substrate W.
[0030] The illumination system IL may include various types of optical components, such as refractive, reflective, magnetic, electromagnetic, electrostatic or other types of optical components, or any combination thereof, for directing, shaping, or controlling radiation.
[0031] The support structure MT holds the patterning device MA in a manner that depends on the orientation of the patterning device, the design of the lithographic apparatus, and other conditions, such as for example whether or not the patterning device is held in a vacuum environment. The support structure MT can use mechanical, vacuum, electrostatic or other clamping techniques to hold the patterning device MA. The support structure MT may be a frame or a table, for example, which may be fixed or movable as required. The support structure MT may ensure that the patterning device MA is at a desired position, for example with respect to the projection system PS.
[0032] The term “patterning device” should be broadly interpreted as referring to any device that can be used to impart a radiation beam B with a pattern in its cross-section such as to create a pattern in a target portion C of the substrate W. The pattern imparted to the radiation beam B may correspond to a particular functional layer in a device being created in the target portion C, such as an integrated circuit.
[0033] The patterning device MA may be transmissive or reflective. Examples of patterning devices include masks, programmable mirror arrays, and programmable liquid-crystal display (LCD) panels. Masks are well known in lithography, and include mask types such as binary, alternating phase-shift, and attenuated phase-shift, as well as various hybrid mask types. An example of a programmable mirror array employs a matrix arrangement of small mirrors, each of which can be individually tilted so as to reflect an incoming radiation beam in different directions. The tilted mirrors impart a pattern in a radiation beam, which is reflected by the mirror matrix.
[0034] The projection system PS, like the illumination system IL, may include various types of optical components, such as refractive, reflective, magnetic, electromagnetic, electrostatic or other types of optical components, or any combination thereof, as appropriate for the exposure radiation being used, or for other factors such as the use of a vacuum. It may be desired to use a vacuum for EUV radiation since other gases may absorb too much radiation. A vacuum environment may therefore be provided to the whole beam path with the aid of a vacuum wall and vacuum pumps. [0035] As here depicted, the lithographic apparatus 100 is of a reflective type (e.g., employing a reflective mask).
[0036] The lithographic apparatus 100 may be of a type having two (dual stage) or more substrate tables WT (and/or two or more support structures MT). In such a “multiple stage” lithographic apparatus the additional substrate tables WT (and/or the additional support structures MT) may be used in parallel, or preparatory steps may be carried out on one or more substrate tables WT (and/or one or more support structures MT) while one or more other substrate tables WT (and/or one or more other support structures MT) are being used for exposure.
[0037] Referring to Figure 1, the illumination system IL receives an extreme ultraviolet radiation beam from the source collector module SO. Methods to produce EUV light include, but are not necessarily limited to, converting a material into a plasma state that has at least one element, e.g., xenon, lithium or tin, with one or more emission lines in the EUV range. In one such method, often termed laser produced plasma (“LPP”) the required plasma can be produced by irradiating a fuel, such as a droplet, stream or cluster of material having the required line-emitting element, with a laser beam. The source collector module SO may be part of an EUV radiation system including a laser, not shown in Figure 1, for providing the laser beam exciting the fuel. The resulting plasma emits output radiation, e.g., EUV radiation, which is collected using a radiation collector, disposed in the source collector module. The laser and the source collector module SO may be separate entities, for example when a CO2 laser is used to provide the laser beam for fuel excitation.
[0038] In such cases, the laser is not considered to form part of the lithographic apparatus 100 and the radiation beam B is passed from the laser to the source collector module SO with the aid of a beam delivery system comprising, for example, suitable directing mirrors and/or a beam expander. In other cases the source may be an integral part of the source collector module SO, for example when the source is a discharge produced plasma EUV generator, often termed as a DPP source.
[0039] The illumination system IL may comprise an adjuster for adjusting the angular intensity distribution of the radiation beam. Generally, at least the outer and/or inner radial extent (commonly referred to as □-outer and □-inner, respectively) of the intensity distribution in a pupil plane of the illumination system IL can be adjusted. In addition, the illumination system IL may comprise various other components, such as facetted field and pupil mirror devices. The illumination system IL may be used to condition the radiation beam B, to have a desired uniformity and intensity distribution in its cross-section.
[0040] The radiation beam B is incident on the patterning device (e.g., mask) MA, which is held on the support structure (e.g., mask table) MT, and is patterned by the patterning device MA. After being reflected from the patterning device (e.g., mask) MA, the radiation beam B passes through the projection system PS, which focuses the radiation beam B onto a target portion C of the substrate W. With the aid of the second positioner PW and position sensor PS2 (e.g., an interferometric device, linear encoder or capacitive sensor), the substrate table WT can be moved accurately, e.g., so as to position different target portions C in the path of the radiation beam B. Similarly, the first positioner PM and another position sensor PSI can be used to accurately position the patterning device (e.g., mask) MA with respect to the path of the radiation beam B. The patterning device (e.g., mask) MA and the substrate W may be aligned using mask alignment marks ML M2 and substrate alignment marks P1, P2.
[0041] A controller 500 controls the overall operations of the lithographic apparatus 100 and in particular performs an operation process described further below. Controller 500 can be embodied as a suitably-programmed general purpose computer comprising a central processing unit, volatile and non-volatile storage means, one or more input and output devices such as a keyboard and screen, one or more network connections and one or more interfaces to the various parts of the lithographic apparatus 100. It will be appreciated that a one-to-one relationship between controlling computer and lithographic apparatus 100 is not necessary. In an embodiment of the invention one computer can control multiple lithographic apparatuses 100. In an embodiment of the invention, multiple networked computers can be used to control one lithographic apparatus 100. The controller 500 may also be configured to control one or more associated process devices and substrate handling devices in a lithocell or cluster of which the lithographic apparatus 100 forms a part. The controller 500 can also be configured to be subordinate to a supervisory control system of a lithocell or cluster and/or an overall control system of a fab.
[0042] Figure 2 shows the lithographic apparatus 100 in more detail, including the source collector module SO, the illumination system IL, and the projection system PS. An EUV radiation emitting plasma 210 may be formed by a plasma source. EUV radiation may be produced by a gas or vapor, for example Xe gas, Li vapor or Sn vapor in which the radiation emitting plasma 210 is created to emit radiation in the EUV range of the electromagnetic spectrum. In an embodiment, a plasma of excited tin (Sn) is provided to produce EUV radiation.
[0043] The radiation emitted by the radiation emitting plasma 210 is passed from a source chamber 211 into a collector chamber 212.
[0044] The collector chamber 212 may include a radiation collector CO. Radiation that traverses the radiation collector CO can be focused in a virtual source point IF. The virtual source point IF is commonly referred to as the intermediate focus, and the source collector module SO is arranged such that the virtual source point IF is located at or near an opening 221 in the enclosing structure 220. The virtual source point IF is an image of the radiation emitting plasma 210.
[0045] Subsequently the radiation traverses the illumination system IL, which may include a facetted field mirror device 22 and a facetted pupil mirror device 24 arranged to provide a desired angular distribution of the unpattemed beam 21, at the patterning device MA, as well as a desired uniformity of radiation intensity at the patterning device MA. Upon reflection of the unpattemed beam 21 at the patterning device MA, held by the support structure MT, a patterned beam 26 is formed and the patterned beam 26 is imaged by the projection system PS via reflective elements 28, 30 onto a substrate W held by the substrate table WT.
[0046] More elements than shown may generally be present in the illumination system IL and the projection system PS. Further, there may be more minors present than those shown in the Figures, for example there may be I - 6 additional reflective elements present in the projection system PS than shown in Figure 2.
[0047] Alternatively, the source collector module SO may be part of an LPP radiation system.
[0048] As depicted in Figure 1, in an embodiment the lithographic apparatus 100 comprises an illumination system IL and a projection system PS. The illumination system IL is configured to emit a radiation beam B. The projection system PS is separated from the substrate table WT by an intervening space. The projection system PS is configured to project a pattern imparted to the radiation beam B onto the substrate W. The pattern is for EUV radiation of the radiation beam B. [0049] Tire space intervening between the projection system PS and the substrate table WT can be at least partially evacuated. The intervening space may be delimited at the location of the projection system PS by a solid surface from which the employed radiation is directed toward the substrate table WT.
[0050] In an embodiment the lithographic apparatus 100 comprises a dynamic gas lock. The dynamic gas lock comprises a membrane assembly 80. In an embodiment the dynamic gas lock comprises a hollow part covered by a membrane assembly 80 located in the intervening space. The hollow part is situated around the path of the radiation. In an embodiment the lithographic apparatus
100 comprises a gas blower configured to flush the inside of the hollow part with a flow of gas. The radiation travels through the membrane assembly before impinging on the substrate W.
[0051] In an embodiment the lithographic apparatus 100 comprises a membrane assembly
80. As explained above, in an embodiment the membrane assembly 80 is for a dynamic gas lock. In this case the membrane assembly 80 functions as a filter for filtering DUV radiation. Additionally or alternatively, in an embodiment the membrane assembly 80 is pellicle for the patterning device MA for EUV lithography. The membrane assembly 80 of the present invention can be used for a dynamic gas lock or for a pellicle or for another purpose such as a spectral purity filter. In an embodiment the membrane assembly 80 comprises a membrane 40, which may also be called a membrane stack. In an embodiment the membrane is configured to transmit at least 80% of incident EUV radiation.
[0052] In an embodiment the membrane assembly 80 is configured to seal off the patterning device MA to protect the patterning device MA from airborne particles and other forms of contamination. Contamination on the surface of the patterning device MA can cause manufacturing defects on the substrate W. For example, in an embodiment the pellicle is configured to reduce the likelihood that particles might migrate into a stepping field of the patterning device MA in the lithographic apparatus 100.
[0053] If the patterning device MA is left unprotected, the contamination can require the patterning device MA to be cleaned or discarded. Cleaning the patterning device MA interrupts valuable manufacturing time and discarding the patterning device MA is costly. Replacing the patterning device MA also interrupts valuable manufacturing time.
[0054] Figure 3 schematically depicts, in cross-section, part of a membrane assembly 80 according to an embodiment of the invention. The membrane assembly 80 is for EUV lithography. The membrane assembly 80 comprises a membrane 40. The membrane 40 is emissive for EUV radiation. Of course the membrane 40 may not have 100% emissivity for EUV radiation. However, the membrane may have, for example, at least 20% emissivity. As shown in Figure 3, in an embodiment the membrane 40 is substantially planar. In an embodiment the plane of the membrane 40 is substantially parallel to the plane of the patterning device MA.
[0055] The membrane assembly 80 has a shape such as a square, a circle or a rectangle, for example. The shape of the membrane assembly 80 is not particularly limited. The size of the membrane assembly 80 is not particularly limited. For example, in an embodiment the membrane assembly 80 has a diameter in the range of from about 100 mm to about 500 mm, for example about 200 mm.
[0056] As depicted in Figure 3, in an embodiment the membrane assembly 80 comprises a frame 81. The frame 81 is configured to hold the membrane 40. The frame 81 provides mechanical stability to the membrane 40. The frame 81 is configured to reduce the possibility of the membrane 40 being deformed away from its planar shape. In an embodiment, a pre-tension is applied to the membrane 40 during its manufacture. The frame 81 is configured to maintain the tension in the membrane 40 so that the membrane 40 does not have an undulating shape during use of the lithographic apparatus 100. In an embodiment the frame 81 extends along the perimeter of the membrane 40. The outer periphery of the membrane 40 is positioned on top of the frame 81 (according to the view of Figure 3).
[0057] As depicted in Figure 3, in an embodiment the frame 81 comprises a border portion directly connected to the membrane 40. The border portion of the frame 81 is formed by the second material 74 described later in this disclosure. As shown in Figure 3, in an embodiment the frame 81 further comprises an extension portion that makes it easier for the membrane assembly 80 to be fixed relative to the patterning device MA. The border portion and the extension portion of the frame 81 may be adhered to each other.
[0058] As depicted in Figure 3, in an embodiment the membrane assembly 80 comprises a fixture 50. The fixture 50 is arranged to be removably coupled to studs 60 fixed relative to the pattern device MA. Additional detiiils of the assembly are described in WO 2016079051 A2, in particular in Figure 11 and Figures 28 to 31 and the associated description,
[0059] The performance of the membrane 40 can degrade over time. Degradation of the membrane 40 can result in undesirable reticle imprints on the pellicle. The problem of degradation of the membrane 40 can be worse when relatively high power EUV radiation is incident on the membrane 40. At high temperatures the membrane 40 can undesirably give off a gas (i.e. outgas). For example, the membrane 40 may give off gasses comprising oxides. Outgassing of oxides can be accelerated by photoinduced etching. It is desirable to keep the temperature of the pellicle low so as to reduce the possibility of outgassing.
[0060] As explained above, in an embodiment the pellicle comprises a frame 81 and a membrane 40. The membrane 40 is supported by the frame 81. The membrane 40 comprises a metallic or semimetallic layer 72.
[0061] Figure 7 shows a cross-section of a membrane 40 according to an embodiment of the invention. As shown in Figure 7, in an embodiment the membrane 40 comprises a substrate layer 71. The substrate layer 71 is for supporting the metallic or semimetallic layer 72. As shown in Figure 7, in an embodiment the substrate layer 71 is thicker than the metallic or semimetallic layer 72. The substrate layer 71 is configured to provide structural stability to the membrane 40.
[0062] In an embodiment, the membrane 40 comprises an interlayer between the substrate layer 71 and the metallic or semimetallic layer 72. The interlayer is configured to reduce the possibility of the metallic or semimetallic layer 72 rupturing. Such rupturing is a greater possibility at higher temperatures. In an embodiment, the interlayer comprises Mo. In an embodiment, the interlayer has a thickness in the range of from about Inm to about 2nm. In an embodiment, the interlayer is formed of a different material from the metallic or semimetallic layer 72. In an embodiment, the interlayer is thinner than the metallic or semimetallic layer 72.
[0063] In an embodiment, the substrate layer 71 comprises single crystalline Si as obtained from the release from a silicon on insulator (SOI) wafer. In an alternative embodiment the substrate layer 71 comprises a polysilicon (a polycrystalline silicon).
[0064] However, it is not necessary for the membrane 40 to comprise such a substrate layer 71. For example, in an alternative embodiment the metallic or semimetallic layer 72 provides its own structural stability. For example, in an embodiment the same metallic layer 72 forms at least 90% of the total thickness of the membrane 40.
[0065] Figure 8 shows a plan view of a membrane 40 according to an embodiment of the invention. As shown in Figure 8, in an embodiment the membrane 40 comprises pores 73. The pores 73 extend through the thickness of the membrane 40. The membrane 40 is not continuous. By providing that the membrane 40 comprises pores 73, the membrane 40 can transmit a higher proportion ofthe EUV radiation that is incident on it. This reduces the amount of EUV radiation that can undesirably heat up the membrane 40.
[0066] Although Figure 8 shows a regular distribution of pores 73 across the membrane 40, the arrangement of pores 73 is not particularly limited. The pores 73 can be regularly, semi-regularly or randomly distributed across the membrane 40.
[0067] It is desirable for the pores 73 to be positioned relatively closely together. In an embodiment, the pores are at a density of at least 5 per pm2. The distance between the centers of adjacent pores 73 is known as the pitch p. The pitch p is shown in Figure 8. In general, a greater density of pores 73 corresponds to a smaller pitch p. For pores 73 that are not regularly distributed, there may in effect be different pitches at different positions in the membrane 40. However, a dominant pitch can be determined by considering the average distance between pores 73 over an area of membrane 40 having a size of at leastl pm2. A density of 5 pores 73 per pm2 corresponds to a pitch p of approximately 450 nm.
[0068] By providing that the density of pores 73 is of at least 5 per pm2, the dominant pitch p of the pores 73 is less than 1 pm. The pitch p is smaller than the wavelength of radiation (i.e. infrared radiation) that is emitted by the membrane 40. The inventors have found that this helps to increase the emissivity E of the membrane 40.
[0069] By providing that the density of pores 73 is of at least 5 per pm2, the emissivity E of the membrane 40 can remain relatively high. In general, the pores 73 cause the emissivity E of the membrane 40 to reduce relative to a continuous membrane 40 (i.e. a membrane that has no pores). A higher density of pores 73 (corresponding to a smaller pitch p) is preferred for higher emissivity E.
[0070] Figure 5 is a graph showing the relationship between angle Θ of incident radiation and the emissivity E of the membrane 40. The angle Θ is the angle of EUV radiation relative to the normal to plane of the membrane 40. Line 91 is for a membrane 40 that has a lateral pitch of 200 nm (corresponding to a density of that 25 pores per pm2). Line 92 is for a membrane 40 having the same characteristics except for having a lateral pitch p of 2000 nm (corresponding to a density of about 0.25 pores per pm2). Line 93 is for a membrane 40 with similar characteristics except for having a lateral pitch p of 20000 nm (corresponding to a pore density of about 0.0025 pores per pm2). The other main characteristics of the membrane 40 are that 75% of its area is formed by the pores 73, and that the metallic layer 72 is formed of Ru with a thickness of 4 nm.
[0071] As shown in Figure 5, the emissivity E of the membrane 40 increases for decreasing lateral pitch p. A higher emissivity E is desirable so that membrane 40 radiates more of the energy that it absorbs, so as to keep the temperature of the membrane 40 down. An embodiment of the invention is expected to achieve a reduced temperature of the membrane 40 during use.
[0072] In an embodiment, the density of pores 73 is at least 20 per pm2. This corresponds to a lateral pitch of approximately 220 nm. As shown in Figure 5, this increases the emissivity E of the membrane 40. In turn this helps to keep the membrane 40 cooler during use.
[0073] In an embodiment, the density of pores is at least 100 per pm2. This corresponds to a lateral pitch p of about 100 nm. By providing that the density of pores is at least 100 per pm2 (i.e. a lateral pitch p of at most 100 nm), the flare from the membrane 40 to the substrate W can be reduced. The flare relates to the proportion of energy of EUV incident of the membrane 40 that is scattered onto the substrate W. In an embodiment, the membrane 40 is configured such the flare to the substrate W is at most 0.25%. This helps to provide good quality imaging. If the flare is too high, then this can undesirably affect the imaging at the level of the substrate W.
[0074] The inventors have found that the membrane 40 with pores 73 acts as a diffraction grating. When the lateral pitch p is at most 150 nm, there is substantially zero flare for normal incidence illumination. The inventors have found that in practice a lower maximum lateral pitch p of about 100 nm provides substantially zero flare to the substrate W at any illumination mode.
[0075] The table below shows examples of flare values for membranes 40 having different lateral pitch p and different metallic and semimetallic layers 72. The material and thickness of the metallic or semi metallic layer 72 is shown in the top of the each column of the table. The values in the table are the percentage of flare towards to substrate W.
[0076]
Pitch / nm 8nmRu 4nmRu 4nm Zr 8nm Zr 8nm Zr and 8nm SiO2
100 0 0 0 ° °
150 0 0 0 ° °
160 00.53 0.15 0.032 0.09 0.23
180 1.1 0.31 0.063 0.18 0.47
300 0.065 0.19
400 0.12 0.35
600 0.16 0.46
[0077] As shown in the table, the flare tends to be higher for an Ru metallic layer than for a Zr metallic layer. This is because Ru is optically stronger than Zr. The other characteristics of the membrane 40 on which the calculations in the table are based are that it has a substrate layer 71 of 50 nm thickness of silicon and a 75 % open area (i.e. 75% of the area of the membrane 40 is formed by the pores 73).
[0078] In an embodiment, the metallic layer 72 has a thickness of at least 4 nm. this helps to increase the emissivity E of the membrane 40. Figure 6 is graph showing the relationship between the angle Θ of incident radiation and the emissivity E of the membrane 40. There are three lines corresponding to different types of membrane 40. For all of the membranes 40, the pores 73 have a lateral pitch p of about 200 nm. The pores 73 form about 75% of the area of the membrane 40. Line 61 is for a membrane 40 that has a metallic layer 72 formed of Ru at a thickness of 8 nm. Line 62 is for a membrane 40 with a metallic layer 72 formed of Ru at a thickness of 4 nm. Line 63 is for a membrane 40 with a metallic layer 72 formed of Zr in a thickness of 8 nm.
[0079] As shown in Figure 6, in general a thicker metallic or semi metallic layer 72 increases the emissivity E of the membrane 40. By providing that the metallic layer 72 has a thickness of at least 4 nm, the emissivity E can be kept relatively high even with the pores 73 forming a relatively high percentage of the overall area of the membrane 40.
[0080] In an embodiment, the metallic layer 72 has a thickness of at least 8 nm. As shown in Figure 6, this further increases the emissivity E of the membrane 40. Of course, there is a trade-off that a thicker metallic layer 72 can result in greater absorption of the incident radiation. It is desirable to provide a good balance between the proportion of EUV radiation that is transmitted by the membrane 40 and the emissivity of the membrane 40.
E
[0081] For example in an embodiment the pellicle satisfies γ-ρ > 4, where E is the emissivity for incident EUV radiation and T is the proportion of incident EUV radiation transmitted through the membrane 40. For example, in an embodiment the emissivity E may be about 0.2 and the EUV transmission T may be about 95%. This would provide a ratio of E:( 1 -T) of 4. In an embodiment, this ratio is at least 5, at least 6, at least 7, at least 8, at least 9 or at least 10.
[0082] As mentioned above, instead of a metallic layer, there may be a semimetallic layer 72. When a semi metallic layer is provided rather than a metallic layer, it is desirable for the thickness of the semi metallic layer 72 to be greater. For example, in an embodiment the semi metallic layer has a thickness of at least 10 nm.
[0083] As further explained above, when a semimetallic layer 72 is provided it may not be necessary to provide a separate substrate layer 71. However, when no additional substrate layer 71 is provided, it is desirable for the semi metallic layer 72 to be thicker. For example, in an embodiment the semi metallic layer 72 has a thickness of at least 20 nm.
[0084] In an embodiment, the pores 73 form at least 50% of the total area of the membrane 40. Figure 4 is a graph showing the relationship between angle Θ of incident radiation and emissivity E of the membrane 40. Tire different lines 41 to 45 are for different membranes 40 which are similar except for having different levels of openness. Line 41 is for continuous membrane with no pores. Line 42 is for a porous membrane 42 that is 9% open (i.e. the pores 73 form 9% of the total area of the membrane 40). Line 43 is for a membrane 40 that is 36% open. Line 44 is for a membrane 40 that is 64% open. Line 45 is for a membrane 40 that is 81% open.
[0085] As shown in Figure 4, increasing levels of openness of the membrane 40 decrease the emissivity E. However, increasing levels of openness increase the EUV transmission T of the membrane 40. An embodiment of the invention is expected to increase the proportion of EUV radiation transmitted by the membrane 40.
[0086] In an embodiment the pores form at least 75% of the total area of the membrane 40. This may reduce the proportion of EUV radiation that is not transmitted by the membrane 40 by a factor of about 5. As a result, even though the emissivity E is reduced by a factor of about 2, there is still a significant overall benefit. The amount of energy that heats up the membrane 40 is overall reduced.
[0087] As shown in Figure 8, in an embodiment the pores 73 are circular. The pores 73 have a diameter d. the diameter d can be selected so as to provide the desired fill factor of the membrane 40.
[0088] However, it is not necessary for the pores 73 to be circular. Figure 9 shows an alternative embodiment in which the pores 73 are square. The pores 73 have a dimension d. The dimension d can be selected so as to provide the desired fill factor for the membrane 40.
[0089] The shape of the pores 73 is not particularly limited. In an embodiment, the pores 73 do not have nay regular shape.
[0090] An embodiment of the invention is expected to reduce the amount of EUV radiation absorbed in the membrane 40. A greater proportion of incident EUV radiation is transmitted by the membrane 40. However, not all of the extra transmitted EUV radiation is transmitted to the substrate W. In particular the pores 73 diffract the radiation such that the transmitted EUV radiation reaches other areas outside of the substrate W. This means that the imaging at the level of the substrate W can remain of high quality.
[0091] In an embodiment, the membrane 40 is configured to have at least 80% specular EUV transmission. In an embodiment, the membrane 40 is configured to have at most 0.25% non-specular EUV transmission that reaches the substrate W (e.g. with an angle of less than 4.7 degrees relative to the normal to the plane of the membrane 40). In an embodiment, the membrane 40 is configured to have at most 10% EUV absorption in the membrane 40. In an embodiment the membrane 40 is configured to have at most 10% non-specular EUV transmission that does not go into the projection opties or to the substrate W. Specular EUV transmission, non-specular EUV transmission and absorption add up to 100%.
[0092] The metal of the metallic layer 72 is not particularly limited. Some metals can oxide in use. In an embodiment an oxidation protection layer is provided. For example, an oxidation protection layer formed of boron can be provided. Tire oxidation protection layer is of reducing oxidation of the metallic layer 72. The oxidation protection layer can be coated on the metallic layer 72.
[0093] In an embodiment, the metal of the metallic layer 72 is not gold. In an embodiment the metal is a transition metal. In an embodiment, the metal is transition metal of groups 3 to 10. In an embodiment, the metal is a transition metal of periods 4 to 5.
[0094] In an embodiment the metal is a transition metal. The particular transition metal is not particularly limited but may be Zr, Y, Mo, Cr, Hf, Ir, Mn, Nb, Os, Pd, Pt, Re, Rh, Ru, Ta, Ti, V or W, for example.
[0095] In an embodiment the membrane assembly 80 is applied as a pellicle or as part of a dynamic gas lock. Alternatively, the membrane assembly 80 can be applied in other filtration areas such as identification, or for beam splitters. In an embodiment the dynamic gas lock is configured to block debris within the lithographic apparatus 100. In an embodiment the dynamic gas lock is positioned between the projection system PS and the substrate W. The dynamic gas lock reduces the possibility of particles from the substrate W or from near the substrate W reaching optical components in or around the projection system PS. Similarly, the dynamic gas lock can protect the illumination system IL. In an alternative embodiment the dynamic gas lock is positioned at the virtual source point IF. For example, the dynamic gas lock may be positioned between the source collector module SO and the illumination system IL.
[0096] According to an embodiment, there is provided a method of manufacturing a pellicle for EUV lithography. Figures 10 to 13 schematically depict different stages of a method of manufacturing a pellicle according to an embodiment of the invention.
[0097] As shown in Figure 10, in an embodiment the method comprises applying a first material on a second material 74. The second material 74 is for forming part of the frame 81 of the membrane assembly 80. The invention will be described for an embodiment in which the first material is for forming the substrate layer 71. However, as will be described in more detail later, the first material may alternatively form a sacrificial layer on which the substrate layer 71 is formed. [0098] As shown in Figure 10, in an embodiment the first material that forms the substrate layer 71 is applied to all sides (in cross-section) of the second material 74. The method of depositing the first material onto the second material 74 is not particularly limited.
[0099] As shown in Figure 11, in an embodiment the method comprises patterning the first material at the backside of the second material 74. The backside is the opposite side from the side that forms the membrane 40 of the membrane assembly 80. The first material is patterned so as to form a mask for later etching the second material 74.
[00100] As shown in Figure 11, in an embodiment the method comprises applying a third material to form a metallic or semi-metallic layer 72 of the membrane 40 on the substrate layer 71. The third material is a metal or a semi-metal, e.g. Ru or Zr as mentioned above. The method of applying the third material on the substrate layer 71 is not particularly limited. In an embodiment the third material is deposited via physical vapor deposition.
[00101] As shown in Figure 12, in an embodiment the method comprises forming pores 73 in the substrate layer 71. The pores 73 may be formed at a density of at least 5 pm2. As shown in Figure 12, in an embodiment the pores 73 are opened on the combined stack of the metallic or semi-metallic layer 72 and the substrate layer 71. As shown in the progression from Figure 11 to Figure 12, in an embodiment the third material (which forms the metallic or semi-metallic layer 72) is applied on the substrate layer 71 before the pores 73 are formed. This allows the pores 73 to be formed in both the metallic or semi-metallic layer 72 and the substrate layer 71 in substantially the same process step. This helps to provide a good uniformity of the shape of the pores 73 in the metallic or semi-metallic layer 72 and the substrate layer 71. There are various methods for forming the pores 73 in the substrate layer 71, as will be described in more detail below.
[00102] In an embodiment the method comprises applying a sacrificial layer 75 on the stack of the metallic or semi-metallic layer 72 and the substrate layer 71. In an embodiment the method comprises applying a mechanical support layer 76 on the sacrificial layer 75 and on the sides of the membrane assembly 80. In a subsequent step the method comprises etching the second material 74 so as to expose the backside of the membrane 40 (the membrane 40 being formed by the metallic or semi-metallic layer 72 on the substrate layer 71).
[00103] The method of etching the second material 74 so as to expose the membrane 40 is not particularly limited. In an embodiment, the second material 74 is etched by performing wet anisotropic etching. The mechanical support layer 76 is for providing mechanical support to the membrane assembly 80 during the step of etching the second material 74. This reduces the possibility of the membrane 40 being diimaged (e.g. rupturing) during the etching step. The mechanical support layer 76 is configured to protect the top side of the stack from the etching agent that removes the second material 74.
[00104] In an embodiment the second material comprises silicon. As described above, in an embodiment the second material can be etched away by performing wet etching. As an alternative, the second material can be etched away using a dry etching process.
[00105] As shown in Figure 13, in an embodiment the method comprises removing the mechanical support layer 76. The method for removing the mechanical support layer 76 is not particularly limited. As shown in Figure 13, in an embodiment the method comprises removing the sacrificial layer 75. The sacrificial layer 75 is for protecting the topside of the membrane 40 when the mechanical support layer 76 is being removed. For example, the sacrificial layer 75 may protect the topside of the membrane 40 from any agent that is used for removing mechanical support layer 76. The method for removing the sacrificial layer 75 is not particularly limited.
[00106] The sacrificial layer 75 acts as a protection layer to prevent oxidation of the substrate layer 71 and/or the metallic or semi-metallic layer 72. The process of removing the sacrificial layer 75 can be performed without damaging or oxidizing the substrate layer 71 or the metallic or semimetallic layer 72.
[00107] As shown in Figure 13, by removing the mechanical support layer 76 and the sacrificial layer 75, the membrane 40 formed by the metallic or semi-metallic layer 72 on the substrate layer 71 is formed. The membrane 40 stretches across the border portion of the frame 81 formed by the second material.
[00108] Modifications of the process described above with reference to Figures 10 to 13 will now be described.
[00109] In an embodiment the first material that forms the substrate layer 71 is a low stress nitride such as a silicone nitride. Low stress nitride is resistant to the wet etching. This means that the substrate layer 71 does not require an additional sacrificial layer to protect it during the wet etching step (i.e. when the bulk of the second material 74 is etched away). The low stress nitride is also suitable for forming the hard etch mask on the backside of the assembly, as shown in Figure 11. [00110] However, in an embodiment the substrate layer 71 comprises a polysilicon. When the substrate layer 71 is to be formed by polysilicon, then the first material does not form the substrate layer. Instead, the first material acts as a sacrificial layer to protect the polysilicon substrate layer when the second material 74 is etched. Hence, the method may comprise the step of applying polysilicon (or other material that is to form the substrate layer of the membrane 40) on the first material before the step of etching the second material 74. When the first material is used as a sacrificial layer to protect the substrate layer, the first material may comprise SiCL.
[00111] In the process described above, the third material is applied on the substrate layer 71 before the pores 73 are formed. However, this is not necessarily the case. Figures 14 to 16 show different stages of an alternative method in which the third material is applied on the substrate layer 71 after the pores 73 are formed.
[00112] In this alternative embodiment, the first material is applied on the second material 74 as shown in Figure 10. However, as shown in Figure 14, the backside of the first material is patterned to form a hard mask without depositing the metallic or semi-metallic layer 72 on the topside.
[00113] As shown in Figure 15, the pores 73 are formed in the substrate layer 71. This step is performed before the metallic or semi-metallic layer 72 is provided. Accordingly, the pores 73 are not simultaneously formed in the metallic or semi-metallic layer 72.
[00114] The sacrificial layer 75 and the mechanical support layer 76 are then applied around the substrate layer 71. This allows the second material to be etched away.
[00115] As shown in Figure 16, the mechanical support layer 76 and the sacrificial layer 75 can then be removed, in the same manner as described above. As shown in Figure 16, this leaves the substrate layer 71 extending across the border portion of the frame 81 formed by the second material 74.
[00116] The third material can then be applied to form the metallic or semi-metallic layer 72 of the membrane 40 on the substrate layer 71. This results in the assembly shown in Figure 13. [00117] Various methods for forming the pores 73 are described below.
[00118] Figures 17 to 20 schematically depict different stages of a process for forming the pores 73. In particular, Figures 17 to 20 depict stages of a process of nanoimprint lithography. [00119] As shown in Figure 17, in an embodiment the process of forming the pores 73 comprises pressing a mold 77 onto a mask material 79 covering the substrate layer 71. The mold 77 is a substantially flat plate comprising dimples 78. The dimples 78 are arranged in a pattern that corresponds to the desired pore positions (i.e. the positions where the pores 73 are intended to be in the membrane 40). In an embodiment the mold 77 is flexible. In an embodiment, the mold 77 is made of a material that is substantially transparent to UV radiation. This allows the mask material79 to be irradiated with UV radiation when the mold 77 is being applied to the mask material 79.
[00120] The type of mask material is not particularly limited. In an embodiment the mask material is a photoresist. Alternatively, the mask material may be a sol-gel.
[00121] As shown in Figure 18, by pressing the mold 77 onto the mask material 79, a pattern of imprints is formed in the mask material 79 corresponding to the pore positions. The application of the mold 77 results in the mask material 79 having contrasting thicknesses. In particular, the mask material 79 has pillars 82 and depressions 83. The mold 77 is pressed into the mask material 79 and then cured through UV-radiation to harden/cure the mask material 79. The mold 77 is then removed to expose the now cured (solid) and patterned layer.
[00122] As shown in Figure 19, in an embodiment the process of forming the pores 73 comprises etching the mask material 79 to form gaps corresponding to the pore positions. In an embodiment, reactive-ion etching is used to etch the mask material 79. Tire reactive-ion etching process is substantially homogenous. The etching process results in the depressions 83 being etched away so as to expose the underlying substrate layer 71 at gaps corresponding to the pore positions. The pillars 82 are also etched away but continue to cover the substrate layer 71, thereby acting as a mask.
[00123] As shown in Figure 20, in an embodiment the process of forming the pores 73 comprises etching the substrate layer 71 through the gaps to form the pores 73. The pillars 82 of the mask material 79 protect some areas of the substrate layer 71 from being etched away. Where the substrate layer 71 is exposed, it is etched away so as to form the pores 73. The pores 73 are formed at positions corresponding to the dimples 78 of the mold 77. The mold 77 can be designed so as to provide a desired pattern of pores 73 in the substrate layer 71 of the membrane 40.
[00124] The process of nanoimprint lithography makes it possible to finely tune the periodicity of the pores 73 and the fill factor of the pellicle. This can be done by appropriately designing the mold 77. The mold 77 can be reused. This helps to keep down the costs of manufacture.
[00125] Figures 21 to 24 schematically depict different stages of an alternative process for forming the pores 73. As shown in Figure 21, in an embodiment the process of forming the pores 73 comprises depositing spheres 84 on the substrate layer 71. The spheres 84 are deposited in a pattern corresponding to the desired pore positions. In particular, the centre of each sphere 84 is positioned at a location corresponding to the centre of a pore 73. The process shown in Figures 21 to 24 may be called nanosphere lithography. The nanosphere lithography technique is an alternative to nanoimprint lithography. The nanosphere lithography technique shown in Figures 21 to 24 can replace the steps shown in Figure 12 and Figure 15 above.
[00126] The material of the spheres 84 is not particularly limited. In an embodiment these spheres are made of polystyrene. In an embodiment the spheres 84 are arranged in an hexagonal close packed layer. In an embodiment, the layer of spheres 84 is a monolayer.
[00127] As shown in the transition from Figure 21 to Figure 22, in an embodiment the process comprises reducing the size of the spheres 84. For example, the size of the spheres 84 may be reduced by etching the spheres 84. For example, plasma etching may be used. The step of reducing the size of the spheres is optional. For example, if the spheres 84 applied to the substrate layer 71 are already of the appropriate size, then it may not be necessary to reduce their size.
[00128] As shown in Figure 22, in an embodiment the process of forming the pores 73 comprises applying the third material on the spheres 84 and the substrate layer 71, The third material is for forming the metallic or semi-metallic layer 72 of the membrane 40. As shown in Figure 22, the third material covers the tops of the spheres 84 and covers the sections of the substrate layer 71 between the spheres 84. The pattern of the pores 73 formed in the membrane 40 can be controlled by controlling the size and arrangement of the spheres 84 on the substrate layer 71.
[00129] As shown in Figure 23, in an embodiment the process of forming the pores 73 comprises removing the spheres 84. This forms exposed sections of the substrate layer 71 corresponding to the pore positions. This results in the third material forming a mask protecting appropriate portions of the substrate layer 71. The third material acts as a mask in addition to forming the metallic or semi-metallic layer 72.
[00130] As shown in Figure 24, in an embodiment the process of forming the pores 73 comprises etching the exposed sections of the substrate layer 71 to form the pores 73. Merely as an example, a process of plasma etching may be used to etch away the appropriate sections of the substrate layer 71.
[00131] The dimensions for the spheres 84 can be selected so as provide pores 73 of the appropriate dimensions and arrangement. In general, the size of the sphere 84 corresponds to the size of the pore 73. In an embodiment, the size of the spheres 84 is at least 100 nm and at most 10 p m. [00132] Figure 25 is an image of a layer of metal used in an alternative process for forming the pores 73. In this alternative process for forming the pores 73 a metal is applied on the substrate layer 71. For example, the metal may be silver or gold. Other metals suitable for use in a metalassisted chemical etching process can be used. In an embodiment, the metal is initially applied as a substantially uniform layer (i.e. having uniform thickness) across the substrate layer 71.
[00133] In an embodiment, the process of forming the pores 73 comprises annealing the metal to form metal islands 85 on the substrate layer 71. The metal islands 85 can be seen in Figure 25. The metal islands 85 are separated from each other. The annealing process results in complete dewetting of the metal. In particular, the annealing process results in rupturing of the layer of metal. As the annealing process continues, the ruptures connect together to form a network, leaving individual metal islands 85 as seen in Figure 25. When the metal is annealed to a sufficiently high temperature, the metal particles move to an energetically more favourable configuration. This results in the formation of the metal islands 85.
[00134] In an embodiment, the process of forming the pores 73 comprises performing metalassisted chemical etching to etch sections of the substrate layer 71 under the metal islands 85 to form the pores 73. The metal islands 85 function as a catalyst to etch the substrate layer 71 under each metal island 85 (i.e. metal droplet).
[00135] Figure 26 is an image of a metallic or semi-metallic layer 72 used in an alternative process of forming the pores 73. In an embodiment, the third material, which forms the metallic or semi-metallic layer 72 is applied on the substrate layer 71. In an embodiment the process of forming the pores 73 comprises annealing the third material to form gaps in the metallic or semi-metallic layer 72. These gaps can be seen in the image of Figure 26. The conditions (e.g. temperature, time) of the annealing process can be selected so that the gaps are formed as shown in Figure 26, without the gaps connecting together to form a network separating metal islands as shown in Figure 25. Figure 26 shows the results of a partial dewetting (rather than the complete dewetting shown in Figure 25) of the third material.
[00136] The resulting metallic or semi-metallic lattice shown in Figure 26 can be used as an etching mask for etching the substrate layer 71. In an embodiment the process of forming the pores 73 comprises etching the substrate layer 71 through the gaps to form the pores 73. The metallic or semi-metallic lattice can then be retained as the metallic or semi-metallic layer 72 so as to improve the emissivity of the membrane 40.
[00137] In an embodiment, the annealing and etching steps may be performed in place of the steps shown in Figure 12. Alternatively, the annealing and etching steps may be performed at the end of the method of manufacturing the pellicle. For example, the pellicle may be formed with a membrane which has a continuous surface (i.e. without the pores 73). Tire annealing and etching steps could then be performed so as to create the pores 73. Hence, the steps of annealing and etching could be performed after the release of a continuous film membrane.
[00138] Figure 27 is an image of a honeycomb structure 86 used in an alternative process for forming the pores 73. In an embodiment, the process for forming the pores 73 comprises providing the honeycomb structure 86 as tin etching mask. For example, the honeycomb structure 86 can be positioned above the substrate layer 71.
[00139] In an embodiment the honeycomb structure 86 comprises highly ordered and homogenous nanopores. For example, in an embodiment the honeycomb structure comprises porous anodic aluminum oxide.
[00140] In an embodiment the process for forming the pores 73 comprises etching the substrate layer 71 through the honeycomb structure 86 to form the pores 73. The honeycomb structure 86 is then removed.
[00141] The process of providing the honeycomb structure and etching can be performed in place of the steps shown in Figures 12 and 15, for example.
[00142] In an embodiment the honeycomb structure 86 is applied to the stack via an adhesion layer. Alternatively, the honeycomb structure 86 can be produced during the manufacturing process. [00143] According to an aspect of the invention, there is provided a membrane for a pellicle for EUV lithography comprising a grating, the grating comprising a plurality of holes, pores or protrusions. The plurality of holes may e.g. comprise round, square, rounded squares or arbitrary shaped holes. The membrane can e.g. comprise a main film or main layer. The main film or layer thickness in the grating can e.g. range from 20 nm to lOOnm. In an embodiment the main film or main layer may also be referred to as the core or membrane core. Preferably the grating pitch is less than 200nm to ensure a good thermal emissivity. The grating pitch may be defined as the distance between the centers of adjacent holes of the grating. In an embodiment the dominant grating pitch is preferably less than lOOnm to ensure a low flare at the wafer level. A smaller grating pitch such as 30 nm or less can stop debris particles from falling on the reticle. The membrane for a pellicle for EUV lithography according to any embodiment may comprise a metal layer having a thickness between 4 and 15nm, or a semimetal of a thickness in the range from 20 to 80nm. The metal layer is preferably thicker if the membrane is more open. In an embodiment the membrane grating has substantially square openings (i.e. holes), a pitch from 30 to 200 nm, such as lOOnm and a % of open area or an openness defined by the grating openings of from 50 to 90%, such as a 75% openness or open area. An emissive Ru layer of around 8nm thickness has a thermal emissivity >0.35. The material of the pellicle membrane may comprise for example SOI Si membrane core in combination with metal layers. In a further embodiment the membrane grating comprising SOI Si has a substantial circular or square shaped openings covering from 50 to 90% of the membrane area, a grating pitch of less than 100 nm and a metal layer having a thickness in the range from 5 to 15 nm which provides low flare at wafer level and a thermal emissivity > 0.2.
Although specific reference may be made in this text to the use of lithographic apparatus in the manufacture of ICs, it should be understood that the lithographic apparatus described herein may have other applications, such as the manufacture of integrated optical systems, guidance and detection patterns for magnetic domain memories, flat-panel displays, LCDs, thin-film magnetic heads, etc..
The substrate referred to herein may be processed, before or after exposure, in for example a track (a tool that typically applies a layer of resist to a substrate and develops the exposed resist), a metrology tool and/or an inspection tool. Where applicable, the disclosure herein may be applied to such and other substrate processing tools. Further, the substrate may be processed more than once, for example 10 in order to create a multi-layer 1C, so that the term substrate used herein may also refer to a substrate that already contains multiple processed layers.
[00144] While specific embodiments of the invention have been described above, it will be appreciated that the invention may be practiced otherwise than as described. For example, the various photo resist layers may be replaced by non-photo resist layers that perform the same function.
[00145] Tire descriptions above are intended to be illustrative, not limiting. Thus it will be apparent to one skilled in the art that modifications may be made to the invention as described without departing from the scope of the claims set out below.

Claims (40)

1. Vlies voor EUV-lithografie, omvattend:1. Fleece for EUV lithography, comprising: een frame; en een membraan dat ondersteund wordt door het frame, waarbij het membraan omvat:a frame; and a membrane supported by the frame, the membrane comprising: een metalen of semi-metalen laag, waarbij het membraan poriën omvat met een dichtheid van ten minste 5 per pm2.a metal or semi-metal layer, the membrane comprising pores with a density of at least 5 per µm 2 . 2. Vlies volgens conclusie 1, waarbij de dichtheid van poriën ten minste 20 per pm2 is.The web according to claim 1, wherein the density of pores is at least 20 per µm 2 . 3. Vlies volgens conclusie 1, waarbij de dichtheid van poriën ten minste 100 per pm2 is.The web of claim 1, wherein the pore density is at least 100 per µm 2 . 4. Vlies volgens een van de voorgaande conclusies, waarbij het membraan omvat:The web according to any of the preceding claims, wherein the membrane comprises: een substraatlaag voor het ondersteunen van de metalen of semi-metalen laag.a substrate layer for supporting the metal or semi-metal layer. 5. Vlies volgens conclusie 4. waarbij de substraatlaag silicium omvat dat verkregen is uit silicium op isolator of polysilicium.The web according to claim 4. wherein the substrate layer comprises silicon obtained from silicon on insulator or polysilicon. 6. Vlies volgens een van de voorgaande conclusies, waarbij de metalen laag een dikte heeft van ten minste 4mn.The web according to any of the preceding claims, wherein the metal layer has a thickness of at least 4mn. 7. Vlies volgens een van de voorgaande conclusies, waarbij de metalen laag een dikte heeft van ten minste 8mn.The web according to any of the preceding claims, wherein the metal layer has a thickness of at least 8mn. 8. Vlies volgens een van de voorgaande conclusies, waarbij de semi-metalen laag een dikte heeft van ten minste lOnm.The web according to any of the preceding claims, wherein the semi-metallic layer has a thickness of at least 10 µm. 9. Vlies volgens een van de voorgaande conclusies, waarbij de semi-metalen laag ten minste 90% van een totale dikte van het membraan vormt.The web according to any of the preceding claims, wherein the semi-metallic layer forms at least 90% of a total thickness of the membrane. 10. Vlies volgens een van de voorgaande conclusies, waarbij de semi-metalen laag een dikte heeft van ten minste 20nm.The web according to any of the preceding claims, wherein the semi-metallic layer has a thickness of at least 20 nm. 11. Vlies volgens een van de voorgaande conclusies, waarbij de poriën ten minste 50% van een totaal gebied van het membraan vormen.The web according to any of the preceding claims, wherein the pores form at least 50% of a total area of the membrane. 12. Vlies volgens een van de voorgaande conclusies, w aarbi j de poriën ten minste 75% van een totaal gebied van het membraan vormen.The web according to any of the preceding claims, wherein the pores form at least 75% of a total area of the membrane. 13. Vlies volgens een van de voorgaande conclusies, waarbij het metaal een transitiemetaal is van groepen 3 tot en met 10.The web according to any of the preceding claims, wherein the metal is a transition metal of groups 3 through 10. 14. Vlies volgens een van de voorgaande conclusies, waarbij het metaal een transitiemetaal is van periodes 4 tot en met 5.The web according to any of the preceding claims, wherein the metal is a transition metal from periods 4 through 5. 15. Vlies volgens een van de voorgaande conclusies, waarbij het metaal geselecteerd is uit de groep die bestaat uit Zr, Y, Mo, Cr, Hf, Ir, Mn, Nb. Os, Pd. Pt, Re. Rh. Ru, Ta, Ti, V en W.The web according to any of the preceding claims, wherein the metal is selected from the group consisting of Zr, Y, Mo, Cr, Hf, Ir, Mn, Nb. Os, Pd. Pt, Re. Rh. Ru, Ta, Ti, V and W. 16. Vlies volgens een van de voorgaande conclusies, waarbij de poriën cirkelvormig of vierkant zijn.The web according to any of the preceding claims, wherein the pores are circular or square. ££ 17. Vlies volgens een van de voorgaande conclusies, dat voldoet aan ™ > 4, waarbij E staat voor emissiviteit voor invallende EUV-straling en T staat voor een gedeelte van invallende EUVstraling die door het membraan doorgegeven wordt.The fleece according to any of the preceding claims, which meets ™> 4, wherein E stands for emissivity for incident EUV radiation and T stands for a portion of incident EUV radiation transmitted through the membrane. 18. Vlies volgens een van de voorgaande conclusies, met een emissiviteit van ten minste 0,2 voor invallende EUV-straling.Fleece according to any one of the preceding claims, with an emissivity of at least 0.2 for incident EUV radiation. 19. Vlies volgens een van de voorgaande conclusies, ingericht om ten minste 95% van invallende EUV-straling door te geven.Fleece according to any of the preceding claims, arranged to transmit at least 95% of incident EUV radiation. 20. Membraan voor een vlies voor EUV-lithografie, omvattend:20. Fleece membrane for EUV lithography, comprising: een niet-gouden metalen of semi-metalen laag, waarbij het membraan poriën omvat met een dichtheid van ten minste 5 per pin2.a non-gold metal or semi-metal layer, the membrane comprising pores with a density of at least 5 per pin 2 . 21. Vlies voor EUV-lithografie, omvattend:21. Fleece for EUV lithography, comprising: een frame; en het membraan volgens conclusie 20 ondersteund door het frame.a frame; and the membrane of claim 20 supported by the frame. 22. Patroneerinrichtingssamenstel voor EUV-lithografie dat het vlies volgens een van de conclusies 1 tot en met 19 of 21 omvat.An EUV lithography patterning assembly comprising the web of any one of claims 1 to 19 or 21. 23. Dynamisch gasvergrendelingssamenstel voor EUV-lithografie dat het vlies volgens een van de conclusies 1 tot en met 19 of 21 omvat.A dynamic gas locking assembly for EUV lithography comprising the web according to any one of claims 1 to 19 or 21. 24. Werkwijze voor het produceren van een vlies voor EUV-lithografie, omvattende:A method of producing a web for EUV lithography, comprising: het aanbrengen van een eerste materiaal op een tweede materiaal voor het vormen van een frame van het vlies;applying a first material to a second material to form a frame of the web; het aanbrengen van een derde materiaal voor het vormen van een metalen of semi-metalen laag van een membraan van het vlies op een substraatlaag van het membraan; en het vormen van poriën in de substraatlaag met een dichtheid van ten minste 5 per μιη2.applying a third material to form a metal or semi-metal layer of a membrane of the web on a substrate layer of the membrane; and forming pores in the substrate layer with a density of at least 5 per μ 2 . 25. Werkwijze volgens conclusie 24. waarbij het eerste materiaal de substraatlaag vormt.The method of claim 24. wherein the first material forms the substrate layer. 26. Werkwijze volgens conclusie 24 of 25. waarbij het derde materiaal aangebracht wordt op de substraatlaag voordat de poriën gevormd worden.The method of claim 24 or 25. wherein the third material is applied to the substrate layer before the pores are formed. 27. Werkwijze volgens conclusie 24 of 25. waarbij het derde materiaal aangebracht wordt op de substraatlaag nadat de poriën gevormd zijn.The method of claim 24 or 25. wherein the third material is applied to the substrate layer after the pores have been formed. 28. Werkwijze volgens een van de conclusies 24 tot en met 27, waarbij het vormen van de poriën omvat:The method of any one of claims 24 to 27, wherein the pore formation comprises: het drukken van een mal op een maskermateriaal dat de substraatlaag bedekt teneinde een patroon van afdrukken in het maskermateriaal te vormen dat overeenkomt met porieposities; het etsen van het maskermateriaal om openingen te vinden die horen bij de porieposities; en het etsen van de substraatlaag door de openingen om de poriën te vormen.printing a mold onto a mask material covering the substrate layer to form a pattern of prints in the mask material corresponding to pore positions; etching the mask material to find openings associated with the pore positions; and etching the substrate layer through the openings to form the pores. 29. Werkwijze volgens een van de conclusies 24 tot en met 27, waarbij het vormen van de poriën omvat:The method of any one of claims 24 to 27, wherein the pore formation comprises: het deponeren van bollen op de substraatlaag in een patroon dat overeenkomt met porieposities; het aanbrengen van het derde materiaal op de bollen en de substraatlaag;depositing spheres on the substrate layer in a pattern corresponding to pore positions; applying the third material to the spheres and the substrate layer; het verwijderen van de bollen teneinde blootgestelde secties van de substraatlaag te vormen die overeenkomen met de porieposities; en het etsen van de blootgestelde secties van de substraatlaag om de poriën te vormen.removing the spheres to form exposed sections of the substrate layer corresponding to the pore positions; and etching the exposed sections of the substrate layer to form the pores. 30. Werkwijze volgens een van de conclusies 24 tot en met 27, waarbij het vormen van de poriën omvat:The method of any one of claims 24 to 27, wherein the pore formation comprises: het aanbrengen van een metaal op de substraatlaag;applying a metal to the substrate layer; het gloeien van het metaal om metalen eilandjes te vormen op de substraatlaag; en het uitvoeren van metaalondersteund chemisch etsen om secties van de substraatlaag onder de metalen eilandjes te etsen teneinde de poriën te vormen.annealing the metal to form metal islets on the substrate layer; and performing metal-assisted chemical etching to etch sections of the substrate layer under the metal islets to form the pores. 31. Werkwijze volgens een van de conclusies 24 tot en met 27. waarbij het vormen van de poriën omvat:The method of any one of claims 24 to 27, wherein the pore forming comprises: het gloeien van het derde materiaal om openingen te vormen in de metalen of semi-metalen laag; en het etsen van de substraatlaag door de openingen om de poriën te vormen.annealing the third material to form openings in the metal or semi-metal layer; and etching the substrate layer through the openings to form the pores. 32. Werkwijze volgens een van de conclusies 24 tot en met 27, waarbij het vormen van de poriën omvat:The method of any one of claims 24 to 27, wherein the pore formation comprises: het verschaffen van een honingraatstructuur als een etsmasker;providing a honeycomb structure as an etching mask; het etsen van de substraatlaag om de poriën te vormen; en het verwijderen van de honingraatstructuur.etching the substrate layer to form the pores; and removing the honeycomb structure. 33. Werkwijze volgens conclusie 32, waarbij de honingraatstructuur poreus anodisch aluminiumoxide omvat.The method of claim 32, wherein the honeycomb structure comprises porous anodic alumina. 34. Membraan voor een vlies voor EUV-lithografie, waarbij het membraan een rooster omvat dat een veelvoud van gaten omvat.34. Membrane for a fleece for EUV lithography, the membrane comprising a grid comprising a plurality of holes. 35. Membraan volgens conclusie 34, waarbij het rooster een roosterpitch heeft van < 200 nm, bij voorkeur < 100 nm, meer bij voorkeur < 30 nm.Membrane according to claim 34, wherein the grid has a grid pitch of <200 nm, preferably <100 nm, more preferably <30 nm. 36. Membraan volgens conclusie 34 of 35, waarbij het rooster een kern omvat, met een dikte tussen 20 nm en 100 nm.Membrane according to claim 34 or 35, wherein the grid comprises a core with a thickness between 20 nm and 100 nm. 37. Membraan volgens een van de conclusies 34 tot en met 36, waarbij het rooster een metaal of semi-metaal omvat.Membrane according to any one of claims 34 to 36, wherein the grid comprises a metal or semi-metal. 38. Membraan volgens een van de conclusies 34 tot en met 37, waarbij het rooster een SOI Simembraankern omvat.Membrane according to any one of claims 34 to 37, wherein the grid comprises an SOI Simembrane core. 39. Membraan volgens conclusie 38, waarbij het rooster verder een of meer metalen lagen omvat.The membrane of claim 38, wherein the grid further comprises one or more metal layers. 40. Membraan volgens conclusie 38 of 39, waarbij het rooster in hoofdzaak cirkelvormige of vierkante openingen en een open gebied tussen 50% en 90% omvat, bij voorkeur tussen 70% en 80%.Membrane according to claim 38 or 39, wherein the grid comprises substantially circular or square openings and an open area between 50% and 90%, preferably between 70% and 80%.
NL2024075A 2018-11-16 2019-10-22 A pellicle for euv lithography NL2024075B1 (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
EP18206667 2018-11-16
EP19151377 2019-01-11

Publications (2)

Publication Number Publication Date
NL2024075A true NL2024075A (en) 2020-05-20
NL2024075B1 NL2024075B1 (en) 2020-08-19

Family

ID=68242704

Family Applications (1)

Application Number Title Priority Date Filing Date
NL2024075A NL2024075B1 (en) 2018-11-16 2019-10-22 A pellicle for euv lithography

Country Status (4)

Country Link
KR (1) KR20210090189A (en)
NL (1) NL2024075B1 (en)
TW (1) TWI826575B (en)
WO (1) WO2020099072A1 (en)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20220113200A (en) * 2021-02-05 2022-08-12 에스케이하이닉스 주식회사 Pellicle for EUV lithography and manufacturing methods for the same
TWI802974B (en) * 2021-08-25 2023-05-21 達運精密工業股份有限公司 Mask and mask manufacturing method
US20230069583A1 (en) * 2021-08-27 2023-03-02 Taiwan Semiconductor Manufacturing Company, Ltd. Pellicle for an euv lithography mask and a method of manufacturing thereof
CA3235933A1 (en) * 2021-10-22 2023-04-27 Inci DONMEZ NOYAN Pellicle membrane for a lithographic apparatus
KR20230073539A (en) * 2021-11-19 2023-05-26 주식회사 에프에스티 Method for Fabricating Pellicle for EUV(extreme ultraviolet) Lithography

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130004711A1 (en) * 2010-04-13 2013-01-03 Seitaro Doi Self-supporting film, self-supporting structure, method for manufacturing self-supporting film, and pellicle
US20150160569A1 (en) * 2012-05-21 2015-06-11 Asml Netherlands B.V. Lithographic apparatus
WO2016079051A2 (en) 2014-11-17 2016-05-26 Asml Netherlands B.V. Mask assembly
US20170038676A1 (en) * 2015-08-03 2017-02-09 Samsung Electronics Co., Ltd. Pellicle and photomask assembly including the same
US20170038675A1 (en) * 2014-04-17 2017-02-09 Industry-University Cooperation Foundation Hanyang University Pellicle for euv lithography
KR101900720B1 (en) * 2017-11-10 2018-09-20 주식회사 에스앤에스텍 Pellicle for Extreme Ultraviolet(EUV) Lithography and Method for fabricating the same
US20180329289A1 (en) * 2017-05-15 2018-11-15 Imec Vzw Method for Forming a Carbon Nanotube Pellicle Membrane

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101700546B1 (en) * 2004-06-10 2017-01-26 가부시키가이샤 니콘 Exposure apparatus, exposure method, and device producing method
KR101474572B1 (en) * 2006-06-19 2014-12-18 엔테그리스, 아이엔씨. System for purging reticle storage
JP6231489B2 (en) * 2011-12-01 2017-11-15 ザ ボード オブ トラスティーズ オブ ザ ユニヴァーシティー オブ イリノイ Transition devices designed to undergo programmable changes
US10139725B2 (en) * 2013-03-27 2018-11-27 Asml Netherlands B.V. Lithographic apparatus

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130004711A1 (en) * 2010-04-13 2013-01-03 Seitaro Doi Self-supporting film, self-supporting structure, method for manufacturing self-supporting film, and pellicle
US20150160569A1 (en) * 2012-05-21 2015-06-11 Asml Netherlands B.V. Lithographic apparatus
US20170038675A1 (en) * 2014-04-17 2017-02-09 Industry-University Cooperation Foundation Hanyang University Pellicle for euv lithography
WO2016079051A2 (en) 2014-11-17 2016-05-26 Asml Netherlands B.V. Mask assembly
US20170038676A1 (en) * 2015-08-03 2017-02-09 Samsung Electronics Co., Ltd. Pellicle and photomask assembly including the same
US20180329289A1 (en) * 2017-05-15 2018-11-15 Imec Vzw Method for Forming a Carbon Nanotube Pellicle Membrane
KR101900720B1 (en) * 2017-11-10 2018-09-20 주식회사 에스앤에스텍 Pellicle for Extreme Ultraviolet(EUV) Lithography and Method for fabricating the same

Also Published As

Publication number Publication date
KR20210090189A (en) 2021-07-19
WO2020099072A1 (en) 2020-05-22
TW202107199A (en) 2021-02-16
TWI826575B (en) 2023-12-21
NL2024075B1 (en) 2020-08-19

Similar Documents

Publication Publication Date Title
NL2024075B1 (en) A pellicle for euv lithography
TWI706217B (en) Membrane assembly for euv lithography and method for manufacturing the same
JP7174625B2 (en) Method for manufacturing membrane assembly for EUV lithography, membrane assembly, lithographic apparatus and device manufacturing method
TWI716478B (en) A method for manufacturing a membrane assembly
TW202414077A (en) Pellicle, patterning device assembly, and dynamic gas lock assembly for euv lithography
JP2023540020A (en) Pellicle membrane for lithography equipment