NL2021774A - Metrology apparatus - Google Patents

Metrology apparatus Download PDF

Info

Publication number
NL2021774A
NL2021774A NL2021774A NL2021774A NL2021774A NL 2021774 A NL2021774 A NL 2021774A NL 2021774 A NL2021774 A NL 2021774A NL 2021774 A NL2021774 A NL 2021774A NL 2021774 A NL2021774 A NL 2021774A
Authority
NL
Netherlands
Prior art keywords
radiation
optical system
illumination
metrology tool
substrate
Prior art date
Application number
NL2021774A
Other languages
Dutch (nl)
Inventor
Pandey Nitesh
Jeffrey Den Boef Arie
Johannes Maria Van Dam Marinus
Original Assignee
Asml Netherlands Bv
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Family has litigation
First worldwide family litigation filed litigation Critical https://patents.darts-ip.com/?family=65235592&utm_source=google_patent&utm_medium=platform_link&utm_campaign=public_patent_search&patent=NL2021774(A) "Global patent litigation dataset” by Darts-ip is licensed under a Creative Commons Attribution 4.0 International License.
Priority claimed from EP18189926.1A external-priority patent/EP3614207A1/en
Application filed by Asml Netherlands Bv filed Critical Asml Netherlands Bv
Publication of NL2021774A publication Critical patent/NL2021774A/en

Links

Abstract

A metrology tool for determining a parameter of interest of a structure fabricated on a substrate, the metrology tool comprising: an illumination optical system for illuminating the structure with illumination radiation under a non-zero angle of incidence; a detection optical system comprising a detection optical sensor and at least one lens for capturing a portion of illumination radiation scattered 5 by the structure and transmitting the captured radiation towards the detection optical sensor, wherein the illumination optical system and the detection optical system do not share an optical element. [FIG 6]

Description

Background [002] A lithographic apparatus is a machine constructed to apply a desired pattern onto a substrate. A lithographic apparatus can be used, for example, in the manufacture of integrated circuits (ICs). A lithographic apparatus may, for example, project a pattern (also often referred to as “design layout” or “design”) at a patterning device (e.g., a mask) onto a layer of radiation-sensitive material (resist) provided on a substrate (e.g., a wafer). The projected pattern may form part of a process to fabricate a structure onto the substrate.
[003] To project a pattern on a substrate a lithographic apparatus may use electromagnetic radiation. The wavelength of this radiation determines the minimum size of features which can be formed on the substrate. Typical wavelengths currently in use are 365 nm (i-line), 248 nm, 193 nm and 13.5 nm. A lithographic apparatus, which uses extreme ultraviolet (EUV) radiation, having a wavelength within the range 4-20 nm, for example 6.7 nm or 13.5 nm, may be used to form smaller features on a substrate than a lithographic apparatus which uses, for example, radiation with a wavelength of 193 nm.
[004] Low-ki lithography may be used to process features with dimensions smaller than the classical resolution limit of a lithographic apparatus. In such process, the resolution formula may be expressed as CD = k|X/7NA, where λ is the wavelength of radiation employed, NA is the numerical aperture of the projection optics in the lithographic apparatus, CD is the “critical dimension” (generally the smallest feature size printed, but in this case half-pitch) and ki is an empirical resolution factor. In general, the smaller ki the more difficult it becomes to reproduce the pattern on the substrate that resembles the shape and dimensions planned by a circuit designer in order to achieve particular electrical functionality and performance. To overcome these difficulties, sophisticated fine-tuning steps may be applied to the lithographic projection apparatus and/or design layout. These include, for example, but not limited to, optimization of NA, customized illumination schemes, use of phase shifting patterning devices, various optimization of the design layout such as optical proximity correction (OPC, sometimes also referred to as “optical and process correction”) in the design layout, or other methods generally defined as “resolution enhancement techniques” (RET). Alternatively, tight control loops for controlling a stability of the lithographic apparatus may be used to improve reproduction of the pattern at low kl.
η ζ.· [005] In lithographic processes, it is desirable to make frequently measurements of the structures created, e.g., for process control and verification. Tools to make such measurements are typically called metrology tools MT. Different types of metrology tools MT for making such measurements are known, including scanning electron microscopes or various forms of scatterometer metrology tools MT. Scatterometers are versatile instruments which allow measurements of the parameters of a lithographic process by having a sensor in the pupil or a conjugate plane with the pupil of the objective of the scatterometer, measurements usually referred as pupil based measurements, or by having the sensor in the image plane or a plane conjugate with the image plane, in which case the measurements are usually referred as image or field based measurements. Such scatterometers and the associated measurement techniques are further described in patent applications US20100328655, US2011102753A1, US20120044470A, US20110249244, US20110026032 or EP1,628,164A, incorporated herein by reference in their entirety. Aforementioned scatterometers may measure gratings using light from soft x-ray and visible to near-IR wavelength range.
[006] Scatterometers MT may be angular resolved scatterometers. In such a scatterometer reconstruction methods may be applied to the measured signal to reconstruct or calculate properties of the grating. Such reconstruction may, for example, result from simulating interaction of scattered radiation with a mathematical model of the target structure and comparing the simulation results with those of a measurement. Parameters of the mathematical model are adjusted until the simulated interaction produces a diffraction pattern similar to that observed from the real target.
[007] Scatterometers MT may alternatively be spectroscopic scatterometers MT. In such spectroscopic scatterometers MT, the radiation emitted by a radiation source is directed onto the target and the reflected or scattered radiation from the target is directed to a spectrometer detector, which measures a spectrum (i.e. a measurement of intensity as a function of wavelength) of the specular reflected radiation. From this data, the structure or profile of the target giving rise to the detected spectrum may be reconstructed, e.g. by Rigorous Coupled Wave Analysis and non-linear regression or by comparison with a library of simulated spectra.
[008] Scatterometers MT may alternatively be ellipsometric scatterometer. The ellipsometric scatterometer allows for determining parameters of a lithographic process by measuring scattered radiation for each polarization states. Such metrology apparatus emits polarized light (such as linear, circular, or elliptic) by using, for example, appropriate polarization filters in the illumination section of the metrology apparatus. A source suitable for the metrology apparatus may provide polarized radiation as well. Various embodiments of existing ellipsometric scatterometers are described in US patent applications 11/451,599, 11/708,678, 12/256,780. 12/486.449, 12/920.968, 12/922.587, 13/000,229, 13/033,135, 13/533,110 and 13/891,410 incorporated herein by reference in their entirety.
[009] In one embodiment of the scatterometer MT, the scatterometer MT is adapted to measure the overlay of two misaligned gratings or periodic structures by measuring asymmetry in the reflected spectrum and/or the detection configuration, the asymmetry being related to the extent of the overlay.
The two (typically overlapping) grating structures may be applied in two different layers (not necessarily consecutive layers), and may be formed substantially at the same position on the wafer. The scatterometer may have a symmetrical detection configuration as described e.g. in co-owned patent application EP1,628,164A, such that any asymmetry is clearly distinguishable. This provides a straightforward way to measure misalignment in gratings. Further examples for measuring overlay error between the two layers containing periodic structures as target is measured through asymmetry of the periodic structures may be found in PCT patent application publication no. WO 2011/012624 or US patent application US 20160161863, incorporated herein by reference in its entirety.
[0010] Other parameters of interest may be focus and dose. Focus and dose may be determined simultaneously by scatterometry (or alternatively by scanning electron microscopy) as described in US patent application US2011-0249244, incorporated herein by reference in its entirety. A single structure may be used which has a unique combination ol'critical dimension and sidewall angle measurements for each point in a focus energy matrix (FEM - also referred to as Focus Exposure Matrix). If these unique combinations of critical dimension and sidewall angle are available, the focus and dose values may be uniquely determined from these measurements.
[0011] A metrology target may be an ensemble of composite gratings, formed by a lithographic process, mostly in resist, but also after etch process for example. Typically the pitch and line-width of the structures in the gratings strongly depend on the measurement optics (in particular the NA of the optics) to be able to capture diffraction orders coming from the metrology targets. As indicated earlier, the diffracted signal may be used to determine shifts between two layers (also referred to ‘overlay’) or may be used to reconstruct at least part of the original grating as produced by the lithographic process. This reconstruction may be used to provide guidance of the quality of the lithographic process and may be used to control at least part of the lithographic process. Targets may have smaller sub-segmentation which are configured to mimic dimensions of the functional part of the design layout in a target. Due to this sub-segmentation, the targets will behave more similar to the functional part of the design layout such that the overall process parameter measurements resembles the functional part of the design layout better. The targets may be measured in an underfilled mode or in an overfilled mode. In the underfilled mode, the measurement beam generates a spot that is smaller Ilian the overall target. In the overfilled mode, the measurement beam generates a spot that is larger than the overall target. In such overfilled mode, it may also be possible to measure different targets simultaneously, thus determining different processing parameters at the same time.
[0012] Overall measurement quality of a lithographic parameter using a specific target is at least partially determined by the measurement recipe used to measure this lithographic parameter. The term “substrate measurement recipe” may include one or more parameters of the measurement itself, one or more parameters of the one or more patterns measured, or both. For example, if the measurement used in a substrate measurement recipe is a diffraction-based optical measurement, one or more of the parameters of the measurement may include the wavelength of the radiation, the polarization of the radiation, the incident angle of radiation relative to the substrate, the orientation of radiation relative to a pattern on the substrate, etc. One of the criteria to select a measurement recipe may, for example, be a sensitivity of one of the measurement parameters to processing variations. More examples are described in US patent application US2016-0161863 and not yet published US patent application
15/181,126, incorporated herein by reference in its entirety.
[0013] There is a growing need of improving the capabilities of the scatterometer and/or the metrology tool.
Summary [0014] It is difficult to combine all the above requirements in a single optical system, however, a solution may be to simplify the optics and using easily manufacturable optics of which the characteristics may be well-known and using computational algorithms to improve images recorded by the simplified optical system. Exemplary methods and apparatus disclosed herein relate to an architecture of a scatterometer and/or metrology tool that has a simplified optical system that comprises a reduced number of optical elements.
[0015] According to an aspect of the invention, there is provided a metrology tool for determining a parameter of interest of a structure fabricated on a substrate, the metrology tool comprising: an illumination optical system for illuminating the structure with illumination radiation under a non-zero angle of incidence; a detection optical system comprising a detection optical sensor and at least one lens for capturing a portion of illumination radiation scattered by the structure and transmitting the captured radiation towards the detection optical sensor, wherein the illumination optical system and the detection optical system do not share an optical element.
[0016] Optionally, at least part of an optical axis of the illumination optical system is substantially parallel to an optical axis of the detection optical system.
[0017] Optionally, at least part of the illumination optical system is positioned radially outwards from a radial extent of the detection optical system.
[0018] Optionally, the illumination optical system comprises a plurality of discrete optical paths. [0019] Optionally, at least two of the plurality of optical paths are diametrically opposed.
[0020] Optionally, the illumination optical system comprises at least one mirror for reflecting illumination radiation towards the structure.
[0021] Optionally, the metrology tool comprises at least one mirror in one or more of the plurality of optical paths.
[0022] Optionally, the at least one mirror is configured to direct the illumination radiation onto the structure through a volume between at least one lens of the detection optical system and the substrate.
[0023] Optionally, the at least one mirror is configured to direct radiation having a plurality of wavelengths in a range from 300 nmto 2 pm to substantially the same point on the substrate.
[0024] Optionally, the at least one mirror is one of an elliptical or a parabolic mirror.
[0025] Optionally, the at least one mirror has a reflectivity greater than 90% across a range of wavelengths of the illumination radiation.
[0026] Optionally, the detection optical system has a total transmissivity of greater than 90%.
[0027] Optionally, the detection optical system comprises 5 optical elements or fewer, for example, a single optical element, or two optical elements, or three optical element or four optical elements..
[0028] Optionally, the detection optical system comprises one or more of: a plano-convex lens; an aspheric lens; and a long working distance objective.
[0029] Optionally, the detection optical system comprises a plurality of lenses, and wherein one of the plurality of lenses positioned closest to the substrate has a working distance between the substrate and a surface of the lens of one of: greater than 300 pm; greater than 500 pm; and in a range from 300 pm to 10mm.
[0030] Optionally, the lens has a numerical aperture of: greater that) 0.4; greater than 0.7; greater than 0.9; or 0.95 or greater.
[0031] Optionally, the metrology tool further comprises a focus system comprising at least one focussing optical sensor configured to receive zeroth order radiation reflected from the structure and a computational imaging processor configured to determine a focus of the detection optical system based on.
[0032] Optionally, the focussing optical sensor comprises a quad optical sensor, arranged such that a proportion of the reflected zeroth order radiation that is sensed by each optical sensor in the quad optical sensor is indicative of a position of the structure.
[0033] Optionally, at least one of the plurality of discrete optical paths is configured to receive, at least in part, reflected zeroth order radiation originating from at least one other of the optical paths, and wherein the at least one of the plurality of discrete optical paths comprises a reflective optical element configured to direct the received zeroth order radiation towards the at least one focussing optical sensor. [0034] Optionally, the at least one focussing optical sensor is positioned radially outwards from a radial extent of the detection optical system.
[0035] Optionally, the metrology tool further comprises a polarization element arranged around an outer of the detection optical system and configured to interact with radiation propagating through the illumination optical system for polarization thereof.
[0036] Optionally, the polarization element is configurable to apply one of s or p polarization at a plurality of magnitudes.
[0037] Optionally, the polarization element is rotatable to apply one of s or p polarization at one of a plurality of magnitudes.
[0038] Optionally, the metrology tool is configured to apply one of s or p polarization at one of a plurality of magnitudes to one or more of the plurality of optical paths.
[0039] Optionally, the detection optical sensor is configured to acquire a first image based on reflected and/or diffracted radiation having one order, and further configured to acquire a second image based on reflected and/or diffracted radiation having a further order.
[0040] Optionally, the metrology tool comprises a shutter system positioned in at least one of the plurality of optical paths configurable between an open position in which illumination radiation is allowed to pass and a closed position in which illumination radiation is blocked.
[0041] Optionally, the shutter system comprises one or more acousto-optic-tunable filters.
[0042] Optionally, the metrology tool further comprises a reference optical sensor, wherein the at least one of the plurality of optical paths comprises a beam splitter configured to direct a proportion of the illumination radiation to the reference optical sensor when the shutter system is in the open position. [0043] Optionally, the proportion of the illumination radiation is less than 5%, [0044] Optionally, the metrology tool further comprises an image normalisation unit configured to normalise the first and second images based on a reference image acquired by the reference optical sensor.
[0045] Optionally, the metrology tool further comprises tin acquisition controller configured to control the reference optical sensor, the detection optical sensor and the shutter system for capturing the first and second images.
[0046] Optionally, a first shutter system is positioned in a first optical path of the illumination optical system and a second shutter system is positioned in a second optical path of the illumination optical system, and wherein the first shutter system is operable for acquiring the first image and the second shutter system is operable for acquiring the second image.
[0047] Optionally, the acquisition controller is configured to place the reference optical sensor in an acquisition phase and to open and close the first and second shutter systems sequentially while the reference optical sensor is in the acquisition phase.
[0048] Optionally, the acquisition controller is further configured to place the detection optical sensor in a first acquisition phase such that the first shutter system is opened and closed while the detection optical sensor is in the first acquisition phase for acquiring the first image, and wherein the acquisition controller is further configured to place the detection optical sensor in a second acquisition phase such that the second shutter system is opened and closed while the detection optical sensor is in the second acquisition phase for acquiring the second image [0049] Optionally, the illumination optical system and the detection optical system has a footprint less than the area of a field of the substrate. Tlie field size may have a dimension of 300 mm.
[0050] Optionally, the combination of the illumination optical system and the detection optical system has at least one of an x-dimension and a y-dimension less than 26mm.
[0051] Optionally, the metrology tool comprises a plurality of illumination optical system and detection optical system combinations in an array.
[0052] Optionally, each of the plurality of illumination optical system and detection optical system combinations is aligned with a different field of the substrate.
[0053] Optionally, the metrology tool comprises a coherent radiation source emitting illumination radiation that is received by a plurality of optical paths of the illumination optical system.
[0054] Optionally, the coherent radiation source comprises a laser emitting white light.
Brief description of drawings [0055] Embodiments of the invention will now be described, by way of example only, with reference to the accompanying schematic drawings, in which:
Figure 1 depicts a schematic overview of a lithographic apparatus;
Figure 2 depicts a schematic overview of a lithographic cell;
Figure 3 depicts a schematic representation of holistic lithography, representing a cooperation between three key technologies to optimize semiconductor manufacturing;
Figure 4 shows a schematic representation of an exemplary metrology tool;
Figures 5a and 5b show a schematic representation of an exemplary metrology tool at different stages of operation
Figures 6 shows a schematic representation of an exemplary metrology tool;
Figure 7 shows a flow diagram for a method of operating a metrology tool; and
Figure 8 shows a timing diagram corresponding to a method of operating a metrology tool.
Detailed description [0056] In the present document, the terms “radiation” and “beam” are used to encompass all types of electromagnetic radiation, including ultraviolet radiation (e.g. with a wavelength of 365, 248, 193, 157 or 126 nm) and EUV (extreme ultra-violet radiation, e.g. having a wavelength in the range of about 5-100 nm).
[0057] The term “reticle”, “mask” or “patterning device” as employed in this text may be broadly interpreted as referring to a generic patterning device that can be used to endow' an incoming radiation beam with a patterned cross-section, corresponding to a pattern that is to be created in a target portion of the substrate. The term “light valve” can also be used in this context. Besides the classic mask (transmissive or reflective, binary, phase-shifting, hybrid, etc.), examples of other such patterning devices include a programmable mirror array and a programmable LCD array.
[0058] Figure 1 schematically depicts a lithographic apparatus LA. The lithographic apparatus LA includes an illumination system (also referred to as illuminator) IL configured to condition a radiation beam B (e.g., UV radiation, DUV radiation or EUV radiation), a mask support (e.g., a mask table) MT constructed to support a patterning device (e.g., a mask) MA and connected to a first positioner PM configured to accurately position the patterning device MA in accordance w'ith certain parameters, a substrate support (e.g., a wafer table) WT constructed to hold a substrate (e.g., a resist coated wafer) W and connected to a second positioner PW configured to accurately position the substrate support in accordance with certain parameters, and a projection system (e.g., a refractive projection lens system)
PS configured to project a pattern imparted to the radiation beam B by patterning device MA onto a target portion C (e.g., comprising one or more dies) of the substrate W.
[0059] In operation, the illumination system IL receives a radiation beam from a radiation source SO, e.g. via a beam delivery system BD. The illumination system IL may include various types of optical components, such as refractive, reflective, magnetic, electromagnetic, electrostatic, and/or other types of optical components, or any combination thereof, for directing, shaping, and/or controlling radiation. The illuminator IL may be used to condition the radiation beam B to have a desired spatial and angular intensity distribution in its cross section at a plane of the patterning device MA.
[0060] The term “projection system” PS used herein should be broadly interpreted as encompassing various types of projection system, including refractive, reflective, catadioptric, anamorphic, magnetic, electromagnetic and/or electrostatic optical systems, or any combination thereof, as appropriate for the exposure radiation being used, and/or for other factors such as the use of an immersion liquid or the use of a vacuum. Any use of the term “projection lens” herein may be considered as synonymous with the more general term “projection system” PS.
[0061] The lithographic apparatus LA may be of a type wherein at least a portion of the substrate may be covered by a liquid having a relatively high refractive index, e.g., water, so as to fill a space between the projection system PS and the substrate W - which is also referred to as immersion lithography. More information on immersion techniques is given in US6952253, which is incorporated herein by reference.
[0062] The lithographic apparatus LA may also be of a type having two or more substrate supports WT (also named “dual stage”). In such “multiple stage” machine, the substrate supports WT may be used in parallel, and/or steps in preparation of a subsequent exposure of the substrate W may be carried out on the substrate W located on one of the substrate support WT while another substrate W on the other substrate support WT is being used for exposing a pattern on the other substrate W.
[0063] In addition to the substrate support WT, the lithographic apparatus LA may comprise a measurement stage. The measurement stage is arranged to hold a sensor and/or a cleaning device. The sensor may be arranged to measure a property of the projection system PS or a property of the radiation beam B. The measurement stage may hold multiple sensors. The cleaning device may be arranged to clean part of the lithographic apparatus, for example a part of the projection system PS or a part of a system that provides the immersion liquid. The measurement stage may move beneath the projection system PS when the substrate support WT is away from the projection system PS.
[0064] In operation, the radiation beam B is incident on the patterning device, e.g. mask, MA which is held on the mask support MT, and is patterned by the pattern (design layout) present on patterning device MA. Having traversed the mask MA, the radiation beam B passes through the projection system
PS, which focuses the beam onto a target portion C of the substrate W. With the aid of the second positioner PW and a position measurement system IF, the substrate support WT can be moved accurately, e.g., so as to position different target portions C in the path of the radiation beam B at a focused and aligned position. Similarly, the first positioner PM and possibly another position sensor (which is not explicitly depicted in Figure 1) may be used to accurately position the patterning device MA with respect to the path of the radiation beam B. Patterning device MA and substrate W may be aligned using mask alignment marks Ml, M2 and substrate alignment marks Pl, P2. Although the substrate alignment marks Pl, P2 as illustrated occupy dedicated target portions, they may be located in spaces between target portions. Substrate alignment marks Pl, P2 are known as scribe-lane alignment marks when these are located between the target portions C.
[0065] As shown in Figure 2 the lithographic apparatus LA may form part of a lithographic cell LC, also sometimes referred to as a lithocell or (litho)cluster, which often also includes apparatus to perform pre- and post-exposure processes on a substrate W. Conventionally these include spin coaters SC to deposit resist layers, developers DE to develop exposed resist, chill plates CH and bake plates BK, e.g. for conditioning the temperature of substrates W e.g. for conditioning solvents in the resist layers. A substrate handler, or robot, RO picks up substrates W from input/output ports 1/01, 1/02, moves them between the different process apparatus and delivers the substrates W to the loading bay LB of the lithographic apparatus LA. The devices in the lithocell, which are often also collectively referred to as the track, are typically under the control of a track control unit TCU that in itself may be controlled by a supervisory control system SCS, which may also control the lithographic apparatus LA, e g. via lithography control unit LACU.
[0066] In order for the substrates W exposed by the lithographic apparatus LA to be exposed correctly and consistently, it is desirable to inspect substrates to measure properties of patterned structures, such as overlay errors between subsequent layers, line thicknesses, critical dimensions (CD), etc. For this purpose, inspection tools (not shown) may be included in the lithocell LC. If errors are detected, adjustments, for example, may be made to exposures of subsequent substrates or to other processing steps that are to be performed on the substrates W, especially if the inspection is done before other substrates W of the same batch or lot are still to be exposed or processed.
[0067] An inspection apparatus, which may also be referred to as a metrology tool, is used to determine properties of the substrates W, and in particular, how properties of different substrates W vary or how properties associated with different layers of the same substrate W vary from layer to layer. The inspection apparatus may alternatively be constructed to identify defects on the substrate W and may, for example, be part of the lithocell LC, or may be integrated into the lithographic apparatus LA, or may even be a stand-alone device. The inspection apparatus may measure the properties on a latent image (image in a resist layer after the exposure), or on a semi-latent image (image in a resist layer after a post-exposure bake step PEB), or on a developed resist image (in which the exposed or unexposed parts of the resist have been removed), or even on an etched image (after a pattern transfer step such as etching).
[0068] Typically the patterning process in a lithographic apparatus LA is one of the most critical steps in the processing which requires high accuracy of dimensioning and placement of structures on the substrate W. To ensure this high accuracy, three systems may be combined in a so called “holistic” control environment as schematically depicted in Fig. 3. One of these systems is the lithographic apparatus LA which is (virtually) connected to a metrology tool MT (a second system) and to a computer system CL (a third system). The key of such “holistic” environment is to optimize the cooperation between these three systems to enhance the overall process window and provide tight control loops to ensure that the patterning performed by the lithographic apparatus LA stays within a process window. The process window defines a range of process parameters (e.g. dose, focus, overlay ) within which a specific manufacturing process yields a defined result (e.g. a functional semiconductor device) - typically within which the process parameters in the lithographic process or patterning process are allowed to vary.
[0069] The computer system CL may use (part of) the design layout to be patterned to predict which resolution enhancement techniques to use and to perform computational lithography simulations and calculations to determine which mask layout and lithographic apparatus settings achieve the largest overall process window of the patterning process (depicted in Fig. 3 by the double arrow in the first scale SC1). Typically, the resolution enhancement techniques are arranged to match the patterning possibilities of the lithographic apparatus LA. The computer system CL may also be used to detect where within the process window the lithographic apparatus LA is currently operating (e.g. using input from the metrology tool MT) to predict whether defects may be present due to e.g. sub-optimal processing (depicted in Fig. 3 by the arrow pointing “0” in the second scale SC2).
[0070] The metrology tool MT may provide input to the computer system CL to enable accurate simulations and predictions, and may provide feedback to the lithographic apparatus LA to identify possible drifts, e.g. in a calibration status of the lithographic apparatus LA (depicted in Fig. 3 by the multiple arrows in the third scale SC3).
[0071] Figure 4 shows an exemplary metrology tool 100. In metrology tool 100 there is a detection optical system that comprises two lenses 110, 150. In another embodiment only lens 150 is present in the detection optical system. In another embodiment, more lenses may be present in the detection optical system. In an embodiment the lenses 110, 150 are capable of transmitting and refracting radiation in a wide wavelength range, e.g. from 200 nm to 2000 nm, from 200 nm to 1000 nm or from 400 nm to 800 nm. The lenses 110, 150 may have a relatively large aberration and the aberration of the lenses 110, 150 may be known and is e.g. stored as a known aberration, KNWL ABR, 132.
[0072] Lens 150 captures a portion of radiation that is scattered by a structure 128 fabricated on, for example, a semiconductor substrate and/or a wafer. A working distance of the lens 150 (e.g. the distance between a surface of the lens 150 and the structure 128) may be greater than 300 pm, greater than 500 pm or in a range from 300 pm to 1 mm. The structure 128 may be a product structure or may be a specifically designed metrology structure, a so-termed (metrology) target, to measure certain characteristics of the process that is being applied to the semiconductor substrate and/or wafer. Captured radiation 106 may subsequently be imaged by lens 110 on a detection optical sensor 102, which may be a sensor with a pixel array that registers per pixel an amount of impinging radiation.
[0073] The sensor 102 may be a single sensor and may be capable of detecting radiation in a wavelength range from 200 nm to 2000 nm, and, in another embodiment, the sensor 102 is capable of detecting a smaller wavelength range, for example, from 200 nm to 1000 nm or from 400 nm to 800 nm. In another embodiment, the captured abeam of radiation 106 is split into two separate beams and both of the separate beams are imaged on a separate sensor that each are sensitive to another wavelength range. The lenses 110, 150, and optionally also the sensor 102, may be provided in a tube 104. The lens 150 may have a relatively small NA, for example, smaller than 0.4, and in other embodiment the NA of lens 150 is larger, for example greater than 0.4, greater than 0.7, greater than 0.9 or 0.95 or greater. Lens 150 can be of any type of lens and may have aspheric surfaces designed for minimizing aberrations. The lens 150 may be one of a plano-convex lens; an aspheric lens; and a long working distance objective [0074] An illumination optical system for illuminating the structure 128 is separated from the detection optical system and shares as few as possible optical elements with the detection optical system. In one specific example, the illumination optical system and the detection optical system do not share an optical element. In exemplary arrangements, the illumination optical system may comprise two, four or more discrete optical paths and at least two of the discrete optical paths may be diametrically opposed. As used herein, an “optical element” encompasses elements that reflect or refract radiation, such as, for example mirrors and lenses.
[0075] Using the airangement disclosed in Figure 4, illumination radiation may be provided by one or both of the fibers 112,140. The fibers 112, 140 may be single mode fibers and this may optionally result in single mode illumination of the structure on the substrate. The radiation 124 that is output from the fibers 112, 114 falls in the presented embodiment on minor 126 or mirror 148, respectively, which subsequently reflects the radiation towards the structure 128. In the airangement of Figure 4, the illumination radiation is directed onto the structure 128 through a volume between the substrate and the lens 150 of the detection optical path. The mirrors 126, 148 may be elliptically shaped mirrors. The elliptically shaped minors may image the tips of the fibers 112, 140 on the structure 128. In another embodiment, the minors 126,148 are parabolic mirrors. The minors 126, 148 are configured to direct radiation having a plurality of wavelengths in a range from 300 nm to 2 μηι, 300 nm to 1 pm or 400 nm to 800 nm to substantially the same point on the substrate to form an illumination spot. The reflectivity of the mirrors 126, 148 may in some embodiments be substantially uniform for any of the above wavelength ranges.
[0076] Assuming that only fiber 112 provides illumination radiation, then the structure 128 reflects a portion of the illumination radiation towards mirror 148 and a portion of the radiation that is scattered by the structure 128 is captured by lens 150. In an embodiment radiation in one or more diffraction orders is captured by the lens 150.
[0077] It is also possible to use only fiber 140 to provide illumination radiation and then structure 128 reflects a portion of the illumination radiation towards mirror 126 and scattered light, for example of one or more diffraction orders opposite the diffraction orders of radiation from mirror 124 and diffracted by the structure 128, is captured by lens 150.
[0078] The illumination optical system may also comprise two beam splitters 114, 142 in between the tip of the fibers 112, 140 and mirrors 126, 148. Light that is reflected by the structure 128 and that falls on the mirrors 126, 148 is at least partially reflected towards a focus system comprising focussing optical sensors 120, 144 which have multiple areas that detect per area how much radiation impinges on the respective areas. For example, the focussing optical sensors 120, 144 have four quadrants wherein e.g. each quadrant comprises a photodiode capable of detecting the intensity of light that impinges on the quadrant. The position of the focussing optical sensors 120, 144 may be chosen such that the reflected illumination radiation forms a spot on the focussing optical sensor 120, 144. The four quadrants are for example shown at 116 together with such a spot 118.
[0079] The data recorded by the focussing optical sensors 120, 144 can be used in the focus system. If the structure 128 moves in the z-direction (up and down in Figure 4) then the position of the spot moves over the focussing optical sensor 120 or focussing optical sensor 144 and at a certain position the structure 128 is at an optimum focus of the illumination radiation. By using the differently recorded intensities in the four quadrants, it can be determined at which position the spot 118 is on the focussing optical sensor 120 (or focussing optical sensor 144). A calibration mechanism can be used to determine the position at which the spot must be at the optimum focus position of the structure 128.
[0080] It is to be noted that, in certain embodiments of the metrology system 100, it is not necessary that the structure 128 is always exactly in optimum focus. Also if radiation is scattered at an out of focus position and if this scattered radiation is detected by sensor 102, then a processing unit, such as a computational imaging processor, COMPU IM, 136, algorithm may be capable of creating an in-focus image. For example, this may be enabled if information is available that comprises values that relate to how much is the structure 128 out of focus. For example, the data detected by the focussing optical sensors 120, 144 can be used in such a computational imaging, COMPU IM, 136, algorithm. Scattered radiation may be captured by the sensor 102 in two images each having different focus levelsin order to retrieve phase information.
[0081] The design of the detection optical system and the illumination optical system is such that the combination of both is relatively compact. The illumination optical system, with its different subsystems, are arranged directly around lens 150 and do not require much space or large optical elements. For example, a cross-sectional radius W of the combination of the detection optical system and the illumination optical system may be smaller than 50 mm, or even smaller than 30 mm. This design allows the use of several instances of metrology tool 100 close to each other such that different structures, such as structure 128, can be inspected or measured simultaneously.
[0082] At least part of the illumination optical system and the detection optical system are parallel to each other. That is, the illumination optical beam and the detection optical beam are parallel to each other during at least part of the respective paths. The at least part of the respective paths may also be transverse and optionally at right angles to the substrate comprising the structure 128. More specifically and as shown in Figure 4, at least part of the illumination optical system is radially outwards from the radial extent of the detection optical system, in this case defined by the tube 104.
[0083] The illumination optical system and the detection optical system together may have a footprint less than the area of a field of the substrate. In some examples, the combination of the illumination optical system and the detection optical system has at least one of an x-dimension and a y-dimension less than 26mm. In this context, the x-dimension and y-dimension are those that are transverse to the zdimension (described above and vertical in Figure 4).
[0084] Exemplary metrology tools may comprise a plurality of illumination optical system and detection optical system combinations according to any disclosed herein. Each of the plurality of illumination optical system and detection optical system combinations may be configured such that they are aligned with a different field of the substrate.
[0085] The fibers 112, 140 may receive radiation from, for example, a laser. The laser may be capable of generating radiation in a relatively wide wavelength range, for example, from 200 nm to 2000 nm. The laser may be a tunable laser which generates a single wavelength and is tunable towards such a single wavelength in a relatively wide wavelength range. The laser may also be capable of generating radiation along the whole above mentioned wavelength range and filters may be used to select only a portion or only a single wavelength from this relatively wide wavelength range.
[0086] Exemplary metrology tools 100 may have a polarization element, which in this case is a polarizing ring 122 of which a top view 146 is presented in Figure 4. The ring may be arranged to be rotatable around the tube 104 and lens 150 and may be arranged at such a position that illumination radiation that travels from the tip of one of the fibers 112, 140 towards its respective mirror 126, 148 via a portion of the polarizing ring 122. The polarizing ring 122 may have sections that polarize the radiation that travels through it into P or S polarized radiation. The polarizing ring 122 may have 8 sections that may be equally arranged along its circumference. Different types of polarizing areas alternate in each section. This allows the illumination of the structure 128 with illumination that has a certain polarization.
[0087] In some exemplary arrangements, the polarization element may have the same polarization for
X (or Y) gratings; independent polarization between X and Y gratings; and/or support any polarization mode. Providing this with one disk may utilize either a disk with 36 polarization holes or a mechanism for continuous movement of the disk between X and Y gratings.
[0088] An alternative embodiment may comprise two disks which can rotate independently from each other. One addresses the X (and has an open slot for Y) and vice-versa for the other disk.
[0089] At the right top of Figure 4. a possible workflow of the metrology tool is presented. For example, sensor 102 may provide a dark-field image 130 which is subject to aberrations of the lenses 150, 1 10 and which may provide an image at a non-ideal focus position of structure 128. This image 130 is input to a computational imaging processor, COMP IM, 136 running a computational imaging algorithm. The computational imaging processor, COMP IM, 136, may also receive multiple images 130, for example, recorded at different non-ideal focus positions. Together with the recorded images 130, the computational imaging processor, COMP IM, 136, may receive focus related information from one or more optical sensors 120, 144, and the computational imaging processor, COMP IM, 136, may receive knowledge about the aberrations of the lenses, KNWL ABR, 132. This information may be used by the computational imaging processor, COMP IM, 136, to obtain a better dark field image 134 and / or to obtain a complex field of radiation scattered by the structure 128.
[0090] Although in the above figure only two fibers 112,140, two beam splitters 114, 142, two mirrors 126, 148 and two focussing optical sensors 120, 144 are presented, more of these elements may be provided around the tube 104. For example, in a top view of metrology tool 100, there may be four illumination radiation sub-systems arranged around tube 104 and lens 150. One or more of the four illumination radiation sub-systems may comprise at least one of a fiber, a beam splitter, a mirror and/or an optical sensor. Seen in the top view, these illumination radiation sub-systems may be provided at 0, 90,180 and 270 degrees around the tube 104. Such a configuration allows the measurement of structure 128 from two orthogonal directions (e.g. x and y) and allows the detection of diffraction orders and opposite diffraction orders per one of the orthogonal directions without rotating structure 128 with respect to lens 150.
[0091] In exemplary arrangement of Figure 4, the radiation that is reflected by the structure, in other words, the zeroth diffraction order, is not lost and is at least partially propagated toward the focussing optical sensors 120,144. In an embodiment, these sensors can also be used to detect the intensity of the zeroth diffraction order and thereby this information can also be used to determine certain parameters of the structure 128. This allows a zeroth order spectral measurement.
[0092] If the intensity of the zeroth diffraction order is measured, and by using the above discussed polarizing ring 122 in a specific manner or by using a modified design of the polarizing ring, one can enable zeroth order cross-polarized measurements. E.g. the polarizing ring 122 can be made to have crossed polarizers at the diametrically opposite parts.
[0093] Figures 5a and 5b show simplified schematic representations of a metrology tool 200. One or more of the features of the metrology tool 100 described with respect to Figure 4 may also be present in the metrology tool 200 as appropriate and these are not described again here in detail.
[0094] The metrology tool 200 comprises a shutter in at least one of the optical paths of the illumination optical system. In the example of Figures 5a and 5b, there are two optical paths and each optical path comprises a shutter 202a, 202b. In known exemplary arrangements, mechanical shutters are used. Such shutters typically have a switching speed (i.e. the time required to open or close the shutter) in the order of milliseconds.
[0095] The metrology tool 200 also comprises an optical sensor 204. The optical sensor 204 is positioned in the detection optical system and may therefore be termed a detection optical sensor. In some arrangements, the metrology tool 200 may also comprise features similar to or the same as the focussing optical sensors 120, 144. In exemplary arrangements, the optical sensor 204 may be a highspeed CMOS camera with at least 1000 frames per second.
[0096] As shown in Figures 5 a and 5b, the metrology tool 200 may operate by sequential opening and closing of the shutters 202a, 202b to direct illumination radiation from alternate directions onto the structure 206, which diffracts it through the lens 208 and onto the optical sensor 204. This allows sequential measurement images to be captured, a measurement image being one formed on the detection optical sensor 204, Figure 5a represents the configuration capturing the first measurement image and Figure 5b represents the configuration capturing the second measurement image some time later. In exemplary arrangements, the illuminating radiation through a first shutter 202a provides the first measurement image comprising + Γ1 order diffracted radiation, and the illuminating radiation through a second shutter 202b provides the second measurement image comprising -1sl order diffracted radiation. The sequential images may be used by a processing unit, such as the computational imaging processor, COMP IM, 136 discussed above to determine a parameter of the structure 206.
[0097] In an ideal scenario, to use the sequential images to determine a parameter of interest of the structure 206, such as overlay, the integrated radiation intensity of each of the sequential measurement images would be the same. In practical implementations, the integrated radiation intensity of the sequential measurement images should be within 0.01% of each other. However, operation of the shutters 202a, 202b and acquisition of the sequential measurement images by the optical sensor 204 introduce errors in the shape of jitter. Specifically, acquisition time jitter is a random variation in the start and end of an acquisition time for each of the sequential measurement images, which affects the integrated radiation intensity of each measurement image. The acquisition time jitter manifests as a random variation in the number of collected photons in each pixel of an image since this scales linearly with acquisition time. In the end this results in a random error in the measured intensity difference between the -1' and +lst orders which results in noise in measurement of the parameter of the structure 206, such as overlay. Other error sources also may affect the integrated radiation intensity of each measurement image, such as the different brightness of each radiation source 210a, 210b.
[0098] Figure 6 shows a further exemplary metrology tool 300. Tlie metrology tool 300 may comprise one or more of the features of Figures 5a and 5b, as appropriate, and those features are not discussed again here.
[0099] In addition, metrology tool 300 comprises a reference optical sensor 350 that is configured to capture a reference image having an acquisition time equal to that of the first and second sequential images discussed above in respect of Figures 5a and 5b. That is, the reference image is subject to the same acquisition time jitter as each of the first and second images. Tire reference image may be used to normalise the first and second measurement images and thereby mitigate or remove errors associated with acquisition time jitter and/or intensity of radiation source.
[00100] The metrology tool 300 comprises a single radiation source 352, which may be a supercontinuum source. The radiation source 352 is configured to emit radiation in a source beam towards a source beam splitter 354. The source beam splitter 354 is configured to split the source beam into two beams and direct a first beam towards a first shutter 302a and a second beam towards a second shutter 302b. In a specific arrangement, the first beam and the second beam have substantially equal radiation intensities.
[00101] Ill the exemplary arrangement of Figure 6, the shutters 302a, 302b comprise acousto-optictunable filters (AOTFs) that are operated by an acoustic signal emitted by first and second acoustic signal generators 356a, 356b. Using AOTFs as shutters has the advantage that the shutters 302a, 302b are able to open and close more quickly. If the shutters 302a, 302b are open then the first and second beams respectively are propagated towards first and second reference beam splitters 358a, 358b. The reference beam splitters 358a, 358b are configured to split each of the first and second beams respectively into a first reference beam 360a, a first measurement beam 362a, a second reference beam 360b and a second measurement beam 362b. In exemplary arrangements, the first and/or second reference beams 360a, 360b have a radiation intensity that is less than 20%, less than 10%, less than 5% or may specifically be 5% or 1% of the first or second beam respectively.
[00102] An acquisition controller 364, which may for part of the processing unit, COMP IM, 136, or may be a separate processing unit is configured to control the reference optical sensor 350 and the detection optical sensor 304 to capture the reference image and the measurement image. The acquisition controller may also control the shutters 302a, 302b via the acoustic signal generators 356a, 356b to ensure that acquisition of the images is within an open time of each shutter, accounting for shutter jitter. Operation of the acquisition controller 364 is discussed in detail below with reference to Figures 7 and
8.
[00103] In the particular example of Figure 6, the measurement images are acquired at a framerate of 1 kHz while the reference images are acquired at half that framerate (i.e. 500 Hz).
[00104] Referring to Figures 7 and 8, a method of operating a metrology tool such as those disclosed herein is described.
[00105] The radiation source 352 generates 700 radiation having a range of wavelengths such as those described herein. The source beam splitter 354 splits 702 the source beam into two beams that, in one exemplary arrangement comprise equal proportions of the source beam. A first beam is received by a first shutter 302a and a second beam is received by a second shutter 302b. The first and second shutters 302a, 302b are configured to block or allow the first and second beams to pass under the control of first and second acoustic signal generators 356a, 356b.
[00106] The acquisition controller 364 controls 704 the reference optical sensor 350 to begin the acquisition time 400 of the reference images, and controls the detection optical sensor 304 to begin the acquisition time 402 of the first measurement image. At this stage, the shutters 302a, 302b are closed. After the acquisition times of the reference and first measurement images has begun, accounting for any jitter 404, 406, the acoustic signal generators 356a, 356b are controlled to open sequentially the first and second shutters 302a, 302b.
[00107] In exemplary arrangements of Figure 6, a wavelength measuring unit may be added, which controls the output of the AOTFs 302a, 302b. An alternative embodiment may comprise one AOTF for both the -P‘ order and + Is1 order optical paths. In that case the wavelength delta between these two paths would be negligible and it would save one AOTF, in which case the AOTF may be positioned before the beamsplitter 354.
[00108] Further, an AOTF typically has polarized light as its output and, accordingly, exemplary arrangements may comprise a depolarizer after the AOTF.
[00109] Gi ven the needed measurement accuracy between -Γ’ order and +l5t order images, exemplary arrangements may also comprise a feature for preventing light coming from one side going into the other side via the target and create a signal on the image sensor. One option may be to deliberately put the two optical paths not in line with each other. Alternatively, one could use two images.
[00110] As shown in Figures 7 and 8, the first shutter 302a is opened 706, 408 while the second shutter 302b remains closed. This allows the first beam to propagate to the reference beam splitter 358a, which directs a portion of the first beam to the reference optical sensor 350 and allows the remainder of the first beam to be directed to the structure 306 and on to the detection optical sensor 304 for measurement of a parameter of the structure as disclosed above. Sometime later, the first shutter 302a is closed 410. The first reference and measurement images are thereby captured. After capturing the first reference and measurement images, the acquisition controller 364 may end 412 the acquisition time of the first measurement image.
[00111] Because the acquisition of the first reference and measurement images is controlled by the first shutter 302a, the acquisition time of each is the same. Therefore, the integrated radiation intensity of the first reference image is proportional to that of the first measurement image and so can be used to normalise the first measurement image and remove the effects of jitter. The +1 order image and -1 order image are referred as I+i and Li. The reference images are referred to as I_ref_+i and I_rer_-i. A region selection of the reference images is made from where to calculate the mean reference intensity. The selection may be of the full frame or a specific set of pixels. This region is called ROI. The mean reference values are calculated as the mean of the pixel values of the selected pixels and is referred as Ιμ_κ(„+ι and The normalized intensities used for the overlay calculations are calculated as I +ι ηοηη = 1+1/ Ιμ _ref_+1 aild I ~l_Dorm — I- 1/ Ιμ Where the / sign refers to a division of the all the pixel values of the +1 and -1 order image with the mean reference value [00112] The process is repeated for the second shutter 302b, in that the acquisition time of the second measurement image is begun 414, the first shutter 302a is closed, the second shutter 302b is opened
708, 416 and subsequently closed 418 to capture the second reference and measurement images. The acquisition controller 364 then ends the acquisition times of the second measurement image 420 and the reference images 422.
[00113] The first and second measurement images are then normalised 710. As mentioned above, the processing unit, COMP IM, 136 may be configured to process the first and second measurement images captured by the detection optical sensor 304 based on first and second reference images captured by the reference optical sensor 350. The processing unit, COMP IM, 136 may comprise a normalisation unit configured to normalise the first and second images accordingly.
[00114] In some arrangements, advantages may be provide by using optics that allow the use of broadband light (e.g. 300 nm - 2000 run), which lowers the costs of the metrology tools, allows a high throughput (which means that they have a high photon transmission efficiency) and/or allows a measurement of locations on the wafer with e.g. multiple wavelengths (in parallel or very fast in a serial manner).
[00115] Further embodiments are provided in the subsequent numbered clauses:
1. A metrology tool for determining a parameter of interest of a structure fabricated on a substrate, the metrology tool comprising:
an illumination optical system for illuminating the structure with illumination radiation under a non-zero angle of incidence;
a detection optical system comprising a detection optical sensor and at least one lens for capturing a portion of illumination radiation scattered by the structure and transmitting the captured radiation towards the detection optical sensor, wherein the illumination optical system and the detection optical system do not share tin optical element.
2. The metrology tool according to clause 1, wherein at least part of an optical axis of the illumination optical system is substantially parallel to an optical axis of the detection optical system.
3. The metrology tool according to clause 1 or 2, wherein at least part of the illumination optical system is positioned radially outwards from a radial extent of the detection optical system.
4. The metrology tool according to any preceding clause, wherein the illumination optical system comprises a plurality of discrete optical paths.
5. The optical system according to clause 4, wherein at least two of the plurality of optical paths are diametrically opposed.
6. The metrology tool according to any preceding clause, wherein the illumination optical system comprises at least one mirror for reflecting illumination radiation towards the structure.
7. The metrology tool according to clause 6 when dependent on directly or indirectly on clause 4 or 5, comprising at least one mirror in one or more of the plurality of optical paths.
8. The metrology tool according to clause 6 or 7, wherein the at least one mirror is configured to direct the illumination radiation onto the structure through a volume between at least one lens of the detection optical system and the substrate.
9. The metrology tool according to any of clauses 6 to 8, wherein the at least one mirror is configured to direct radiation ha\dng a plurality of wavelengths in a range from 200 nm to 2 pm to substantially the same point on the substrate.
10. The metrology tool according to any of clauses 6 to 9, wherein the at least one mirror is one of an elliptical or a parabolic mirror.
11. The metrology tool according to any of clauses 6 to 10 wherein the at least one mirror has a reflectivity greater than 90% across a range of wavelengths of the illumination radiation.
12. The metrology tool according to any preceding clause, wherein the detection optical system has a total transmissivity of greater than 90%.
13. The metrology tool according to any preceding clause, wherein the detection optical system comprises 5 optical elements or fewer.
14. The metrology tool according to any preceding clause, wherein the detection optical system comprises one or more of: a plano-convex lens: an aspheric lens; a bisphericcal lens; abi-aspheric lens and a long working distance objective.
15. The metrology tool according to any preceding clause, wherein the detection optical system comprises a plurality of lenses, and wherein one of the plurality of lenses positioned closest to the substrate has a working distance between the substrate and a surface of the lens of one of: greater than 300 pm; greater than 500 pm; in a range from 300 μ m to 1 mm; and in a range from 300 pm to 10mm.
16. The metrology tool according to clause 15, wherein the lens has a numerical aperture of: greater than 0.4; greater than 0.7; greater than 0.9; or 0.95 or greater.
17. The metrology tool according to any preceding clause, further comprising a focus system comprising at least one focussing optical sensor configured to receive zeroth order radiation reflected from the structure and a computational imaging processor configured to determine a focus of the detection optical system based on.
18. The metrology tool according to clause 17, wherein the focussing optical sensor comprises a quad optical sensor, arranged such that a proportion of the reflected zeroth order radiation that is sensed by each optical sensor in the quad optical sensor is indicative of a position of the structure.
19. The metrology tool according to clause 17 or 18 when dependent directly or indirectly on clause 4 wherein at least one of the plurality of discrete optical paths is configured to receive, at least in part, reflected zeroth order radiation originating from at least one other of the optical paths, and wherein the at least one of the plurality of discrete optical paths comprises a reflective optical element configured to direct the received zeroth order radiation towards the at least one focussing optical sensor.
20. The metrology tool according to clause 19, wherein the at least one focussing optical sensor is positioned radially outwards from a radial extent of the detection optical system.
21. The metrology tool according to any preceding clause, further comprising a polarization element arranged around an outer of the detection optical system and configured to interact with radiation propagating through the illumination optical system for polarization thereof.
22. The metrology tool according to clause 21, wherein the polarization element is configurable to apply one of s or p polarization at a plurality of magnitudes.
23. The metrology tool according to clause 22, wherein the polarization element is rotatable to apply one of s or p polarization at one of a plurality of magnitudes.
24. The metrology tool according to clause 22 or 23 when dependent directly or indirectly on clause 4, and configured to apply one of s or p polarization at one of a plurality of magnitudes to one or more of the plurality of optical paths.
25. The metrology tool according to tiny preceding clause, wherein the detection optical sensor is configured to acquire a first image based on reflected and/or diffracted radiation having one order, and further configured to acquire a second image based on reflected and/or diffracted radiation having a further order.
26. The metrology tool according to any preceding clause when dependent directly or indirectly on clause 4, comprising a shutter system positioned in at least one of the plurality of optical paths configurable between an open position in which illumination radiation is allowed to pass and a closed position in which illumination radiation is blocked.
27. The metrology tool according to clause 26, wherein the shutter system comprises one or more acousto-optic-tunable filters.
28. The metrology tool according to clause 26 or 27, further comprising a reference optical sensor, wherein the at least one of the plurality of optical paths comprises a beam splitter configured to direct a proportion of the illumination radiation to the reference optical sensor when the shutter system is in the open position.
29. The metrology tool according to clause 28, wherein the proportion of the illumination radiation is less than 5%.
30. The metrology tool according to clause 28 or 29, further comprising an image normalisation unit configured to normalise the first and second images based on a reference image acquired by the reference optical sensor.
31. The metrology tool according to any of clauses 28 to 30, further comprising an acquisition controller configured to control the reference optical sensor, the detection optical sensor and the shutter system for capturing the first and second images.
32. The metrology tool according to clause 31, wherein a first shutter system is positioned in a first optical path of the illumination optical system and a second shutter system is positioned in a second optical path of the illumination optical system, and wherein the first shutter system is operable for acquiring the first image and the second shutter system is operable for acquiring the second image.
33. The metrology tool according to clause 32, wherein the acquisition controller is configured to place the reference optical sensor in an acquisition phase and to open and close the first and second shutter systems sequentially while the reference optical sensor is in the acquisition phase.
34. The metrology tool according to clause 33, wherein the acquisition controller is further configured to place the detection optical sensor in a first acquisition phase such that the first shutter system is opened and closed while the detection optical sensor is in the first acquisition phase for acquiring the first image, and wherein the acquisition controller is further configured to place the detection optical sensor in a second acquisition phase such that the second shutter system is opened and closed while the detection optical sensor is in the second acquisition phase for acquiring the second image
35. The metrology tool of any preceding clause, wherein the illumination optical system and the detection optical system has a footprint less than the area of a field of the substrate.
36. The metrology tool according to any preceding clause, wherein the combination of the illumination optical system and the detection optical system has at least one of an x-dimension and a ydimension less than 26mm.
37. The metrology tool according to any preceding clause comprising a plurality of illumination optical system and detection optical system combinations in an airay.
38. The metrology tool according to clause 37, wherein each of the plurality of illumination optical system and detection optical system combinations is aligned with a different field of the substrate.
39. The metrology tool according to any of clauses 4 to 38, comprising a coherent radiation source emitting illumination radiation that is received by a plurality of optical paths of the illumination optical system.
40. The metrology tool according to clause 39, wherein the coherent radiation source comprises a laser emitting white light.
[00116] Although specific reference may be made in this text to the use of lithographic apparatus in the manufacture of ICs, it should be understood that the lithographic apparatus described herein may have other applications. Possible other applications include the manufacture of integrated optical systems, guidance and detection patterns for magnetic domain memories, flat-panel displays, liquid-crystal displays (LCDs), thin-film magnetic heads, etc.
[00117] Although specific reference may be made in this text to embodiments of the invention in the context of a lithographic apparatus, embodiments of the invention may be used in other apparatus. Embodiments of the invention may form part of a mask inspection apparatus, a metrology apparatus, or any apparatus that measures or processes an object such as a wafer (or other substrate) or mask (or other patterning device). These apparatus may be generally refeired to as lithographic tools. Such a lithographic tool may use vacuum conditions or ambient (non-vacuum) conditions.
[00118] Although specific reference may have been made above to the use of embodiments of the invention in the context of optical lithography, it will be appreciated that the invention, where the context allows, is not limited to optical lithography and may be used in other applications, for example imprint lithography.
[00119] The skilled person will be able to envisage other embodiments without departing from the scope of the appended claim.

Claims (7)

CONCLUSIECONCLUSION 1/71/7 Figure 2Figure 2 1. Een lithografieinrichting omvattende:A lithography apparatus comprising: een belichtinginrichting ingericht voor het leveren van een stralingsbundel;an illumination device adapted to provide a radiation beam; 2/72/7 Figure 3Figure 3 3/7 •1343/7 • 134 Figure 4Figure 4 4/7 o4/7 o CNCN 5/75/7 Figure 6Figure 6 5 een drager geconstrueerd voor het dragen van een patroneerinrichting, welke patroneerinrichting in staat is een patroon aan te brengen in een doorsnede van de stralingsbundel ter vorming van een gepatroneerde stralingsbundel;5 a carrier constructed for supporting a patterning device, which patterning device is capable of applying a pattern in a section of the radiation beam to form a patterned radiation beam; een substraattafel geconstrueerd om een substraat te dragen; en een projectieinrichting ingericht voor het projecteren van de gepatroneerde stralingsbundel op eena substrate table constructed to support a substrate; and a projection device adapted to project the patterned radiation beam onto a 10 doelgebied van het substraat, met het kenmerk, dat de substraattafel is ingericht voor het positioneren van het doelgebied van het substraat in een brandpuntsvlak van de projectieinrichting.The target area of the substrate, characterized in that the substrate table is adapted to position the target area of the substrate in a focal plane of the projection device. 6/76/7 Figure 7Figure 7 7/7 o o7/7 o o Figure 8Figure 8
NL2021774A 2018-06-13 2018-10-08 Metrology apparatus NL2021774A (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
EP18177431 2018-06-13
EP18189926.1A EP3614207A1 (en) 2018-08-21 2018-08-21 Metrology apparatus

Publications (1)

Publication Number Publication Date
NL2021774A true NL2021774A (en) 2018-11-07

Family

ID=65235592

Family Applications (1)

Application Number Title Priority Date Filing Date
NL2021774A NL2021774A (en) 2018-06-13 2018-10-08 Metrology apparatus

Country Status (1)

Country Link
NL (1) NL2021774A (en)

Similar Documents

Publication Publication Date Title
US8724087B2 (en) Inspection apparatus for lithography
TWI551957B (en) Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
US11940739B2 (en) Metrology apparatus
TW202004356A (en) Method of determining a characteristic of a structure, and metrology apparatus
US11549806B2 (en) Metrology apparatus
US8994921B2 (en) Scatterometer and lithographic apparatus
TW200821770A (en) Method and apparatus for angular-resolved spectroscopic lithography characterization
EP3696606A1 (en) A metrology apparatus with radiation source having multiple broadband outputs
WO2020249332A1 (en) Metrology method and method for training a data structure for use in metrology
EP3614207A1 (en) Metrology apparatus
US20220276180A1 (en) Illumination and detection apparatus for a metrology apparatus
US11675276B2 (en) Metrology apparatus and photonic crystal fiber
EP3731018A1 (en) A method for re-imaging an image and associated metrology apparatus
NL2021774A (en) Metrology apparatus
US20110102774A1 (en) Focus Sensor, Inspection Apparatus, Lithographic Apparatus and Control System
EP3620857A1 (en) Metrology apparatus
US11454887B2 (en) Metrology apparatus and method for determining a characteristic of one or more structures on a substrate
WO2024033036A1 (en) Metrology method and associated metrology device
WO2024028046A1 (en) Sensor module, illuminator, metrology device and associated metrology method
WO2024033035A1 (en) Metrology method and associated metrology device
NL2023181A (en) Detection apparatus for simultaneous acquisition of multiple diverse images of an object
NL2023305A (en) Metrology method and method for training a data structure for use in metrology
NL2022997A (en) A method for re-imaging an image and associated metrology apparatus