NL122784C - - Google Patents

Info

Publication number
NL122784C
NL122784C NL122784DA NL122784C NL 122784 C NL122784 C NL 122784C NL 122784D A NL122784D A NL 122784DA NL 122784 C NL122784 C NL 122784C
Authority
NL
Netherlands
Application number
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Publication of NL122784C publication Critical patent/NL122784C/xx

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B31/00Diffusion or doping processes for single crystals or homogeneous polycrystalline material with defined structure; Apparatus therefor
    • C30B31/06Diffusion or doping processes for single crystals or homogeneous polycrystalline material with defined structure; Apparatus therefor by contacting with diffusion material in the gaseous state
    • C30B31/18Controlling or regulating
    • C30B31/185Pattern diffusion, e.g. by using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/22Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities
    • H01L21/225Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a solid phase, e.g. a doped oxide layer
    • H01L21/2251Diffusion into or out of group IV semiconductors
    • H01L21/2252Diffusion into or out of group IV semiconductors using predeposition of impurities into the semiconductor surface, e.g. from a gaseous phase
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31608Deposition of SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S252/00Compositions
    • Y10S252/95Doping agent source material

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • General Chemical & Material Sciences (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Ceramic Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Mechanical Engineering (AREA)
  • Bipolar Transistors (AREA)
  • Formation Of Insulating Films (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Weting (AREA)
NL122784D 1959-04-15 NL122784C (US20110009641A1-20110113-C00256.png)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US806683A US3089793A (en) 1959-04-15 1959-04-15 Semiconductor devices and methods of making them
US835577A US3006791A (en) 1959-04-15 1959-08-24 Semiconductor devices
US87367A US3196058A (en) 1959-04-15 1961-02-06 Method of making semiconductor devices

Publications (1)

Publication Number Publication Date
NL122784C true NL122784C (US20110009641A1-20110113-C00256.png)

Family

ID=27375665

Family Applications (5)

Application Number Title Priority Date Filing Date
NL122784D NL122784C (US20110009641A1-20110113-C00256.png) 1959-04-15
NL255154D NL255154A (US20110009641A1-20110113-C00256.png) 1959-04-15
NL155412D NL155412C (US20110009641A1-20110113-C00256.png) 1959-04-15
NL250542D NL250542A (US20110009641A1-20110113-C00256.png) 1959-04-15
NL125412D NL125412C (US20110009641A1-20110113-C00256.png) 1959-04-15

Family Applications After (4)

Application Number Title Priority Date Filing Date
NL255154D NL255154A (US20110009641A1-20110113-C00256.png) 1959-04-15
NL155412D NL155412C (US20110009641A1-20110113-C00256.png) 1959-04-15
NL250542D NL250542A (US20110009641A1-20110113-C00256.png) 1959-04-15
NL125412D NL125412C (US20110009641A1-20110113-C00256.png) 1959-04-15

Country Status (7)

Country Link
US (3) US3089793A (US20110009641A1-20110113-C00256.png)
JP (1) JPS493308B1 (US20110009641A1-20110113-C00256.png)
BE (1) BE589705A (US20110009641A1-20110113-C00256.png)
DE (2) DE1232931B (US20110009641A1-20110113-C00256.png)
GB (2) GB946229A (US20110009641A1-20110113-C00256.png)
NL (5) NL125412C (US20110009641A1-20110113-C00256.png)
SE (1) SE325643B (US20110009641A1-20110113-C00256.png)

Families Citing this family (41)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3090014A (en) * 1959-12-17 1963-05-14 Bell Telephone Labor Inc Negative resistance device modulator
NL121135C (US20110009641A1-20110113-C00256.png) * 1960-01-29
US3114663A (en) * 1960-03-29 1963-12-17 Rca Corp Method of providing semiconductor wafers with protective and masking coatings
NL127213C (US20110009641A1-20110113-C00256.png) * 1960-06-10
NL269092A (US20110009641A1-20110113-C00256.png) * 1960-09-09 1900-01-01
NL268758A (US20110009641A1-20110113-C00256.png) * 1960-09-20
US3304200A (en) * 1961-03-08 1967-02-14 Texas Instruments Inc Semiconductor devices and methods of making same
US3242392A (en) * 1961-04-06 1966-03-22 Nippon Electric Co Low rc semiconductor diode
NL280849A (US20110009641A1-20110113-C00256.png) * 1961-07-12 1900-01-01
NL281568A (US20110009641A1-20110113-C00256.png) * 1961-08-16
US3233305A (en) * 1961-09-26 1966-02-08 Ibm Switching transistors with controlled emitter-base breakdown
BE623233A (US20110009641A1-20110113-C00256.png) * 1961-10-12 1900-01-01
NL272046A (US20110009641A1-20110113-C00256.png) * 1961-11-30
BE627295A (US20110009641A1-20110113-C00256.png) * 1962-01-18
US3200019A (en) * 1962-01-19 1965-08-10 Rca Corp Method for making a semiconductor device
NL291461A (US20110009641A1-20110113-C00256.png) * 1962-04-18
BE636324A (US20110009641A1-20110113-C00256.png) * 1962-08-28
US3271201A (en) * 1962-10-30 1966-09-06 Itt Planar semiconductor devices
US3319138A (en) * 1962-11-27 1967-05-09 Texas Instruments Inc Fast switching high current avalanche transistor
US3228812A (en) * 1962-12-04 1966-01-11 Dickson Electronics Corp Method of forming semiconductors
US3249831A (en) * 1963-01-04 1966-05-03 Westinghouse Electric Corp Semiconductor controlled rectifiers with a p-n junction having a shallow impurity concentration gradient
US3326729A (en) * 1963-08-20 1967-06-20 Hughes Aircraft Co Epitaxial method for the production of microcircuit components
BR6462522D0 (pt) * 1963-10-28 1973-05-15 Rca Corp Dispositivos semicondutores e processo de fabrica-los
US3313012A (en) * 1963-11-13 1967-04-11 Texas Instruments Inc Method for making a pnpn device by diffusing
US3306768A (en) * 1964-01-08 1967-02-28 Motorola Inc Method of forming thin oxide films
US3335340A (en) * 1964-02-24 1967-08-08 Ibm Combined transistor and testing structures and fabrication thereof
US3282749A (en) * 1964-03-26 1966-11-01 Gen Electric Method of controlling diffusion
US3343049A (en) * 1964-06-18 1967-09-19 Ibm Semiconductor devices and passivation thereof
DE1297237B (de) * 1964-09-18 1969-06-12 Itt Ind Gmbh Deutsche Flaechentransistor und Verfahren zu seiner Herstellung
US3442723A (en) * 1964-12-30 1969-05-06 Sony Corp Method of making a semiconductor junction by diffusion
US3388009A (en) * 1965-06-23 1968-06-11 Ion Physics Corp Method of forming a p-n junction by an ionic beam
US3454434A (en) * 1966-05-09 1969-07-08 Motorola Inc Multilayer semiconductor device
US3462311A (en) * 1966-05-20 1969-08-19 Globe Union Inc Semiconductor device having improved resistance to radiation damage
US3508982A (en) * 1967-01-03 1970-04-28 Itt Method of making an ultra-violet selective template
US3471924A (en) * 1967-04-13 1969-10-14 Globe Union Inc Process for manufacturing inexpensive semiconductor devices
US3892607A (en) * 1967-04-28 1975-07-01 Philips Corp Method of manufacturing semiconductor devices
JPS5113996B1 (US20110009641A1-20110113-C00256.png) * 1968-01-30 1976-05-06
US3611062A (en) * 1968-04-17 1971-10-05 Ibm Passive elements for solid-state integrated circuits
US3837882A (en) * 1971-09-02 1974-09-24 Kewanee Oil Co Optical bodies with non-epitaxially grown crystals on surface
US3849789A (en) * 1972-11-01 1974-11-19 Gen Electric Schottky barrier diodes
US4151009A (en) * 1978-01-13 1979-04-24 Bell Telephone Laboratories, Incorporated Fabrication of high speed transistors by compensation implant near collector-base junction

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2841510A (en) * 1958-07-01 Method of producing p-n junctions in
US2215128A (en) * 1939-06-07 1940-09-17 Meulendyke Charles Edmund Material and process for obtaining metal printing plates with silver halide emulsions
US2569347A (en) * 1948-06-26 1951-09-25 Bell Telephone Labor Inc Circuit element utilizing semiconductive material
DE894293C (de) * 1951-06-29 1953-10-22 Western Electric Co Verfahren zur Herstellung eines Kristalls aus Halbleitermaterial
US2829422A (en) * 1952-05-21 1958-04-08 Bell Telephone Labor Inc Methods of fabricating semiconductor signal translating devices
US2796562A (en) * 1952-06-02 1957-06-18 Rca Corp Semiconductive device and method of fabricating same
US2811653A (en) * 1953-05-22 1957-10-29 Rca Corp Semiconductor devices
US2816847A (en) * 1953-11-18 1957-12-17 Bell Telephone Labor Inc Method of fabricating semiconductor signal translating devices
NL189769C (nl) * 1953-12-30 Amp Akzo Corp Werkwijze voor het handhaven van badoplossingen voor het stroomloos afzetten van koper op substraatplaten in inrichtingen uit metaal.
US2726172A (en) * 1954-08-20 1955-12-06 Commercial Solvents Corp Treating vials with silicone
US2804405A (en) * 1954-12-24 1957-08-27 Bell Telephone Labor Inc Manufacture of silicon devices
BE547274A (US20110009641A1-20110113-C00256.png) * 1955-06-20
US2832702A (en) * 1955-08-18 1958-04-29 Hughes Aircraft Co Method of treating semiconductor bodies for translating devices
NL210216A (US20110009641A1-20110113-C00256.png) * 1955-12-02
NL218192A (US20110009641A1-20110113-C00256.png) * 1956-06-18
US2914715A (en) * 1956-07-02 1959-11-24 Bell Telephone Labor Inc Semiconductor diode
US2842831A (en) * 1956-08-30 1958-07-15 Bell Telephone Labor Inc Manufacture of semiconductor devices
US2905873A (en) * 1956-09-17 1959-09-22 Rca Corp Semiconductor power devices and method of manufacture
US2912312A (en) * 1956-10-10 1959-11-10 Cleveland Metal Specialties Co Method of making components for printed circuits
NL224173A (US20110009641A1-20110113-C00256.png) * 1957-01-18
US2911539A (en) * 1957-12-18 1959-11-03 Bell Telephone Labor Inc Photocell array
US2985805A (en) * 1958-03-05 1961-05-23 Rca Corp Semiconductor devices
NL241488A (US20110009641A1-20110113-C00256.png) * 1958-07-21 1900-01-01
US2930722A (en) * 1959-02-03 1960-03-29 Bell Telephone Labor Inc Method of treating silicon

Also Published As

Publication number Publication date
JPS493308B1 (US20110009641A1-20110113-C00256.png) 1974-01-25
US3196058A (en) 1965-07-20
BE589705A (US20110009641A1-20110113-C00256.png)
US3006791A (en) 1961-10-31
DE1232931B (de) 1967-01-26
NL250542A (US20110009641A1-20110113-C00256.png)
GB959447A (en) 1964-06-03
NL155412C (US20110009641A1-20110113-C00256.png)
GB946229A (en) 1964-01-08
US3089793A (en) 1963-05-14
DE1292256B (de) 1969-04-10
NL255154A (US20110009641A1-20110113-C00256.png)
NL125412C (US20110009641A1-20110113-C00256.png)
SE325643B (US20110009641A1-20110113-C00256.png) 1970-07-06

Similar Documents

Publication Publication Date Title
AT212261B (US20110009641A1-20110113-C00256.png)
AT222246B (US20110009641A1-20110113-C00256.png)
AT216805B (US20110009641A1-20110113-C00256.png)
AT213496B (US20110009641A1-20110113-C00256.png)
BE575321A (US20110009641A1-20110113-C00256.png)
BE581863A (US20110009641A1-20110113-C00256.png)
BE586595A (US20110009641A1-20110113-C00256.png)
BE571571A (US20110009641A1-20110113-C00256.png)
BE584082A (US20110009641A1-20110113-C00256.png)
BE589705A (US20110009641A1-20110113-C00256.png)
BE576754A (US20110009641A1-20110113-C00256.png)
BE550549A (US20110009641A1-20110113-C00256.png)
BE549973A (US20110009641A1-20110113-C00256.png)
BE503570A (US20110009641A1-20110113-C00256.png)
BE461618A (US20110009641A1-20110113-C00256.png)
BE450855A (US20110009641A1-20110113-C00256.png)
BE577992A (US20110009641A1-20110113-C00256.png)
BE579532A (US20110009641A1-20110113-C00256.png)
BE579636A (US20110009641A1-20110113-C00256.png)
BE580250A (US20110009641A1-20110113-C00256.png)
BE581344A (US20110009641A1-20110113-C00256.png)
BE581350A (US20110009641A1-20110113-C00256.png)
BE584608A (US20110009641A1-20110113-C00256.png)
BE581706A (US20110009641A1-20110113-C00256.png)
BE586067A (US20110009641A1-20110113-C00256.png)