MXPA97002409A - Sistema de transmision para difusion de audio digital - Google Patents

Sistema de transmision para difusion de audio digital

Info

Publication number
MXPA97002409A
MXPA97002409A MXPA/A/1997/002409A MX9702409A MXPA97002409A MX PA97002409 A MXPA97002409 A MX PA97002409A MX 9702409 A MX9702409 A MX 9702409A MX PA97002409 A MXPA97002409 A MX PA97002409A
Authority
MX
Mexico
Prior art keywords
symbols
signal
data
synchronization
frame
Prior art date
Application number
MXPA/A/1997/002409A
Other languages
English (en)
Other versions
MX9702409A (es
Inventor
Wang Jinder
Original Assignee
Lucent Technologies Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US08/628,119 external-priority patent/US5751774A/en
Application filed by Lucent Technologies Inc filed Critical Lucent Technologies Inc
Publication of MX9702409A publication Critical patent/MX9702409A/es
Publication of MXPA97002409A publication Critical patent/MXPA97002409A/es

Links

Abstract

La presente invención se refiere a un sistema de difusión de audio digital (DAB) que incluye un transmisor de radio-frecuencia (RF) y un receptor RF correspondiente. El transmisor RF formatea una señal DAB en una secuencia de cuadros, cada cuadro tiene un cabezal que comprende un agrupamiento predefinido de secuencias de números pseudoaleatorios que comprenden 100 símbolos. De estos 100 símbolos, 86 símbolos del cabezal se emplean para sincronización de cuadro y sondeo de canal (para caracterización de un canal de comunicaciones) mientras que los 14 símbolos restantes se emplean para sincronización de datos e intercalador en una forma intercalada.

Description

REFERENCIA CRUZADA A SOLICITUDES REL?CIQH?D?S La materia relacionada se describe en 5 solicitudes de patente de los E.U.A comúnmente asignadas co-pendientes de Wang y Langberg con título "A Transmission System for Digital Audio Broadcasting*1 (Un sistema de transmisión para difusión de audio digital) números de serie de los E.U.A. 08/628219, 08/628117, 08/628120, 08/628220, y 08/628118, respectivamente. AiNTliiQBDEIl'BS DE LA IWVEHCIQM La presente invención se relaciona a sistemas de comunicaciones, y, más particularmente a difusión de audio. Avances significativos en codificación de fuente hacen posible el comprimir sonido en estéreo por un factor aproximado de 10, sin pérdida notable en calidad después de descompresión. Una aplicación que puede beneficiarse de éste avance es la difusión. La difusión de FM proporciona una etapa significativa en mejora de calidad frente a radio AM. En los últimos 10 años, muchos investigadores han sentido que puede llevarse a cabo otra etapa para mejorar adicionalmente la calidad de transmisión de sonido. Esto ha resultado en el esfuerzo ahora conocido como difusión de audio digital (DAB) o radio de audio digital (DAR). Sin embargo, mientras que era un logro significante para los investigadores de codificación fuente, el poder comprimir sonido en estéreo de aproximadamente 1.4 REF: 24325 megas/bits/segundo (Mb/s) a 160 Kilobits/segundo (Kb/s), no es una tarea simple para los investigadores de comunicaciones de datos el diseñar un enlace de datos digital inalámbrico confiable en un ambiente altamente móvil como se presenta, como por ejemplo por un radio receptor en un vehículo en movimiento. Esto es debido a que la entrega de un sistema de comunicaciones como DAB es complicada por el hecho de que el canal de comunicaciones es altamente variante con el tiempo y se distorsiona severamente con los efectos de múltiples trayectorias y desplazamiento Doppler. Como tal, la proporción de error objetivo y la proporción de interrupción del servicio es mucho mas rigida que una aplicación de estereofonía celular digital. conraroio PB LA xrnm iQ De acuerdo con los principios de la invención, un transmisor formatea una señal de información en una secuencia de cuadros, cada cuadro tiene una porción de cabezal en donde la porción de cabezal incluye una primer pluralidad de símbolos para proporcionar información de sondeo y una segunda pluralidad de símbolos para proporcionar información de sincronización de datos e intercalador en una forma intercalada. En una modalidad de la invención, un sistema DAB incluye un transmisor RF que formatea una señal DAB en una secuencia de cuadros, cada cuadro tiene un cabezal que comprende una secuencia de números pseudo aleatorios predefinida que comprende 100 símbolos. De estos 100 simbolos, 86 simbolos del cabezal se emplean para sincronización de cuadro y sondeo de canal para caracterización de un canal de comunicaciones (mientras que los 14 símbolos restantes se emplean para la sincronización de datos e intercalador en una forma intercalada. BREVE DESCRIPCIÓN DEL DIBUJO La Figura 1 muestra un diagrama de bloques de alto nivel, ilustrativo de un sistema de comunicaciones de difusión de audio digital que incorpora los principios de la invención; La Figura 2 muestra un diagrama de bloques más detallados de una porción del transmisor de la Figura 1; La Figura 3 muestra una constelación de puntos de señal ilustrativos para utilizar en el transmisor 100 de la Figura 1; La Figura 4 muestra un formato de cuadro ilustrativo para utilizar en el transmisor 100 de la Figura 1; La Figura 5 muestra un diagrama de bloques ilustrativo de una posición del receptor 300 de la Figura 1; La Figura 6 muestra un espectro de frecuencia ilustrativo para una señal de baja IF generada dentro del receptor 300 de la Figura 1; La Figura 7 muestra un diagrama de bloques ilustrativo de un circuito de bucle acerrojado en fase, que responde a desvanecimientos planos y desvanecimientos de frecuencia; La Figura 8 muestra una gráfica ilustrativa de señal de salida correlacionadora 526; La Figura 9 ilustra zonas pico, de ignorar y silenciosas en la señal de salida correlacionadora de la Figura 8; La Figura 10 ilustra los conceptos de un patrón de acoplamiento pico y un patrón de acoplamiento de silencio; Las Figuras 11 12 y 13, muestran un método de sincronización ilustrativo para utilizar en el receptor 300; La Figura 14 muestra un método ilustrativo para calcular coeficientes compensadores para utilizar en el receptor 300; La Figura 15 ilustra la "formación de umbral FFT" empleada para calcular coeficientes compensadores para utilizar en el receptor 300; La Figura 16 muestra otro método ilustrativo para calcular coeficientes compensadores para utilizar en el receptor 300; y La Figura 17 muestra un diagrama de bloques ilustrativo del elemento de recuperación de símbolo 705 para utilizar en el receptor 300 de la Figura 1. DESCRIPCIÓN PIETA ADA La Figura 1 muestra un diagrama de bloques de alto nivel de un sistema de comunicaciones DAB 10 que incorpora los principios de la invención. Los sistemas de comunicación DAB 10 somprende un transmisor 100, canal de comunicaciones 200 y receptor 300. Antes de describir los detalles del concepto inventivo, se dará una revisión general de la operación del sistema de comunicación DAB 10. También, la codificación de audio perceptual es bien conocida y no se describirá en detalle. Por ejemplo ver patente de los E.U.A. No. 5,285,498, con título "Method and Apparatus for Coding Audio Signáis Based on Perceptual Model" (Método y aparato para codificar señales de audio con base en modelo perceptual) otorgada en Febrero 8 de 1994 a Johnson. Otras técnicas de codificación se describen por ejemplo por J.P. Princen y A.B. Bradley, "Analysis/Synthesis Filter Bank Design Based on Time Domain Aliasing Cancellation" (Análisis/síntesis de diseño de banco de filtros con base en cancelación de dentado en dominio en tiempo) IEEE Trans. ASSP, Vol. 34, No. 5, Octubre, 1986.); E.F. Schroeder y J.J. Platte, "MSC: stereo Audio coding with CD-Quality and 256 kBIT/SEC," (MSCV: codificación de audio en estéreo con calidad CD y 256kBIT/SEG" (IEEE Trans. on Consumer Electronics, Vol. CE-33, No. 4, Noviembre de 1987); Johnston, "Transform Coding of Audio Signáis Using Noise Criteria" (Codificación de transformación de señales de audio utilizando criterios de interferencia), IEEE J.S.C.A., Vol. 6, No. 2, Febrero 1988; La patente de los E.U.A. No. 5,341,457, con titulo "Perceptual Coding of Audio Signáis" (Codificación perceptual de señales de audio) otorgada en Agosto 23 de 1994 a Hall y colaboradores. En la Figura 1, una señal de audio analógica 101 se alimenta al preprocesador 105 en donde se muestrea (típicamente 48 Khz) y convierte en una señal con modulación de código de pulso digital (PCM) (106 típicamente 16 bits) en forma standard. La señal PCM 106 se alimenta dentro de un codificador de audio perceptual (PAC) 110, que comprime la señal PCM y envía de salida la señal PAC comprimida 111. Esta última representa una corriente de bits de 170 kb/s, de los cuales 10 kb/s representan un canal de control para datos auxiliares y 160 kb/s representan la señal de audio comprimida. La señal PAC comprimida 111 se aplica al codificador para protección de error 115, que aplica un código Reed-Solomon para proporcionar 100% de redundancia a la señal PAC comprimida 111. Se considera que el codificador para protección de error 115 también incluye una memoria intermedia y un intercalador (no mostrado) para combatir adicionalmente los efectos del canal de comunicaciones 200. El resultado es la señal codificada 116, que representa una corriente de datos intercalada de 340 kb/s y en donde el bloque intercalador contiene 320 ms datos (1088 kbits). La señal codificada 116 se aplica al modulador 150 que como se describe más a continuación, desarrolla una señal de acuerdo con los principios de la invención para transmisión sobre el canal de comunicaciones 200. Del canal de comunicaciones 200, el demodulador 350 del receptor 300, recupera una señal codificada 351 de acuerdo con los principios de la invención (descrito a continuación). La señal codificada 351 se alimenta al decodificador para protección de error 315, que opera en una forma complementaria al codificador para protección de error 115, para proporcionar la señal PAC comprimida 316 al decodificador de audio perceptual 310. Este último descomprime la señal PAC comprimida y envía de salida una señal PCM 311. Esta señal se alimenta al post-procesador 305, que crea una representación analógica que es, idealmente, idéntica a la señal de audio analógica 101. Pasando ahora a la Figura 2, un diagrama de bloques del modular 150 se ilustra. La señal decodificada 116 se aplica al multiplexor (MUX) 155, que múltipleja la señal codificada 116 con datos de sincronización (sinc) 196 para desarrollar la señal de datos agregados 156. Los datos sinc 196 comprenden una corriente de datos de 20 kb/s (10 ksímbolos/segundo) que representan sincronización e información de compensación (descrita más a continuación) generada por el procesador 195. Esto último es representativo no es autorepresentativa de un procesador de señal digital. (Habrá de notarse que aunque la invención aquí se ilustra como implementada con bloques de construcción funcionales discretos, por ejemplo mapeador 4-PSK 160, etc., las funciones de cualquiera 1 o más de esos bloques de construcción pueden llevarse acabo utilizando uno o más procesadores programados, como se representa en el procesador 195). La señal de datos agregados 156 representa una corriente de datos de 360 kb/s que se formatea dentro de una secuencia de cuadros, en donde cada cuadro es de 10 milisegundos (ms) en ancho y se divide en dos porciones. Una porción de cabezal y una porción de datos codificados. En cada período de 10 ms, la porción de cabezal representa 200 bits (100 símbolos) de datos de sincronización, mientras que la porción de datos codificados representa 3400 bits de señal codificada 116. La señal de datos agregada 156 se aplica a un mapeador de cifrado con desplazamiento de 4-fases (PSK) 160 que mapea dos bits a la vez en un símbolo complejo bidimensional que tiene complementos de fase y cuadratura 161 a 162, respectivamente. Cada símbolo puede representarse equivalentemente por {a(n)+jb(n) } . Una constelación de puntos de señales ilustrativa se ilustra en la Figura 3. Como puede observarse en la Figura 3, la constelación de puntos de señal comprende 4 "simbolos de datos", dos "simbolos de sonido y sinc de canal" y dos "símbolos sinc de intercalador (reloj de símbolo)". Puede observarse de la constelación de señales de la Figura 3, que los "símbolos de sonido sinc de canal" y loe "simbolos sinc de intercalador (reloj de símbolo)", son símbolos unidimensionales que solo obtienen valores en fase. La señal de salida resultantes del mapeador 4-PSK 160 es una secuencia de cuadros, cada cuadro comprende 1800 símbolos completos por cada cuadro. Un cuadro ilustrativo 205 se ilustra en la Figura 4.
Como se describe anteriormente, el cuadro 205 es de 10 milisegundos (ms) en ancho y se divide en dos porciones: una porción de cabezal 206 que comprende 100 símbolos complejos que se restringen para ser unidimensionales y una porción de datos codificados 207 que comprende 1700 simbolos complejos. Para la porción de datos codificados de la corriente de datos agregados, los 4 símbolos redactados de la constelación de puntos de señal de la Figura 3 se emplean. El mapeo de simbolos de datos se realiza de acuerdo con las siguientes reglas, en donde cada claudátor contiene dos elementos en par, los bits de ingreso y su símbolo asociado especificado por las intensidades de señal de cuadratura y en fase relativas en la constelación ilustrativa de la Figura 3: {(0,0), (-1,-1)}, {(0,1), (-1,1)}, {(1,0), (1,-1)}, {(1,1), (1,1)}. Con respecto a la porción de cabezal de cada cuadro, los 100 símbolos complejos representan una señal de sincronización. Estos 100 simbolos complejos se diseñan intencionalmente como uni dimensionales y solo tienen valores en el componente en fase como se ilustra en la constelación de puntos y señales de la Figura 3. Entre los 100 simbolos de sincronización del cabezal 206, están 86 símbolos unidimensionales empleados para ayudar a la sincronización de cuadro en el receptor 300. Estos 86 símbolos unidimensionales se eligen de los "símbolos de sonido sinc de canal" de la constelación de puntos de señal de la Figura 3 y comprenden dos secuencias "de 31 símbolos de número pseudo-aleatorio" (31PN) seguidas por una secuencia de 24PN un segmento de secuencia de 31PN) . La generación de una secuencia de números pseudo-aleatorios se conoce en la técnica. Estos 86 simbolos también se emplean en el receptor 300 para propósitos de sonido de canal y compensación, incluyendo corrección de múltiples trayectorias, recuperación de fase de sincronización y recuperación de fase portadora (descritas a continuación) . Los restantes 14 símbolos uni-dimensionales del cabezal 206 se emplean ya sea para sincronización de intercalador o alineamiento de reloj de símbolo en el receptor 300. Estos 14 símbolos uni-dimensionales se eligen de los "símbolos sinc de intercalador (reloj de símbolo)" de la constelación de puntos de señal de la Figura 3. En particular, los 14 símbolos se emplean por el receptor 300 para indicar el inicio de cada bloque intercalador de 320 ms. Esta sincronización de intercaladores se repite cada 32 cuadros y comprende 2 secuencias consecutivas de 7PN, como se ilustra en la Figura 4.
En cualquier evento, los 14 símbolos siempre se emplean para sincronización de búsqueda de símbolo de datos en el cuadro asociado. Cuando se emplean también para proporcionar sincronización de intercalador, los 14 símbolos comprenden dos 7PNs positivos. De otra forma, los 14 símbolos comprenden un 7PN positivo seguido por 7PN negativo como se ilustra en la Figura 4. Esto se requiere para alinear la porción de datos codificados de cada cuadro, siempre que hay un cambio de tiempo de fase en tiempo de muestra significante o la intensidad relativa de diferentes cambios de trayectorias de señal y provoca un cambio en el retardo visto por el receptor 300. (Habrá de notarse que en este contexto, una secuencia PN negativa simplemente es lo opuesta a una secuencia PN positiva. Por ejemplo, si una 2PN se representa por el símbolo (1.414,0; -1.414,0) ilustrado en la Figura 3, la 2PN negativa correspondiente es la secuencia de simbolos (-1.414,0; 1.414,0) . Regresando a la Figura 2, los componentes en fase y de cuadratura 161 y 162 se aplican al rotador 165, que gira la fase de cada símbolo. En particular, cada símbolo girado se expresa como sigue: >iW aW+jb'ín)= Aip e-ft = la?+jb(n?k- ,?<nT (1) en donde aa = 2trxl50,000 es la frecuencia de rotación, y n representa el índice de tiempo de las instancias de símbolo espaciadas por T. La corriente de símbolos de salida del rotador 165 se muestrea por el expansor de velocidad de muestreado 170 a tres veces la velocidad de símbolos de 1/T. La velocidad de muestreado de los símbolos rotados se expande al insertar dos muestras de valor cero entre todos los símbolos. Como resultado, los símbolos rotados complejos se expanden por un factor de L y el expansor de velocidad de muestreado 170 proporciona una corriente de símbolos compleja expandida definida como A" (m) : '¡m A'ik L* ra k = 0,±£,±2£.±3¿ y 0 dc otra forma, (2) en donde, m es el tiempo de muestras espaciadas por ?" y L=(T/T' )= 3 es el valor de sobre-muestreado. Las muestras complejas expandidas luego se conforman espectralmente por el filtro de banda base digital 175 que comprende el filtro de banda base en banda idéntico 175-1 y el filtro banda base de cuadratura 175-2. (Aunque en teoría, los simbolos de cuadratura y en fase rotados pueden convertirse a señales analógicas y respectivamente filtrarse por filtros analógicos, es mucho más difícil controlar la especificación de filtros analógicos que su contraparte digital). El filtro de banda base digital 175 tiene una función de transferencia definida como ? (m) que solo tiene valores reales. Para propósitos de ilustración, el filtro de banda base digital 175 tiene una ancho de banda 10% excesivo, utilizando un filtro de respuesta de impulso finito (FIR) de 66-tap (con extensión de 22 símbolos) . Habrá de notarse que una implementación de equipo físico de filtro de banda base digital 175 puede aprovechar el hecho de que en las muestras expandidas hay dos muestras de valor cero en cada intervalo de simbolos. Como resultado, ésta operación de filtrado puede verse que tiene tres superfiltros (no mostrados), h=(3r) , h=(3r-l) , hb(3r-2) , con el mismo conjunto de simbolos, A '(r) , en su alimentación en donde r es un índice de tiempo al intervalo de símbolo y r = k/L. Cada uno de estos tres sub-filtros produce individualmente una muestra de una forma cíclica, da tres muestras de salida en cada intervalo de símbolo indexado por r. Aunque no es necesario para el concepto inventivo, el uso de esta estructura de sub-filtro reduce la complejidad computacional por un factor L, en comparación con una implementación de fuerza bruta del filtro de base digital 175. La salida compleja de filtro de base digital 175 es: yi d* ?hh(?n ~ kM'Ot), ot Oa) A las muestras de salida, y(m) del filtro de banda base digital 175, se agrega una señal piloto, que se genera digitalmente por el procesador 195. La señal piloto es una señal compleja expresada como a/f?rmT' que también es tres veces la velocidad de símbolo al agregar una versión muestrada de una forma de onda del coseno de 100 Khz a las muestras en fase filtradas y aquella de una forma de onda sinusoidal a las muestras de cuadratura filtradas. La energía adicional que el tono piloto agrega a la señal que se proporciona por el filtro de banda de base digital 175 es de aproximadamente 0.3 dB. La señal de valor complejo que se proporciona por los sumadores 177 y 182 es: ?>ím)* y(m)+?eJt¡ >mT (4) en donde la K determina la energía piloto. En forma alterna, el componente en fase y el componente de cuadratura, las partes real e imaginaria de la ecuación anterior pueden expresarse como: s¡{m}¿ X la(rkoí-(<a ¿rT )+ Mr)serr(co ArT?l b On - rl? +?cos(a> wD, (5a) ?,0») en donde la ecuación (9a) es representativa de la señal 178 y la ecuación (9b) es representativa de la señal 183. La señal e (m) , luego se aplica al filtro digital-analógico (D/A) 185, que comprende el filtro D/A en-fase 185-1 y el filtro de fase D/A en cuadratura 185-2. Se considera que cada filtro D/A comprende un circuito de "muestrea-y-retén" (no mostrado) seguido por un filtro analógico de paso bajo (no mostrado). La señal analógica resultante de los circuitos de muestrea-y-retén se denota como: ít«« ?dmfíSt -mT (6) en donde H(t), es un pulso rectangular se introduce por los circuitos de muestreado-retención y el filtro D/A 185 se define como sigue: ¡' '— V ?( H y 0 d? otra forma v2' (7) Su transformada Fourier es una función sinc expresada como: p »r , senfrfT) fr' (8) Ahora, puede observarse que el uso de una velocidad de sobre muestreado superior 1/T ' reduce el efecto de conformado espectral debido a los circuitos de muestreado-y-retención. También incrementa la separación entre dentados. La señal de salida del convertidor D/A, con repetición dentada en cada 1/T ' luego se separa por el filtro de paso bajo analógico en el muestrario del filtro D/A 185. Usualmente, al diseñar un filtro analógico, ocurre una distorsión de retardo de envoltura significante en la región de transición de paso de banda estrecho a banda-parada. Sin embargo, aqui el dentado se separa por una gran extensión de frecuencia, de manera tal que la banda de parada de filtro analógico pueda moverse desde el espectro de señal crítica y por lo tanto no provocará distorción significante en la señal. La señal ec ( t ) luego se convierte en forma ascendente a una señal IF, por ejemplo 10.7 MHz, seguido por mayor conversión a una señal RF por el transmisor RF 190 como se conoce en especialidad. (En el contexto de esta invención, se considera que la señal RF está dentro de uno de los canales de frecuencia predesignados asociados con la radio FM. Además, también podemos emplear otras frecuencias que pueden asignarse para este servicio). La señal transmitida, en este punto puede expresarse como: , ?-' (9) dond J áp = 27r( 100 , 000 ) y ?? = 27r( 150 , 000 ) y ?c es la frecuencia portadora RF . Antes de pasar a la sección de receptor, la razón tras la rotación de simbolos en el transmisor se explicará. Como se ilustra en (9), el símbolo An se gira por en el transmisor. Considerando que el canal y la modulación son ideales, esta baja señal IF puede expresarse utilizando la ecuación anterior (9) al ajustar ác = ??. Como se describe más a continuación, los circuitos en el receptor 300 luego producen una baja señal IF centrada en 150 Khz, ?a en vez de dar por resultado una señal de banda base más típica. Esto, excluyendo el piloto da por resultado: r(/) = en donde -hp t) es un canal de bandas de paso y jujt *„(>) = ?&( * Si un par de filtros Hilbert se emplea dentro del receptor 300, producen una señal analítica: Considerando que hb(t) satisface el criterio Nyquist, z( t) , pueden muestrearse a intervalos vecinos para recuperar los símbolos de banda base A-. Esto puede comprenderse a partir de la teoría de muestrario básica que ilustra que el espectro recibido después de muestrario se repite cada 1/T. Por tanto, el proceso de muestrario reconstruye la A- original . Esto se ilustra en las siguientes ecuaciones. *(t) - ?(a„ + jb„)h t-nT) (cos? Jt-nT) +/««?</-«7); (12) Empleamos el componente en fase como un ejemplo. sema Jt~nT) (13a) a f-*T (i 3b) -bßhi ((k-n)T) sin? J(k-n)T) (14a) µji l? LdjiLu Re[z(kT)]'s ak (14b) ya que hb((k-nj T) cos hb((k-n)T) sen tu J(k-n)T) = Q V * toda k-n ^ 5^ Sin embargo, si s(t) no se girara por e ~J ,Í»G en el transmisor, el resultado en (14b) y su componente de cuadratura asociado se giraría por e y por lo tanto, un rotador se requeriría en el receptor para compensar esta rotación. Además de esto, este rotador de receptor requerirá compensar cualesquiera desplazamientos de fase debido a efectos Doppler, etc. Mientras que simple en concepto, esto lleva a una implementación compleja del rotador de receptor ya que debe dar seguimiento a ambos cambios en fase en ?d/27r Hz y los desplazamientos en fase anteriormente mencionados. Por ejemplo, un tamaño de escalón más grande puede requerirse en el bucle de control conmitante para dar seguimiento a eetos cambios de fase. Como resultado, el tiempo de adquisición y los componentes de interferencia resultantes, se incrementan. Por lo tanto y de acuerdo con la invención, el rotador se coloca en el transmisor, como se ilustra en la Figura 2, para simplificar la implementación del receptor. En particular, el uso del rotador 165 retira ambigüedad de fase simplifica el seguimiento en el receptor 300 de la señal RF recibida. El seguimiento se simplifica ya que ahora puede emplearse un circuito de recuperación de fase portadora digital de bajo orden, por ejemplo primer orden, en el receptor para dar seguimiento rápidamente a cualquiera cambios de fase/frecuencia residuales en la señal recibida después de la eección RF. Esta capacidad por adquirir rápidamente la eeñal RF recibida es especialmente importante cuando el receptor se localiza en un vehículo en movimiento y está sujeto a los efectos Doppler anteriormente mencionados. De manera más importante, al utilizar rotación en el transmisor, se hace posible una compensación de fresuencia portadora coherente simplificada (o fase) sin mayores restricciones en el diseño del sistema, tal como la selecsión del tamaño del bloque de datos. Como resultado de la rotasión del transmisor, la modulación que se proporciona por el modulador 150 aquí se refiere como una modulación QPSK sin portadora en oposición a modulación QPSK nominal. Debe notarse que un problema con el enfoque de banda base descrito anteriormente, es que la conversión ascendente de radio requiere moduladores coseno y seno. No es trivial mantener los dos moduladores de radio analógico es exactamente separados 90B. Si los dos moduladores no se alinean precisamente en fase, las dos señales no forman un par Hilbert perfecto (como se conoce en la técnisa) o funsión compleja analítica. Hay otros enfoques tales como implementación de banda de paso digital para evitar este problema. En el enfoque de banda de paso, los filtros digitales de banda base se reemplazan por filtros de banda de paso de cuadratura y en fase que forman un par Hilbert. La salida de filtro de banda de paso en fase se substrae de la salida de filtro de cuadratura. La señal resultante luego se modula a una frecuensia intermedia, en donde un filtro de paso de banda analógiso selectivo se emplea para rechazar la imagen asociada. La señal filtrada de paso de banda, luego se convierte a la frecuensia de radio para difusión al aire. Este esquema simplifisa la nesesidad de utilizar dos mezsladores bien equilibrados (espasiados 90 a) a costo de un filtro de paso de banda con rechazo de imagen más selectivo. (La desviación de diferencia de fase de los dos mezcladores de 90 a resulta en degradación de desempeño) . Para relajar el requerimiento de selectividad de este filtro de paso de banda con rechazo de imagen, la frecuensia sentral de los filtros de banda de paso digitales suadratura/en fase puede ajustarse a una fresuencia superior que implica el uso de una velocidad de sobre muestrado superior L. Finalmente la frecuensia de rotasión del rotador de fase antes de los filtros digitales, deberá selessionarse adesuadamente, de manera que las implementasiones de banda de paso y banda base sean equivalentes. Si la fresuensia sentral de los filtros de banda de paso se ajusta a 150 + 180N + X kHz, la fresuensia de rotasión deberá ajustarse a -X kHz (en donde N es un entero > 0 y 180 kHz es la velosidad de símbolo). En total el enfoque de banda base anteriormente dessrito puede resultar en una pequeña penalidad de desempeño, dada la i plementasión del reseptor 300 que ahora se dessribirá. También, hay que notar que el piloto en el saeo de banda de paso deberá estar desplazado de la fresuensia sentral de banda de paso.
En el receptor 300, una señal RF recibida se aplica al demodulador 350, que se ilustra en forma de diagrama de bloques en la Figura 5. El demodulador 350 comprende el convertidor descendente RF 505, que es un filtro "IF" que convierte en forma descendente la señal RF recibida a una frecuencia IF, por ejemplo 10.7 MHz, como se conose en la espesialidad. La señal de salida IF resultante luego se aplisa al sonvertidor dessendente IF 510, que proporsiona una señal IF baja de banda de paso 511, sentrada en 150 kHz y que insluye la señal piloto anteriormente descrita a 250 kHz, que se emplea en el receptor 300 como una referencia para recuperación de portadora y como una fuente para generar otra señales de reloj para el receptor (dessrito a continuación) . Un espectro ilustrativo de la magnitud de la señal IF baja de banda de paso 511 se ilustra en la Figura 6. Antes de proceder con una discusión del resto del demodulador 350, habrá de notarse que para compensar cualquier diferencia en frecuencia portadora entre la señal RF transmitida y la señal RF recibida, un busle aserrojado en fase portador analógiso (PLL) usualmente se insluye dentro del sonvertidor dessendente RF 505. (Aunque la portadora analógisa PLL puede implementarse en otras partes del demodulador 350, es mejor implementar esta funsión en la sección RF). Sin embargo en este tipo de ambiente móvil, la señal RF recibida puede estar sometida tanto a desvanecimientos selectivos de frecuensia somo desvanesimientos de amplitud (aquí referidos como "desvanecimientos planos"). Por lo tanto los cirsuitos PLL del sonvertidor dessendente RF 505 se modifisan somo se ilustra en la Figura 7 para ser responsivoe tanto un desvanesimiento plano somo un desvanecimiento selectivo de frecuencia. En particular, una señal RF recibida se aplica al mezclador 605 que también recibe una señal de oscilador local (señal LO) 631. Se considera por simplicidad que el mezclador 605 incluye todos los circuitos requeridos para proporcionar una señal IF recuperada 606 ilustrativamente en 10.7 MHz como se le conoce en la especialidad. Esta señal IF recuperada se aplica al control de ganancia automático 610, que se emplea para ajustar la amplitud de la señal IF recuperada, para proporcionar la señal de salida IF anteriormente mencionada 506. PLL analógico 630 es un bucle acerrojado en fase y se considera que incluye un cristal para generar la señal LO requerida 631. Como se conose en la espesialidad, el PLL análogo 630 ajusta la fase de la señal LO en respuesta a una señal IF, aqui representada por la señal de salida IF 506. Sin embargo, el PLL analógiso 630 se opera ya sea en modo de seguimiento o un modo de enslavamiento dependiendo del estado de la señal de "retensión/prosede" 636. Sí esta última es representativa de un "UNO" (ONE) Lógiso, el PLL analógico 630 detiene el seguimiento y enclava la fase de la señal LO 631.
Por otro lado, si la señal "retén/procede" 636 es representativa de un "CERO" lógico, entonces el PLL analógico 630 continúa ajustando la fase de la cinta LO 631. En otras palabras, el bucle de realimentación del PLL analógico 630 se mantienen abierto para evitar mal ajuste cuando hay un desvanecimiento plano severo o un desvanesimiento selestivo de fresuensia a la fresuencia piloto. En particular, un desvanecimiento plano de la señal de RF recibida se detecta por un detector de desvanecimiento plano 615 que compara una señal de salida del AGC 610 que es representativa de la aptitud de la señal IF recuperada 606. Cuando la amplitud de la señal IF recuperada 606 es menor que un valor predeterminado tal como -110 dBm, el detector de desvanecimiento plano 615 aplica un "UNO" lógico a la compuerta 0 635. Esta ultima aplica una señal "UNO" lógico al PLL analógico 630 que inhibe seguimiento. Similarmente el detector del desvanecimiento piloto 620 se emplea para detectar un desvanecimiento selestivo de fresuensia alrededor de 250 Khz. La señal IF de bajo nivel anteriormente mensionada 511 se aplisa al filtro de banda estrecha 625 que se centra a la frecuencia de señal piloto, por ejemplo 250 Khz. El filtro de banda estrecha 625 proporciona la señal piloto recuperada 626 al detector de desvanecimiento de piloto 620. Este último compara la señal piloto recuperada 626 contra un umbral de referencia. Siempre que el detector de desvanecimiento piloto 620 detesta una señal piloto resuperada 626, se sonsidera que no hay desvanesimiento de fresuensia y el detestor de desvanesimiento 620 proporciona un "CERO" lógico a la compuerta 0 635. Sin embargo, cuando el detector de desvanecimiento de piloto 620 no detecta la señal de piloto recuperada 626, el detector de desvanecimiento de piloto 620 aplica un "UNO" lógico a la compuerta 0635, que luego inhibe el PLL analógico 630 de ajustar la fase de la señal LO 631. Habrá de notarse que la señal piloto recuperada puede generarse por otros métodos, por ejemplo al utilizar el montaje de carasterizasión de sanal o sompensador dessrito a continuación. Sin embargo, si se toman otros enfoques, aquellos en la técnisa deberán estar al tanto de cualesquiera retardos de procesamiento significantes (tiempo) en general la señal piloto recuperada. También es posible hacer que el detector de desvanecimiento plano y el detector de desvanecimiento piloto generen sus salidas de acuerdo con la calidad del piloto en vez de "uno" o "cero" lógicos por ejemplo, el detector de desvanecimiento plano puede producir una salida que es proporcional a la diferencia entre la señal recibida y un valor predeterminado tal como -110 dBm, para ajustar el ancho de banda de bucle PLL. En el caso extremo, el PLL está en el estado de "retención" al reducir el ancho de banda de bucle a cero (abrir el bucle) . Sin embargo otra alternativa podria ser el utilizar diferentes coeficientes de ponderación como una función del nivel de señal de alimentación en vez de justo un uno y cero binarios. Una decisión ya sea de "retener" o "proceder", se basa en comparar el valor umbral a un valor calculado instantáneamente o en forma acumulativa sobre un intervalo de tiempo predefinido utilizando los coefisientes de ponderación. Regresando a la Figura 5, como se describió anteriormente, el convertidor descendente IF 510 produce una señal IF baja 511 centrada a 150 Khz, &„, en vez de dar una señal de banda base más típica. Como se notó anteriormente, la Figura 6 muestra un espectro de frecuensia ilustrativo para señal IF baja 511, que osupa la gama de fresuensias desde 50 Khz a 250 Khz. La generación de la señal IF baja 511 en oposición a una señal de banda base más típica- se elige en reconosimiento del hesho que sualquier rechazo de interferencia del canal RF adyacente superior puede mejorarse adicionalmente por un filtro analógico de paso bajo digital, mientras que cualquier interferencia de canal RF adyacente inferior debe reducirse solamente por un filtro IF. Sin embargo, un filtro altamente selectivo es más difícil de diseñar a las frecuencias IF. Sin duda, interferencia RF adyacente inferior, después de pasar a través de un convertidor descendente RF típico, presentará dentado en la señal principal a través de la conversión de frecuencia IF final. Sin embargo, para reducir esta formación de dentado, una señal IF baja de paso de banda se genera, de manera tal que la señal IF baja 511 se apoya entre 50 y 250 Khz con una región "libre" de 0 a 50 Hz. Esta región libre garantiza que los primeros Khz de la interferencia de canal RF adyacente inferior restante no dentada en la señal principal. En otras palabras, si la señal IF baja 511 se ha ajustado a 100 Khz y no se permite región libre, la señal IF 10.7 MHz tendrá que diseñarse de manera tal que la atenuación de banda de parada a 10.6 MHz es igual que la del caso anterior a 10.55 Khz. Sin embargo, la selecsión de la señal IF baja 511 sentrada a 150 Khz relaja el requerimiento de atenuasión de banda de parada para filtro IF de 10.7 MHz. También, hay que notar que mientras que en diseño RF tradisional es importante que el sonvertidor dessendiente RF 505 no introduse ondulasiones y distorsión son retardo envolvente en la banda de interés, ese requerimiento puede relajarse en la implementasión de la Figura 5, ya que un sistema digital son un so pensador sigue (descrito a sontinuasión) . De hesho, cualquier i perfecsión de filtro IF puede compensarse por el equalizador del receptor a un costo mínimo de mejora de interferencia si hubiera. Sin embargo, es importante que la energía de señal se ajuste antes que el filtro IF y de esta manera cualquier interferencia del sistema interna posterior es despreciable. De hecho, la respuesta de filtro IF puede derivarse hacia la frecuencia inferior para obtener más atenuación de banda-parada a la interferencia de canal adyacente inferior.
La señal IF 511 baja se aplica al filtro 590 que se diseña para insluir tanto un filtro de paso bajo analógiso de banda base, somo un filtro de paso alto en serie. El filtro de paso bajo (no ilustrado) se diseña con una atenuación de banda-parada significante entre 250 y 270 Khz para rechazar adicionalmente la interferencia de canal adyacente superior. Este filtro de paso bajo analógico de banda base evita formación de dentado en el proceso de muestreado provocado por posible insuficiente rechazo de filtro IF de 10.7 Mhz. El filtro de paso alto (demostrado) se diseña para reducir adisionalmente la interferencia de canal adyacente inferior que puede afectar adversamente la detecsión de sinsronizasión. (Hay que notar que no elimina la interferensia de canal adyacente inferior que ya se ha formado con dentados en la señal principal debido a rechazo insuficiente en las conversiones de fresuensia RF) . La señal de salida IF baja del filtro 590 se muestrea por el convertidor analógica-a-digital (A/D) 515 a tres veses la velosidad de símbolo, aquí ilustrativamente 540 Khz (la frecuencia Nyquist está a 270 Khz). La corriente de muestras digitales 516 del convertidor A/D 515 se aplica al control de ganancia digital (DGC) 520, que desarrolla una corriente de muestras digitales recibidas 521. Esta última se aplica al correlasionador 525 y línea de retardo (o separador) 530.
La línea de retardo 530 se diseña para tomar en suenta el retardo de prosesamiento para prosesar el impulso de sanal, el sálsulo de soefisiente sompensador y el retardo que se requiere para implementar la sompensasión media amblar (todo lo cual se describe a sontinuasión) . En un diseño el reseptor sonvensional somún, se emplea un par de filtros Hilbert para generar componentes de señal de cuadratura-fase y un compensador complejo (acoplado-por diafonía) se emplea para recuperar la señal de banda base transmitida. El compensador (acoplado-por diafonía) somplejo somprende suatro filtros dispuestos de manera tal que las señales de salida de suadratura y en fase, sada una se generen por dos filtros. Por ejemplo, la señal de salida en fase es el resultado de un primer prosesamiento de filtro de la señal de ali entasión en fase y un segundo prosesamiento de filtro de la señal de alimentasión de suadratura. La señal de salida de suadratura se construye de manera semejante son un par de filtros diferentes. A pesar de esta sugerencia evidente, la generación anteriormente mencionada de una señal IF baja de banda- en oposición a una señal de banda base más convensional - permite el uso del compensador no acoplado por diafonía 570. El compensador 570 está compuesto por dos filtros, uno para en fase (I-EQ 570-1) y el otro para cuadratura (Q-EQ 570-2). Ambos de estos filtros tienen una señal de alimentación común a una velocidad 3/T , aquí referida como muestras espaciadas fracsionalmente. Cada filtro produse señales de salida resuperadas en fase y de suadratura a una velosidad 1/T de los uestreadores 575-1 y 575-2, respestivamente. Aunque no se describe aquí, puede demostrarse matemáticamente que el compensador que no es de acoplamiento por diafonía 570, no solo recupera una señal bidimensional sino también forma un par Hilbert. Este resultado es importante para diseñar tanto coefisientes compensadores de cuadratura como en-fase de la señal de sondeo por substitución de canal solo-en-fase (descrita a continuasión) . Habrá de notarse que la somplejidad del equipo físiso compensador se reduce al utilizar un compensador que no es de acoplamiento por diafonía 3/T comparado con aquella del compensador de acoplamiento por diafonía 2/T anteriormente mencionado. Por ejemplo, aunque el compensador 570 opera a una velocidad de muestreado 3/T, solo dos filtros se requieren. Este es un ahorro del 25% en equipo físico compensador, en comparación con el compensador de cuatro filtros de acoplamiento por diafonia 2/T además de los ahorros en el par de filtros Hilbert requeridos en frente al compensador de acoplamiento por diafonía. Las señales de salada en fase y cuadratura (que son 4 corrientes de muestras digitales a la velocidad de símbolo 1/T) se aplican al bucle de recuperasión de portador 580, que sompensa cualquier desplazamiento de fase fk en la señal recibida. Como se describió anteriormente, ya que un rotador está presente en el transmisor 100 para compensar la generación de banda de paso de la señal IF baja 511, un bucle de acerrojamiento de fase de bajo orden puede emplearse en el reseptor 300 (somo se dessribió previamente) para dar seguimiento rápidamente a cualesquiera cambios de frecuensia/fase en la señal RF resibida. Esta sapacidad por adquirir rápidamente la señal RF recibida, es especialmente importante cuando el receptor se localiza en un vehículo en movimiento y esta sujeto a los efectos Doppler anteriormente mencionados . Sin embargo, en esta modalidad ilustrativa, el bucle de recuperación de portador, se representa por el bucle de recuperación de portador digital 580 que comprende el estimador de rotación 580-2 y rotador de fase 580-1. Para propósitos de ilustración, se considera que el estimador de rotación de fase 580-2 se implementa en un procesador de señal digital (DSP) (no mostrado). El DSP mide la diferencia en ángulo entre la salida del sompensador y su posisión ideal y alimenta esta información de regreso al rotador de fase 580-1 para contra rotación de la diferencia de ángulos. La diferencia de fase se obtiene al promediar sobre 32 símbolos y utiliza el cálsulo resultante para los siguientes 300 símbolos. Como resultado solo se realizan seis estimados durante todo un suadro o bloque de datos (somo se ilustra por el suadro 205 de la Figura 4). Este es un prosedimiento con corrección anticipante basado en bloque en vez de una implementasión de realimentasión de busle aserrojado en fase típica, en donde el error se toma de la diferencia entre la salida del rotador y la porción rebanada se filtra y utiliza para dirigir un circuito de acerrojamiento en fase para dar el estimado. Este procedimiento con corrección anticipada es simple y ágil en dar seguimiento en especial para un vehículo de rápido movimiento. Sin embargo, su gama de seguimiento con desplazamiento de frecuensia es muy limitada, por ejemplo en el orden de ±18 Hz. Además, el sambio de fase portadora en sada 300 simbolos, puede ser sufisientemente signifisante para provocar degradación. Habrá de notarse que la implementación de un bucle-aserrojado-en-fase de segundo-orden basado-en-símbolo o un bucle de seguimiento de frecuensia adisional en el sistema de busle resuperasión de portadora, puede además mejorar el seguimiento. Antes de avanzar más con una descripción del procesamiento de la corriente de símbolos corregida en fase que se proporciona por el bucle recuperación de portadora digital 580, ahora se dirige atención al correlasionador 525, asoplador de patrones 540, dispositivo de decisiones 565 y elemento de respuesta de canal 535. Estos elementos proporcionan las funciones de sincronización de cuadro y carasterizasión de canal .
Con respecto a sincronización de cuadro, un algoritmo para detección de sincronizasión, en general se diseña para corresponder a un patrón de señal predeterminado (también referido somo la señal de entrenamiento) en el receptor a la señal de ingreso. Si hay correspondencia, se declara sincronizasión. Los dispositivos empleados para produsir la información en determinar si o no existe correspondencia, se denominan un correlacionador. Un contador a la salida del correlacionador se incrementa o disminuye de acuerdo a si un símbolo particular en la señal de sincronizasión es correspondido o no. El resultado del contador indica la similaridad entre la señal de ingreso y el patrón de señal almacenado en el receptor. Este contador se refiere como un contador de confianza. Este mecanismo de sincronizasión simple solo utiliza informasión muy limitada disponible del correlacionador. La señal de datos regular a menos de que sea restringida puede tener un patrón similar a la señal de sincronizasión y puede provocar una detección falsa. Sin embargo, se ha considerado que un dispositivo de detección de sincronización pueden mejorarse si la señal de sincronización se diseña de manera tal que el correlacionador, cuando se ajusta al correspondensia de señal de sinsronizasión, da por resultado característisas de señal distintivas que pueden utilizarse para diferensiar la señal de sinsronizasión a partir de la señal de datos en un ambiente son interferensias. En este saso, se requiere una señal de entrenamiento particular con una sierta propiedad. También es sonveniente que la informasión obtenida en el proceso de sincronizasión a partir de esta señal de entrenamiento partisular, se emplee para determinar las característisas de sanal (sondeo de sanal). Por lo tanto, un patrón de sinsronización con propiedades distintivas de la función de auto correlasión, se emplea para mejorar la sonfiabilidad de sincronización. En forma ilustrativa, esa es una razón para el uso de una secuensia pseudoaleatoria binaria en el sabezal 206. Cuando esta sesuensia se repite en el transmisor y sorrelasiona en el reseptor son una copia del patrón no repetido, el correlasionador produse un valor alto (piso) cuando hay una correspondencia y valores bajos (zona silenciosa), de otra forma. Ya «que la secuensia se repite, la informasión sonocida a priori y respecto a la periodicidad y el ancho de picos y zonas silenciosas puede emplearse para mejorar la confiabilidad de detección. Cuando esta secuencia de los N con símbolos que adquiere valores 1 y 0, se repite en el transmisor y correlaciona por una copia almacenado de la secuencia con valor 1 y -1 (-1 substituye a 0) en el reseptor, la salida del sorrelasionador da somo resultado un alto piso de amplitud (n+l )/2 , suando la sesuencia se ajusta a y un bajo valor, de otra forma. También es posible utilizar sesuencias de valores 1 y -1 en el transmisor y correlasionar con una sopia almacenada de la secuensia de valores 1 y 0. La señal resibida puede ser rebanada físisa a un 1 o 0 para prosesamiento simple. Sin embargo, este método solo no es robusto en el saso de una severa distorsión de canal, tal como una secuencia de canal. Por lo tanto, como se describe a continuasión, se sonsidera que sualquier aliraentasión de correlacionador retiene completa precisión dada por el convertidor A/D. Esto es, la señal de salida del correlasionador es representativa de números reales y no se limita a un lógiso simple 1 o 0. Regresando a la Figura 5, la sorriente de muestras digitales resibidas 521 se aplisa al sorrelasionador 525. Este último se implementa utilizando una estrustura sub-sorrelasionadora. Ejemplos de estrusturas subsorrelasionadoras pueden ensontrarse en la patente de los E.U.A. No. 5,260,972, son título "Teshnique for Determining Signal Dispersión Charasteristiss in Communisation Systems" (Tésnica para determinar caracteristisas de dispersión de señal en sistemas de somunisasiones) otorgada en 9 de Noviembre de 1993 a Wang; y la patente de los E.U.A. No. 5,406,586 con titulo "Signal Correlation Technique" (Técnica de correlasión de señal) otorgada en Abril 11 de 1995 a Wang. Habrá de notarse que si los símbolos de sincronización ya no están restringidos más a unidimensionales, se requieren juegos adicionales de correlasionadores. El proseso de sinsronización se diseña para detectar el inicio de cada bloque de datos de 10 ms, compuesto por dos secuencias de 31PN consecutivas, seguidas por un segmento de 24PN parcial (los 86 símbolos anteriormente mencionados del cabezal 206). Utilizando una copia de la secuencia 31PN como sus coeficientes y recibiendo una señal de alimentación con precisión íntegra, el correlasionador 525 produse una señal de salida de sorrelacionador 526. Un ejemplo de señal de salida de correlasionador 526 se ilustra en la Figura 8, que muestra la señal de salida del sorrelasionador 526, mientras que se resibe el sabezal 206 de un suadro. Por ejemplo, la región 11 sorresponde al extremo de sola de la porsión de datos del suadro previo, la región 12 generalmente corresponde al cabezal 206 del cuadro corriente, y la región 13 corresponde al inicio de la porción de datos del cuadro corriente. (Los dos símbolos de sincronización 7PN restantes en el cabezal 206 se compensan y utilizan para sincronizar el desintercalador y para ajustar la ubicasión de símbolo en cada bloque de datos recibido descrito a continuación) . La señal de salida del correlacionador 526 se aplica al contador de confianza 540, que comprende los siguientes cirsuitos: rebanada alta 545, rebanada baja 555, alta sorrespondensia de patrón 550 y baja sorrespondencia de patrón 560. Los cirsuitos de rebanada alta 545 rebanan la señal de salida del sorrelasionador 526, para proporsionar un uno o cero dependiendo de si su valor absoluto excede un umbral alto predeterminado. Similarmente, los circuitos de rebanada baja 555 rebanan la señal de salida del correlacionador 526, para proporcionar uno o cero, dependiendo de si su valor absoluto es menor que un umbral bajo predeterminado. También es posible representar el uno o cero recién mencionados con números reales, para reflejar la calidad de la señal, cuando se compara con umbral alto o bajo. Estas dos salidas rebanadas luego se aplican a cirsuitos de sorrespondensia de patrón alto 550 y sirsuitos de sorrespondensia de patrón bajo 560, respestivamente. Los sirsuitos de sorrespondencia de patrón alto 550 y los cirsuitos de sorrespondensia de patrón bajo 560 también se refieren aquí somo los correlacionadores sesundarios. Estos últimos producen información para indicar que tan similar es la auto correlación de señal de alimentación en comparación con aquella de la señal pre-al acenada. La informasión de los sorrelasionadores sesundarios alto y bajo luego se pondera y suma para toma de desisión de sinsronizasión por el dispositivo de decisión 565, que proporciona una señal de sincronizasión (sins). Habrá de notarse que un sorrelasionador que tiene una alimentasión de rebanada dura tiene bien definidos picos y zonas silenciosas a la salida - si la alimentación rebanada es corresta. Como se notó anteriormente, se considera que en la presencia de desequilibrios de canal, un correlacionador que acepta una precisión completa, se emplea. La salida de un correlasionador de sompleta presisión es la sonvolusión de aquella respuesta del sorrelasionador de rebanada dura y la respuesta de impulso de canal. Ya que la señal recibida está limitada en banda y distorsionada por trayectorias múltiples, las zonas silenciosas no existirán si la extensión de canal es más larga que la longitud de la secuensia PN transmitida. Por lo tanto, para evitar la zona silensiosa que se sorrompa sompletamente, se emplea una sesuensia PN de 31 simbolos debido a que la sesuensia 31PN es musho más larga que la extensión de sanal del peor saso. Esto asegura que habrá áreas en la salida del sorrelasionador que son silensiosas y pueden emplearse para detessión de sincronización confiable. Un patrón de alto umbral (pico) se emplea para detectar picos periódicos separados por 31 simbolos. El ancho de cada zona de detección pico en el patrón de alto umbral es L muestras, en donde típicamente L - 3 o un símbolo. Un bajo patrón de umbral se emplea para detectar zonas silenciosas periódicas. El ancho de cada detecsión de zona silensiosa es N muestras típisamente de 18 o seis símbolos. La región donde puede haber una respuesta provocada por multitrayectorias se define como la "zona de ignorar". Su contribución se ajusta a cero al establecer los coeficientes asociados en los correlasionadores sesundarios a valores sero. (Habrá de notarse que en la región 12 de la Figura 8, el primer piso esperado de la primer sesuensia 31PN es en sierta proporsión, sorrelasionado son datos del fin del suadro previo. Sin embargo, la segunda sesuensia de 31PN recibida, ausente de corrupción, tiene zonas de pico claras y zonas silenciosas, ya que la segunda secuensia de 31PN se sorrelasiona teórisamente son la primer secuencia de 31PN. La secuensia PN final puede tener menos de un piso ya que es solo una sesuensia de 24PN) . Esto se ilustra en la Figura 9, que es idéntisa a la Figura 8 exsepto por el etiquetado ilustrativo de una "zona de detesción pico" (P) , "zona de ignorar detecsión" (I), zona de detessión silensiosa" (Q). Durante la zona de detessión piso, se bussa un patrón de sorrespondensia piso. Después de la zona de detessión piso, la salida de sorrelacionador se ignora por un período de tiempo como se representa por la zona de ignorar detecsión (también ilustrado como n± ) . Después de la última, un patrón de correspondencia silencioso se busca durante la zona de detecsión de silensio. Los patrones de sorrespondensia piso y correspondencia silenciosa ilustrativos se muestran en la Figura 10.
Esensialmente, este proceso de sincronizasión bussa a los altos y bajos y la periodisidad, de la señal de ensuadre. No obstante una alta correspondencia durante la zona de detecsión de pisos, una baja sorrespondensia durante la zona de detessión silensiosa se emplea para verificar el inicio de un cuadro. La zona de ignorar compensa reflexiones, retardos, etc. a la señal recibida. Este método general para utilizar en el dispositivo de decisión 565 se ilustra en las Figuras 11, 12 y 13. Inicialmente, cuando el receptor 300 primero se sintoniza a una frecuencia respectiva, el dispositivo de decisión 565 empieza en un modo de "adquisición" como se ilustra en la Figura 11, en donde no se declara eincronizasión. Un sontador de correspondencia, que de manera ilustrativa puede ser una variable o un registro, inicialmente se ajusta a cero en la etapa 60. En la etapa 61, el proceso de sincronización intenta detectar un cabezal. Una vez que se detecta un cabezal, el contador de correspondensia se insrementa en la etapa 62, una pluralidad de símbolos JI3, se salta en la etapa 63, y un intento por detestar un sabezal, de nuevo se realiza en la etapa 64. La pluralidad de simbolos n%, se relaciona a la longitud de cuadro (descrita a continuación). Si no se detecta cabezal, el dispositivo de decisión 565 regresa a la etapa 61. Sin embargo si se detecta un cabezal, el contador de correspondencia se incrementa en la etapa 65. Si el contador de correspondencia es igual a un número pre-definido, Mx, entonces el dispositivo de decisión 565 conmuta al "modo de estado estable" en la etapa 67 y opera de acuerdo con la Figura 13 (descrita a sontinuasión) . Por ejemplo si Mx es igual a 3, entonses una vez que tres sabezales sonsesutivos se detestan la transisión al modo de estado estable osurre y puede estimarse una señal de sinsronización (sinc). Este requerimiento de correspondensia sesuensial a una pluralidad de sabezales establece un nivel de confianza antes de declarar sincronizasión y sonmutar a un "modo de estado estable" . Sin embargo, si el sontador de correspondencia no es igual a Mx el dispositivo de decisión 565 salta n3 símbolos y pasa a la etapa 63. Habrá de notarse que el valor del número pre-definido M-. puede ser estático o variable. Por ejemplo, cuando el receptor inicialmente se activa (o cuando una estación primero se sintoniza) el valor de Mx puede ser superior que un valor para Mi cuando el retorno al modo de adquisición fue resultado de una perdida de sincronizasión. Esto pondrá en vigensia un nivel de sonfianza superior suando primero se adquiere la señal. Sin embargo, puede tolerarse un nivel de sonfianza menor para manejar re-sinsronizasión. La diferencia entre las etapas 61 y 64 es aquella de la suposición respecto a la posición dentro de cada cuadro recibido, cuando se intenta detectar un cabezal. En el contexto de la etapa 61 la detessión del sabezal se inicia en sualquier punto dentro de la señal resibida. Esto es, la señal recibida es "buscada" para una zona pico en la etapa 61 de la Figura 11. Una vez que un cabezal se detecta inicialmente, si es un cabezal real, habrá de ocurrir una detecsión similar al inisio del siguiente cuadro, que es un intervalo de tiempo fijo posterior, como se representa por el salto n3 símbolos. En este contexto, la detecsión de cabezal de la etapa 63 "busca" el cabezal al intervalo de tiempo apropiado posterior (ya que la periodicidad de la señal se conose a priori, la porsión de señal de datos de un suadro se salta fácilmente). Como un resultado, aunque se ilustran separadamente, las etapas 61 y 64 detectan esencialmente el cabezal en la misma forma. Esto se ilustra en la Figura 12, que muestra un diagrama de flujo de un método de detecsión de sabezal ilustrativo. En la etapa 50, el proseso de sinsronizasión bussa una zona piso, es desir una zona de desisión 565 espera la detessión de sualquier indisasión de una zona piso desde un sontador de sonfianza 540. Al detestar una zona pico, el proceso de sinsronizasión salta nx intervalos de símbolo en la etapa 51, para sompensar sualquier reflejo de señal, ets. (Esta es una zona de ignorar) . En la siguiente etapa 52 el proceso de sincronizasión bussa una zona silensíosa. Si no se detesta zona silensiosa dentro de un intervalo de tiempo predefinido, el proseso de sincronización regresa a la etapa 50 para buscar una zona pico. Sin embargo, al detectar una zona silenciosa, entonces el dispositivo de decisión 565 busca una zona pico en la etapa 54 dentro de un intervalo de tiempo predeterminado. Si no se detecta zona pico, el proceso de sincronizasión regresa a la etapa 50 para explorar una zona piso. Sin embargo, al detectar una zona pico en la etapa 54, el proceso de sincronizasión salta n2 intervalos de símbolo en la etapa 55, para sompensar sualesquiera reflejos de señal, etc, (esta es otra zona de ignorar) en donde n3 = nx. Los valores de x y n2 se determinan experimentalmente con base en el conosimiento de la extensión de sanal del peor saso. En la siguiente etapa 56, el proseso de sincronización busca una zona silenciosa. Si no se detecta zona silenciosa dentro de un intervalo de tiempo pre-definido, el proceso de sincronizasión regresa a la etapa 50 para bussar una zona piso dentro de un intervalo de tiempo pre-determinado. Sin embargo, al detestar una zona silensiosa, entonses el dispositivo de desisión 565 busca una zona pico en la etapa 58. Si no se detecta zona pico, el proceso de sincronización regresa a la etapa 50, para buscar una zona pico. Al detectar una zona pico, el proceso de sincronizasión ha detestado un sabezal. Hay que notar que la suma de los intervalos de tiempo transsurridos en saltar las zonas de ignorar, y bussar pisos y zonas silensiosas se diseña de manera tal que la periodisidad de 31PN se aprovesha.
Una vez en un modo de estado estable, el método anteriormente descrito de la Figura 12 también puede emplearse por cada cuadro. En forma alterna, pueden emplearse otros métodos, uno de los cuales se ilustra en la Figura 13. En esta última, un contador de falla, que de manera ilustrativa puede ser una variable o un registro, se ajusta inicialmente a cero. En la etapa 72, el dispositivo de decisión 565 busca una zona pico dentro del siguiente cuadro, que en este ejemplo ocurre n* símbolos posterior. Aquí, nt > n3 ya que como se describirá, solo el primer pico y la zona de silencio se detectan, por lo tanto más que el cuadro requiere ser saltado. Si se detecta una zona pico, el dispositivo de decisión 565 salta nx intervalos de símbolo en la etapa 73 y busca una zona silenciosa en la etapa 74. Sin embargo, si no se detecta zona pico, se declara una falta en la etapa 75 y el contador de falta se incrementa. El valor del contador de falta se verifica en la etapa 76. Si el valor de contador de falta es mayor que un número pre-determinado M2I entonces el dispositivo de decisión 565 cambia de regreso al modo de adquisición, es decir se pierde sincronizasión y el dispositivo de decisión 565 opera de acuerdo con la Figura 11, descrita anteriormente. De otra forma, el dispositivo de decisión 565 pasa a la etapa 81 y por lo tanto a la etapa 72 como se describió anteriormente. El valor de nB es mayor que n? ya que más símbolos requieren ser saltados (como se representa por el tiempo para procesar las etapas 73, 74, 78 y 79). En la etapa 74, si se detecta una zona de silencio, el dispositivo de decisión 565 proporciona una señal sinc en la etapa 70, salta n« símbolos en la etapa 71 y busca una zona pico al inicio del siguiente cuadro en la etapa 72. Sin embargo, si no se detecta zona silenciosa, se deslara una falla en la etapa 78 y el sontador de fallas se insrementa. El valor del sontador de fallas se verifisa en la etapa 79. (Se considera aquí que una falla se representa por una señal sinc inválida, por ejemplo un CERO lógico contra un UNO lógico, o si la señal sinc es una señal de múltiples bits, un patrón de bits particular. En forma alterna, una señal separada puede proporcionarse por el dispositivo de desisión 565). Si el valor del contador de fallas es mayor que un número predeterminado M2, entonces el dispositivo de decisión 565 cambia de regreso al modo de adquisición, es decir se pierde la sincronizasión y el dispositivo de desisión 565 opera de asuerdo con la Figura 11, como se describió con anterioridad. De otra forma, el dispositivo de decisión 565 pasa a la etapa 71 y continúa el proceso. En este ejemplo, se permite que el contador de falla incremente hasta que alcanse el umbral anteriormente mensionado. Sin embargo pueden emplearse variasiones para reajustar el sontador de fallas. Por ejemplo, el sontador de fallas puede reajustarse periódisa ente, si no se detecta falla dentro de un período de tiempo predeterminado. O, el contador de fallas puede reajustarse cada vez que una señal de sincronizasión se proporsiona en la etapa 70. También, debe notarse que una falla en detessión o sarensia de sincronización, puede ser empleada ventajosamente para indicar la condición de señal-a-interferencia de canal. Por ejemplo, en el caso de una detecsión de falla o una sarensia de sinsronizasión, se envía una señal (por ejemplo la señal sins) al desodifisador Reed-Solomon. Este último luego ignora el suadro resibido sorriente para el propósito de corregir errores. Esto es más eficiente que acumular información de error en una señal recibida durante un período de tiempo como es típico en sistemas de la técnisa previa referidos somo un borrado en desodifisador Reed-Solomon. Por ejemplo, se conoce el desarrollar estadísticas de error con base en rebanar una señal de salida de un compensador. Cuando el error se acumula sobre un umbral determinado, cirsuitos como un decodifisador Reed-Solomon subsesuentemente ignoran la señal recibida por un período de tiempo. Sin embargo, simplemente utilizando la señal sinc anteriormente mencionada elimina estos circuitos. Como se describió anteriormente, la señal de sondeo se transmite cada 10 ms. Con base en resultados teóricos y experimentales se ha determinado que esto limita la velocidad superior del vehículo a entre 135 y 200 Km. por hora, dependiendo de la severidad del canal de comunisasiones. La sapasidad para tratar con la velocidad del vehlsulo se insrementa linealmente son un insre ento en la velosidad de repetisión de la señal de sondeo. Por ejemplo, si la señal de sondeo se transmite sada 5ms, la velosidad superior del vehísulo se ensontrará en la gama de los 270 a 400 Km/Hora. Debe notarse que otros métodos también pueden utilizarse para determinar sinsronización utilizando los circuitos de la Figura 5. Por ejemplo, en contraste con el enfoque serial anterior para evaluar las señales de salida del contador de confianza 540, como se describe en las Figuras 11 y 12, un tipo de decisión conjunta de análisis puede emplearse. Una vez que se logra sincronizasión de suadro, la respueeta de impulso al sanal insrustada en la señal de salida del sorrelasionador 526, se prosesa para obtener soefisientes compensadores para el compensador 570. En particular, una vez que se declara sincronizasión, el sabezal predefinido, es desir la señal de entrenamiento se identifisa y se emplea para representar la respuesta de impulso de sanal. Esto es partisularmente útil debido a que un sanal inalámbriso móvil se sarasteriza por la presensia de múltiples trayestorias de reflexión. Como tal, la señal resibida puede verse como compuesta por una señal principal y una cantidad significante de señales indirectas provocadas por reflejo. Dificultad de recepción además se incrementa por cambio continuo en el canal y se vuelve insluso más diflsil cuando se transmiten simbolos de datos a una velocidad superior a unos cuantos centenares de Khz. Por ejemplo, un retardo de unos cuantos micro segundos entre trayectorias provoca interferencia inter simbolos entre muchos símbolos de datos. Como resultado, para recuperar datos en este tipo de ambiente en comunicaciones, se requieren carasteristisae de sanal para sorregir los deterioros provosados por el sanal. La caracterización del canal puede describirse como sigue. En el transmieor, una señal de entrenamiento conocida se envía a través de un canal desconosido. En el reseptor, la señal resibida observada se emplea para sarasterizar el canal. Definimos A(f) como el espectro de frecuensia de señal de entrenamiento, E*(f) somo la respuesta de fresuensia de transmisor, Hc(f ) somo la respuesta de fresuensia de sanal, Ha(f) somo la respuesta de fresuensia de sintonizador, B(f ) somo la respuesta de fresuensia de saracterizado de canal en el receptor y T(f) como la respuesta de frecuencia total. (Por ahora, se considera que el sistema no tiene interferencia) . T(f )=A(f) H*(f ) HB(f) H»(f) B(f ) (12) Sí A(f) B(f) es igual a una constante k sobre la banda de transmisión, a continuación referida, como la "ganancia de procesamiento", entonces: T(f )=kH*(f ) Hc(f ) H f ) , ó (13a) T(f )=kH(f ) (13b) en donde H(f) es la funsión de transferencia total y la información de canales se obtiene. Utilizando esta información, coeficientes de derivación de compensador, pueden obtenerse para corregir distorsión de canal. Las siguientes técnicas descritas carasterizan de manera presisa un sanal de múltiples trayectorias y proporcionan diversos tipos de información para sincronizasión y estimasión para desplazamiento de fase portadora somo se dessribió anteriormente. Sí el sanal está sorrompido por interferensia, entonses: T{f) » kH(S) + Jti¡?(f) t (14) en donde N(f ) es el espectro de potencia de interferencia de canal y k es la ganancia de procesamiento como se describió anteriormente. La proporción k \ H(f ) \ 2/N(f ) , integrada sobre la banda de transmisión define la proporción de señal-a-interferencia estimada de canal. Entre más grande será la ganancia de procesamiento, habrá mejor protessión a las características de canal estimadas de interferencia. En general, entre más dura la señal de entrenamiento, mejor será la carasterizasión de sanal de somunisasiones respestivo. En éste diseño, la señal de entrenamiento sorresponde al sabezal anteriormente mensionado. Consesuentemente, hay un so promiso entre los fastores que redusen el rendimiento de transmisión y la santidad de tiempo dedisado para sarasterizar el sanal de comunicasiones. Esta sompensación se representa aqui por la selección de 86 símbolos en el cabezal 206, como la longitud de la señal de entrenamiento para sincronización y propósitos de sondeo de canal. Como se notó previamente, el compensador 570 forma un par Hilbert, de manera tal que los coefisientes para los compensadores de cuadratura y en fase del compensador 570 pueden obtenerse a partir de la respuesta de canal en fase solamente. Como tal, el elemento para respuesta de asignar de canal 535, primero encuentra la respuesta de canal en fase incrustada en una señal de salida de correlasionador 526. Los soefisientes sompensadores de suadratura nuevos se obtienen a través de una transformada Hilbert. Un proceso ilustrativo para obtener coefisientes sompensadores lineales, se ilustra en la Figura 14. (Es posible utilizar variasiones en un sompensador son realimentasión de desisión, referencia a la solicitud de patente en los E.U.A. por Gadot y colaboradores, No. de serie 08/322877, presentada en octubre 13 de 1994 y otorgada en Noviembre 15 de 1995). En la etapa 30, el elemento de respuesta para asignar canal 535, recibe la señal sinc del dispositivo de detección 565, señalando que la señal de salida del correlasionador 526 es representativa de la respuesta de impulso de sanal. En la etapa 31, el elemento de respuesta para asignar sanal 535, transforma la señal de salida del sorrelasionador 526 desde el dominio de tiempo, en una representasión de dominio de fresuensia de asuerdo son una tésnisa de "transformada rápida de Fourier" (FFT) ó "transformada dissreta de Fourier" (DFT) (los prosesamientos FFT y DFT se sonosen en la tésnisa) . Normalmente los soeficientes compensadores simplemente pueden determinarse al tomar entonces la recíprosa de la salida FFT (para sompensasión son dominio de fresuensia) y la FFT inversa y la IFFT (para regresar al dominio de tiempo) (para sompensasión son dominio de tiempo) . Sin embargo, exsesiva mejora de interferensia de sompensador puede deberee a la presencia de reflexiones de múltiples trayectorias. Esto es particularmente cierto para grandes reflexiones, por ejemplo reflexiones de fuerza idénticas. Como tal, solamente los coefisientes sompensadores generados por la respuesta de FFT, pueden no proporsionar sonvergensia y por el sontrario haser difisil la resuperasión de interferensia intersímbolos (ISI). Por lo tanto, se ha sonsiderado que al introdusir una pequeña distorsión en la respuesta de impulso de sanal, pueden manejarse múltiples reflexiones son solo una ligera degradasión en el desempeño total. En particular, la respuesta de impulso de sanal se recorta en el dominio de frecuencia si la señal recibida es demasiado alta o demasiado baja, a continuasión referida como "formación de umbral FFT". En otras palabras se aplica un simple umbral a la magnitud del FFT de la señal de salida del correlasionador 526 aomo se ilustra en la Figura 15. Si el FFT de la señal de salida del sorrelasionador 526 excede estos umbrales predeterminados b y Tx ? la señal simplemente se recorta. Por ejemplo, si la magnitud es mayor que Th, la magnitud se ajusta igual a rh. De manera semejante, si la magnitud es menor que Tx , la magnitud se ajusta igual a T . La determinación de los umbrales es un compromiso entre la magnitud de los reflejos esperados y el grado de ISI que es aceptable y debe determinarse empíricamente. Este enfoque de formación de umbral FFT evita mejora de interferencia en compensadora excesiva en compensación debido a ambiente de múltiples trayectorias. En forma análoga, puede verse como compensación utilizando un buen tipo de criterio de media cuadrática mínima en oposición a compensación forzada a cero. También es importante que para evitar el efecto de convolusión sirsular en las transformasiones de tiempo de fresuensia digital, la longitud de FFT e IFFT deberá exseder la suma del sanal del peor caso y extensiones de compensador para evitar dentados cislicos al realizar operaciones FFT e IFF. Finalmente, la respuesta fuera-de-banda se ajusta a cero en el dominio de frecuencia, para designar el compensador con una carasterística de paso de banda de 180 Khz entre 60 a 240 Khz.
Regresando a la Figura 14, el elemento para respuesta de asignar canal 535 aplica formación de umbral FFT en la etapa 32. La recíprosa del umbral FFT se toma en la etapa 33. La respuesta de dominio de fresuensia resultante luego se procesa utilizando una FFT inversa (IFFT) somo se conoce en la técnisa para obtener los coeficientes de compensador en fase en la etapa 34. Finalmente, el elemento de respuesta para asignar canal 535 procesa los coeficientes compensadores en fase mediante una transformada Hilbert en dominio de tiempo, para obtener los coeficientes compensadores de cuadratura en la etapa 35. Una vez que se obtienen los coeficientes compensadores, el elemento para respuesta asignar canal 535 actualiza o descarga los coefisientes de sompensador 570 en la etapa 36. Como se notó anteriormente, la línea de retardo 530 debe regular el flujo de muestra de manera tal que el sompensador 570 bussa la sorriente adesuada de datos. La longitud de este separador de datos toma en suenta el tiempo para prosesar el impulso de sanal, el cálculo de coefisientes sompensadores y el retardo que se requiere para implementar la sompensasión. Es importante que la relasión de fase de muestreads se mantenga adesuadamente a través de todos los sirsuitos de retardo y prosesamiento de señal. Después del separador de retardo, el compensador procesa dos bloques parciales, medio bloque de datos antes y otra mitad después del patrón de sinsronizasión de 100 símbolos. Esto es la así denominada sompensasión media amblar. Hay que notar que el sompensador es un sompensador de banda de paso. La misma sorriente de datos se alimenta a la porsión en fase del sompensador 570 así somo la porsión de suadratura del sompensador 570. La salida del compensador 570 se re uestrea a la velocidad de simbolos y proporciona al bucle de recuperasión de portador 580 descrito previamente. La salida de los cirsuitos de resuperasión de portador luego se rebana para resuperar simbolos transmitidos. Una variasión del método de la Figura 14 para calcular coefisientes sompensadores se ilustra en la Figura 16. Este último es idéntiso a la Figura 14, exsepto por reemplazar la etapa 35 por la etapa 45 y mover la etapa 36. En la etapa 35, el soefisiente sompensador en fase en el dominio de fresuensia se prosesa mediante un -jsgn(f ) (la representasión de dominio de fresuensia de una transformada Hilbert) que genera el soefisiente compensador de cuadratura de fase en el dominio de frecuensia, a partir del sual los soefisientes de dominio de tiempo para la suadratura se generan al tomar IFFT en la etapa 34. Regresando a la Figura 5, la sorriente de simbolos sorregidos en fase que se proporsiona por el busle para resuperasión de portador digital 580, se proporciona al elemento de recuperasión de símbolos 705, que proporsiona señal codifisada 351, dessrita previamente. El elemento de resuperasión de símbolos 705 se ilustra en forma de diagrama de bloques en la Figura 17 y somprende al sorrelasionador 710 y sontador-separador 715. Como un resordatorio, los restantes 14 símbolos unidimensionales del cabezal 206, se emplean ya sea para sincronización de intercalador o alineamiento de reloj de símbolos en el receptor 300. Estos 14 simbolos unidimensionales se eligen de los símbolos sinc de intercalador (reloj de símbolo) "de la constelasión de puntos de señal de la Figura 3. En partisular, los 14 símbolos se emplean por el resepto 300 para indicar el inicio de cada bloque intercalador de 320 ms. Esta sincronización de intercalador se repite cada 32 cuadros y comprende dos secuencias consesutivas de 7PN somo se ilustra en la Figura 4. (Deberá notarse que la profundidad de intersalador, es desir el tamaño de bloque intercalador afecta la capacidad por recuperar la señal del terreno obstruido y también es una función del limite inferior de la velocidad del vehículo. Consecuentemente, otras variables de profundidad de intersalador pueden emplearse, dependiendo de sarasterístisas partisulares del sistema. Por ejemplo, si está presente una superior insidensia de terreno obstruido en el área de difusión, la profundidad de intercalador puede ajustarse a 640 ms).
Cuando no se emplean los 14 símbolos para sincronizasión de intercalador en el receptor 300, se emplean para sincronización de símbolos de datos en el cuadro asociado. En esta instancia, los 14 simbolos comprenden un 7PN negativo seguido por un 7PN positivo como se ilustra en la Figura 4. Esto se requiere para alinear la porción de datos codifisada de sada suadro, siempre que haya un sambio de fase en tiempo de muestra signifisante o la fuerza relativa de diferentes sambios de trayestoria de señal y provosa un sarabio en el retardo visto por el reseptor 300. Debe notarse que en este sontexto, una sesuensia PN negativa simplemente es lo opuesta de una sesuencia PN positiva. Por ejemplo, si 2PN se representan por los símbolos (1.414,0; -1.414,0), 2PN negativo correspondiente es la secuensia de símbolos (-1.414,0; 1.414,0). Como tal, el sorrelasionador 710 es similar en función al correlasionador anteriormente dessrito 525, sontador de sonfianza 540, y el dispositivo de desisión 565 excepto que tiene una señal de información adicional - la señal sinc, que establece sinsronizasión de cuadro para el cuadro recibido corriente (como resultado, el correlacionador 710 puede ser un correlacionado binario más simple). Durante un cuadro válido (como se representa por una señal sinc válida), el correlasionador 710 proporsiona señal de sincronización de intercalador 352 para uso subsecuente por el decodifisador para protesción de error 315, se ilustra en la Figura 1, para desintersalar los bloques de simbolos ante detección de dos secuencias 7PN consecutivas del mismo signo. Similarmente, el correlasionador 710 proporsiona una señal de sincronización de símbolos de datos 712 ante detecsión de dos sesuensias 7PN sonsesutivas de signo opuesto o ante detessión de la sesuensia de sincronización de intercalador. La última condisión asegura sinsronizasión de símbolos, incluso durante ese suadro indicando el inicio de un nuevo bloque intercalador. Habrá de notarse que al utilizar dos secuensias 7PN del mismo signo para sincronización de intercalador y dos 7PN del signo opuesto (una positiva y una negativa) para sincronizasión de símbolos, la desodificación del receptor se diseña para ser invariante de rotación de fase. Esto proporciona protecsión adisional a esta información de sello fechador muy importante en caso de un canal severo. El contador - separador 715 responde a la señal de sincronizasión de símbolos de datos 712 y separa la porsión de solo datos del suadro sorriente. La implementasión del sontador - separador intermedia de 715 puede realizarse sualquier santidad de formas. Por ejemplo, somo un separador lineal, o un separador sircular, se realizan adiciones y eliminaciones utilizando un puntero y un contador. Al almacenar los símbolos recibidos sorrientes se sonsidera que el sontador - separador 715 realiza las siguientes funsiones. Primero, el sontador - separador 715 rebana en forma rígida la sorriente de símbolos resibida. (Por simplisidad - la rebanadora - un elemento sonosido en la espesialidad - no se ilustra). La sorriente de símbolos resibida rebanada luego se almacena. Idealmente, el número de símbolos rebanados almacenados deberá ser igual o mayor que el tamaño predefinido de un bloque de datos, es decir 1700 símbolos de datos. Sin embargo, un desplazamiento de sincronizasión ya puede provocar que más o menos símbolos de datos se asocien con el cuadro recibido a corriente. Este desplazamiento de sincronizasión se debe a desalineamiento del transmieor y relojes de transmisor y reseptor y de los aspestos de múltiples trayestorias del propio sanal de somunisasiones. Con respecto a los relojes de transmisor y receptor, la respuesta de impulso del canal estimado anteriormente descrita obtenida del sondeo de canal, tiene información de desplazamiento de fase de sincronizasión entre los relojes de símbolo de transmisor y reseptor. Un compensador espaciado fracsional que utiliza soefisientes derivados de la respuesta de sanal estimada, puede sompensar el desplazamiento de fase de sinsronizasión a una extensión limitada, ya que el compensador puede mantenerse congelado hasta que llegue la siguiente sincronizasión. Si entre los relojes de transmisor y reseptor tienen una diferencia de frecuensia, un desplazamiento de fase de sinsronizasión generalmente se insrementa desde sero a un sierto valor antes de que llegue el nuevo estimado. Es bien sonosido que la sensibilidad a este problema depende del ansho de banda excesivo del filtro de transmisor. Por ejemplo, si un sistema de transmisión utiliza un filtro transmisor de ancho de banda excesivo de cero por ciento ( sen x/x) , un desplazamiento de fase de sincronizasión de suatro por ciento (15°) introducirá un ruido de - 23 dB por debajo de la señal. Cuando este ruido se agrega a la interferencia, provoca una degradación de 0.3 dB a la sensibilidad del receptor. Si a un filtro transmisor con aproximadamente 10 % de ancho de banda excesivo se emplea, esto es comparable con un desplazamiento de fase de sincronizasión de 11 %. La i presisión de reloj de símbolo del reseptor permitido máxima de la siguiente esuación: en donde D es el desplazamiento de sincronizasión para símbolo de reseptor máximo permitido del transmisor y una división por dos se debe a sompensasión media amblar. Para un desplazamiento de sinsronizasión de 11 % de un tamaño de bloque de datos de 1800 símbolos, D = 120 ppm (partes por millón). Siempre que el reloj de símbolo de reseptor está dentro de ± 120 ppm del reloj del símbolo de transmisor, la fase en sinsronizasión no sambiará signifisativamente frente al bloque de datos para provosar una degradasión de desempeño signifisante.
Otro caso que puede provocar eliminación o adición de símbolos es cuando varía un canal de múltiples trayectorias. Por ejemplo, cuando una intensidad relativa de todas las trayectorias cambia, el compensador siempre toma aquella con la potencia más fuerte como la señal principal y produce símbolos recuperados de conformidad. En cualquier caso, el resultado final es que si hay un desplazamiento en tiempo en el alineamiento de símbolos, más o menos símbolos de datos pueden existir en el cuadro recibido corriente. Este desplazamiento en tiempo de símbolo probablemente osurrirá suando se sambien los soefisientes del compensador. Por lo tanto, el contador - separador 715 mide el número de símbolos de datos entre cualesquiera dos dobles secuensias 7PN sonsecutivas, como se representa por la señal de sincronización de símbolo de datos 712. Cuando hay un símbolo adicional, aquella en la mitad del bloque se elimina. Si el número de símbolos es menor que 1700, el símbolo medio se repite. En esta situación, puede ocurrir un error. Mientras que el diseño de formato sencillo puede tomar en cuenta este problema, por simplicidad de implementación esta condición puede ignorarse y por el contrario, el decodifisador Reed-Solomon (no mostrado) son el decodificador para protección de error 315 pueden ser recurridos para sorregir este problema. Esto provosa una menor degradasión en desempeño total del sistema. Debe notarse que ya que se emplea un sompensador de media amblar, se solosan en el separador dos bloques de datos sonsesutivos para realizar este realineamiento de símbolo. Lo anterior simplemente ilustra los prinsipios de la invensión y de esta manera se apresiará que aquellos con destreza en la especialidad serán sapases de diseñar numerosos arreglos alternos que aunque no se dessriben explísitamente aquí, insorporan los prinsipios de la invensión y están dentro de su espíritu y alsance. Por ejemplo, aunque la invención se ilustra aquí como implementada con bloques de construssión funsionales dissretoe, por ejemplo un sodifisador de audio perseptual, elemento de respuesta para asignar sanal, ets. , las funsiones de sualquiera uno o más de esos bloques de sonstrussión pueden llevarse a sabo utilizando uno o más prosesadores programados apropiados, por ejemplo un prosesador de señal digital. Se hace constar que con relación a esta fecha, el mejor método conosido por la solicitante para llevar a la práctica la citada invención, es el que resulta claro de la presente descripsión de la invensión. Habiéndose dessrito la invensión somo antesede, se reslama somo propiedad lo sontenido en las siguientes:

Claims (34)

  1. REIVINDICACIONES 1. Un sistema de transmisión mejorado para generar una señal de cuadro que representa una secuensia de suadros de informasión, sarasterizada la mejora porque comprende: un formador de cuadros para desarrollar la señal en el cuadro de manera tal que cada cuadro comprende una porción de cabezal y una porción que contiene información, en donde la porción de cabezal además incluye una porción de sondeo de canal de sincronizasión de suadro y una porsión de sinsronizasión de datos/intersalador en donde la señalizasión de sincronización de intercalador y la señalización de sincronizasión de datos se intersalan sobre una santidad de suadros.
  2. 2. El aparato de sonformidad son la reivindisasión 1, caracterizado porque el formador de cuadros es un procesador de señal de programa almacenado.
  3. 3. El aparato de conformidad 1, carasterizado porque la porción que contiene información de cada cuadro representa una porción de la señal de audio digital.
  4. 4. El aparato de conformidad con la reivindicasión 1, sarasterizado porque además somprende un mapeador de simbolos que opera de manera tal que (a) bits desde la porsión de datos de sada cuadro se mapean en símbolos que se toman desde una constelación de símbolos de datos, (b) bits de la porción de sondeo de sanal-sincronización de cuadro de cada cuadro, se mapean en símbolos que se toman de una constelación de sondeo y sins de sanal, y (s) bits de la porsión de sinsronizasión de datos/intercalador de cada cuadro se mapean en símbolos que se to an de una constelasión de reloj de símbolos-intersalador.
  5. 5. El aparato de sonformidad son la reivindicación 4, carasterizado porque las sonstelasiones de sondeo y sins de sanal y las sonstelasiones de reloj de símbolo-intersalador somprenden símbolos unidimensionales.
  6. 6. El aparato de sonformidad son la reivindicación 4, carasterizado porque la sonstelasión de símbolos de datos somprende N-símbolos dimensionales, en donde N > 0.
  7. 7. El aparato de sonformidad son la reivindisasión 1, sarasterizado porque además somprende un mapeador de símbolos que opera de manera tal que (a) bits de la porsión que sontiene información de cada cuadro se mapean en símbolos que se toman de una primer porción de una constelasión de símbolos, (b) bits de la porsión de sondeo de sanal de sinsronizasión de suadros de sada suadro se mapean en símbolos que se toman de una segunda porsión de la sonstelación de símbolos, y (c) bits de la porción de sincronización de datos/intercalador de cada cuadro se mapean en símbolos que se toman de una tercer porción de la constelación de símbolos.
  8. 8. El aparato de conformidad con la reivindicasión 7, sarasterizado porque la primera, segunda y tercer porciones de la constelasión no tienen simbolos en somún.
  9. 9. El aparato de sonformidad son la reivindisasión 7, sarasterizado porque los símbolos que se toman de la segunda porción y los símbolos que se toman de la tercer porción son símbolos unidimensionales.
  10. 10. El aparato de conformidad con la reivindicasión 7, caracterizado porque los simbolos que se toman de la primer porción son N-dimensionales en donde N > 0.
  11. 11. El aparato de sonformidad con la reivindicación I, carasterizado porque el intersalado de la señalizasión de sinsronización del intercalador y la señalización de sincronización de datos es tal que sobre una secuensia de suadros M, la porsión de sinsronizasión de datos/intersalador representa señalizasión de sinsronizasión de intersalador en Y de suadros y representa señalizasión de sinsronización de datos en cuando menos X cuadros, en donde X + Y = M.
  12. 12. El aparato de conformidad con la reivindicasión II, caracterizado porque X > Y.
  13. 13. El aparato de conformidad con la reivindicasión 1, sarasterizado porque la porción de sondeo de canal de sincronizasión de suadro representa una primer pluralidad de grupos de sesuencias de números pseudo-aleatorios y la porción de sincronización de datos/intercalador representa una segunda pluralidad de grupos de secuensias de números pseudoaleatorios.
  14. 14. El aparato de conformidad con la reivindicasión 13, saracterizado porque la primer pluralidad de grupos secuensias de números pseudo-aleatorios (PN) insluye, aunque no está limitada a un 31PN seguido por un 31PN seguido por 24PN.
  15. 15. El aparato de sonformidad con la reivindicasión 14, sarasterizado porque la segunda pluralidad de grupos de sesuensias de números pseudo-aleatorios (PN) , insluye pero no está limitada a, 7PN seguido por 7PN del mismo signo suando representa señalizasión de sinsronizasión de intersalador y un 7PN seguido por 7PN de signo opuesto suando representa señalizasión de sincronización de datos.
  16. 16. El aparato de conformidad con la reivindicación 1, caracterizado porque además comprende cirsuitos de transmisión para desarrollar una señal de transmisión a partir de la señal encuadrada para transmisión sobre un canal de comunicaciones .
  17. 17. El aparato de conformidad con la reivindicasión 16, caracterizado porque el canal de comunisasiones es un sanal de somunisasiones móvil.
  18. 18. Un método para emplear en un transmisor, el método se sarasteriza porque comprende las etapas de: codificación fuente de una señal fuente, para proporcionar una señal de información; encuadrar la señal de información en una señal de cuadro que representa una secuencia de cuadros, cada cuadro ocurre en un período de tiempo Tt y comprende una porción de sabezal y una porsión de datos que representa una porsión respestiva de la señal de informasión, en donde la porsión de sabezal osurre en un período de tiempo ? y la porsión de datos osurre en un período de tiempo T? , en donde Tb < Td y T? + t¿ -Tt , y en donde la porsión de cabezal además comprende una porción de sondeo de canal-sinsronizasión de suadro y una porsión de sinsronizasión de datos/intersalador que intersala señalización de sincronizasión de intersalador y señalizasión de sinsronizasión de datos.
  19. 19. El método de sonformidad son la reivindisasión 18, sarasterizado porque la señal fuente es una señal de audio analógisa y la señal de información representa una señal de audio digital.
  20. 20. El método de conformidad con la reivindicasión 18, caracterizado porque además incluye una etapa de mapear para proporcionar una señal codificada en símbolo, de manera tal que (a) bits de la porción de datos de cada cuadro se mapean en simbolos que se toman de una constelasión de símbolos de datos, (b) bits de la porsión de sondeo de sanal-sinsronización de cuadros de cada cuadro se mapean en símbolos que se toman de una constelasión de sondeo y sins de sanal, y (c) bits de la porción de sincronizasión de datos/intersalador de sada suadro se mapean en símbolos que se toman de una sonstelasión de reloj de símbolo-intersalador.
  21. 21. El método de sonformidad con la reivindicasión 20, saracterizado porque las constelaciones de sondeo y sinc de canal y las constelaciones de reloj de símbolo-intercalador somprenden simbolos unidimensionales.
  22. 22. El método de conformidad con la reivindicasión 20, saracterizado porque la constelasión de símbolo de datos comprende N-simbolos dimensionales en donde N > 0.
  23. 23. El método de conformidad con la reivindicasión 18, sarasterizado porque además insluye una etapa de mapear para proporsionar una señal sodifisada en símbolo de manera tal que (a) bits desde la porsión de datos de sada cuadro se mapean en símbolos que se toman de una primer porción de una constelación de símbolo, (b) bits de la porción de sondeo de canal-sincronización de cuadro de cada cuadro se mapean en símbolos que se toman desde una segunda porción de la constelación de símbolos, y (c) bits de la porsión de sinsronizasión de datos/intersalador de sada suadro se mapean en simbolos que se toman de una terser porsión de la sonstelasión de simbolos.
  24. 24. El método de conformidad con la reivindicasión 23, sarasterizado porque la primer, segunda y terser posiones de la sonstelasión no tienen símbolos en somún.
  25. 25. El método de sonformidad son la reivindisación 23, carasterizado porque los símbolos que se toman de la segunda porsión y los símbolos que se toman de la terser porsión son símbolos unidimensionales.
  26. 26. El método de conformidad con la reivindicasión 23, saracterizado porque los símbolos que se toman de la primer porsión son N-dimensionales en donde N > 0.
  27. 27. El método de sonformidad son la reivindisasión 18, saracterizado porque la etapa de formación de cuadro opera de manera tal que en una secuencia de cuadros M, la porción de sincronización de datos/intercalador representa señalización de sincronización de intercalador en Y cuadros y representa señalización de sincronización de datos en cuando al menos X cuadros en donde X + Y - M.
  28. 28. El método de conformidad con la reivindicación 27, carasterizado porque X > Y .
  29. 29. El método de sonformidad son la reivindisasión 18, sarasterizado porque la porsión de sondeo de sanal-sinsronizasión de suadro representa una primer pluralidad de grupos de secuencias de números pseudo-aleatorios y la porción de sincronizasión de datos/intersalador representa una segunda pluralidad de grupos de sesuensias de números pseudoaleatorios.
  30. 30. El método de conformidad con la reivindicación 29, carasterizado porque la primer pluralidad de grupos de sesuensias de números pseudo-aleatorios (PN) insluye pero no está limitada a 31PN seguido por 31PN seguido por 24PN.
  31. 31. El método de sonformidad son la reivindisasión 29, sarasterizado porque la segunda pluralidad de grupos de sesuencias de números pseudo-aleatorios (PN) incluye pero no está limitada a 7PN seguido por 7PN del mismo signo cuando representa señalización de sincronizasión de intersalador y un 7PN seguido por 7PN de signo opuesto suando representa señalizasión de sinsronizasión de datos.
  32. 32. El método de sonformidad son la reivindisasión 18, caracterizado porque además comprende la etapa de desarrollar una señal de transmisión desde la señal en cuadro para transmisión sobre un canal de comunicasiones.
  33. 33. El método de conformidad con la reivindicación 32, caracterizado porque rh se elige como una función de una cantidad de tiempo requerida en un receptor correspondiente para desarrollar una carasterlstica del canal de comunisaciones desde la porsión de sondeo de canal-sincronizasión de cuadro de cada cabezal.
  34. 34. El método de conformidad con la reivindicación 32, carasterizado porque el sanal de comunicaciones es un canal de comunicaciones móvil.
MXPA/A/1997/002409A 1996-04-04 1997-04-02 Sistema de transmision para difusion de audio digital MXPA97002409A (es)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US08628119 1996-04-04
US08/628,119 US5751774A (en) 1996-04-04 1996-04-04 Transmission system for digital audio broadcasting

Publications (2)

Publication Number Publication Date
MX9702409A MX9702409A (es) 1998-03-31
MXPA97002409A true MXPA97002409A (es) 1998-10-15

Family

ID=

Similar Documents

Publication Publication Date Title
CA2199761C (en) Developing a channel impulse response by using distortion
US5748686A (en) System and method producing improved frame synchronization in a digital communication system
CA2199760C (en) A transmission system for digital audio broadcasting
US5802079A (en) Transmission system for digital audio broadcasting
CA2199762C (en) A transmission system for digital audio broadcasting using pseudo-random number sequences in frame headers
US5828954A (en) Transmission system for digital audio broadcasting
US6501810B1 (en) Fast frame synchronization
US5956624A (en) Method and system for simultaneously broadcasting and receiving digital and analog signals
US5825807A (en) System and method for multiplexing a spread spectrum communication system
CN1293714C (zh) 正交频分多路复用系统中的本机振荡器频率校正
US6711221B1 (en) Sampling offset correction in an orthogonal frequency division multiplexing system
US7180963B2 (en) Digital receiver capable of processing modulated signals at various data rates
US5444697A (en) Method and apparatus for frame synchronization in mobile OFDM data communication
EP0453213B1 (en) Reducing interference in R.F. signals
MX2014005446A (es) Diversidad de antena mrc para señales digitales fm iboc.
US6061406A (en) Multichannel time shared demodulator and method
JP2003518826A (ja) 直交周波数分割多重化システムにおけるサンプリング周波数オフセットの補正
RU77740U1 (ru) Система цифровой закрытой мобильной радиосвязи, теле- и радиовещания на основе cofdm
US7539167B2 (en) Spread spectrum receiver and method for carrier frequency offset compensation in such a spread spectrum receiver
MXPA97002409A (es) Sistema de transmision para difusion de audio digital
MXPA97002410A (es) Sistema de transmision para difusion de audio digital
MXPA97002407A (es) Sistema de transmision para difusion de audio digital
KR100479479B1 (ko) 수신기의 심볼 타이밍 복원 장치
WO1999063684A1 (en) Adaptive ingress filtering system