KR980010306A - DUAL VERTICAL THERMAL PROCESSING FURNACE - Google Patents

DUAL VERTICAL THERMAL PROCESSING FURNACE Download PDF

Info

Publication number
KR980010306A
KR980010306A KR1019970032040A KR19970032040A KR980010306A KR 980010306 A KR980010306 A KR 980010306A KR 1019970032040 A KR1019970032040 A KR 1019970032040A KR 19970032040 A KR19970032040 A KR 19970032040A KR 980010306 A KR980010306 A KR 980010306A
Authority
KR
South Korea
Prior art keywords
wafer
heating
furnace
vertical
support
Prior art date
Application number
KR1019970032040A
Other languages
Korean (ko)
Other versions
KR100350612B1 (en
Inventor
에스. 리 청신
Original Assignee
레슬리 제이 카스퍼
이턴 코오포레이숀
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 레슬리 제이 카스퍼, 이턴 코오포레이숀 filed Critical 레슬리 제이 카스퍼
Publication of KR980010306A publication Critical patent/KR980010306A/en
Application granted granted Critical
Publication of KR100350612B1 publication Critical patent/KR100350612B1/en

Links

Landscapes

  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

본 발명은 각각이 반도체웨이퍼(W)를 열처리하기 위한 가열챔버(46)를 가지는 제1 (12) 및 제2 (14)수직형로를 가지는 단일의 하우징(16)을 포함하는 수직형 반도체웨이퍼 처리로(10)에 관한 것이다. 제1 및 제2수직로는 서로에 대해 비대칭적으로 배치되어 처리로의 전체 족적을 감소시킨다. 각 수직로는 선택된 수의 반도체웨이퍼(W)를 축방향으로 재치하기 위한, 웨이퍼보트(40), 보트승강기(58), 모터 (64) 및 안내봉(60)과 같은 지지구조물을 포함하는 웨이퍼지지조립체 (54)를 포함한다. 이송장치는 처리튜브의 내외측으로 수직축을 따라 지지구조물중 하나를 선택적으로 이동시키고, 그리고 웨이퍼이송장치 (36)는 지지장치중 하나로 또는 하나로부터 반도체웨이퍼를 선택적으로 이동시킨다. 로는 또한 지지구조물을 감싸는 분위기 유동환경을 제어하기에 적합하고 또한 지지구조물에 대해 독립적으로 이동될 수 있는 가열슬리브(100) 또는 엔벨로프를 포함한다. 가열 슬리브는 로드록 처리조립체(108)를 형성하는 유동밀폐를 생성시키기 위하여 지지장치의 부분(54B)과 밀봉연결되기에 적합하다. 이 조립체는 수직로의 가열챔버(46)내로 처리저립체를 선택적으로, 수직으로 이동시키는 수직 이송조립체에 연결된다. 부가적으로, 가열슬리브(100)는 지지구조물(40)에 대해 수직으로 이동되어 가열슬리브를 지지구조물에 대해 반복적으로, 쉽게 그리고 자동적으로 연결 및 분리시킬 수 있다.The present invention relates to a vertical semiconductor wafer comprising a single housing (16) each having a first (12) and a second (14) vertical furnace having a heating chamber (46) for heat treating the semiconductor wafer (W). The processing furnace 10 is related. The first and second vertical furnaces are arranged asymmetrically with respect to each other to reduce the overall footprint of the treatment furnace. Each vertical path includes a wafer including support structures such as wafer boat 40, boat lift 58, motor 64 and guide rod 60 for axially placing a selected number of semiconductor wafers W. Support assembly 54. The transfer device selectively moves one of the support structures along the vertical axis to the inside and outside of the processing tube, and the wafer transfer device 36 selectively moves the semiconductor wafer to or from one of the support devices. The furnace also includes a heating sleeve 100 or envelope that is suitable for controlling the ambient flow environment surrounding the support structure and that can be moved independently of the support structure. The heating sleeve is suitable for sealingly connecting with the portion 54B of the support device to create a flow seal forming the loadlock processing assembly 108. The assembly is connected to a vertical transfer assembly that selectively and vertically moves the processing assembly into a vertical heating chamber 46. Additionally, the heating sleeve 100 can be moved vertically with respect to the support structure 40 to connect and disconnect the heating sleeve repeatedly, easily and automatically with respect to the support structure.

Description

이중 수직형 열처리로(DUAL VERTICAL THERMAL PROCESSING FURNACE)DUAL VERTICAL THERMAL PROCESSING FURNACE

본 발명은 반도체 웨이퍼처리를 위한 열처리로에 관한 것으로서, 특히 수직형 열처리로에 관한 것이다.The present invention relates to a heat treatment furnace for semiconductor wafer processing, and more particularly, to a vertical heat treatment furnace.

확산로로 알려진 열처리로는 광범위하게 공지되어 있고 그리고 어닐링, 확산, 산화 및 화학기상증착을 포함하는 다양한 반도체제조공정을 수행하기 위하여 오래 전부터 사용되어 왔다. 따라서, 이들 공정들은 최종 생산물의 품질과 균일성에 대한 공정변수의 영향에 관해 특히 잘 이해되어 왔다. 열처리로는 전형적으로 수직형 또는 수평형로이다. 몇몇 응용에 있어서, 수직형로가 바람직한데, 이는 수직형로가 사용중에 입자를 덜 발생시켜 오염의 발생률과 웨이퍼낭비를 감소시키고, 쉽게 자동화가 이루어질 수 있으며, 또한 상대적으로 작은 족적으로 인해 보다 적은 바닥면적을 필요로 하기 때문이다.Heat treatment furnaces, known as diffusion furnaces, are widely known and have long been used to perform various semiconductor fabrication processes including annealing, diffusion, oxidation and chemical vapor deposition. Thus, these processes have been particularly well understood for the effect of process variables on the quality and uniformity of the final product. The heat treatment furnace is typically a vertical or horizontal furnace. For some applications, a vertical furnace is preferred, which produces less particles during use, reducing the incidence of contamination and wafer waste, and can be easily automated, and also because of the relatively small footprint This is because it requires a floor area.

이들 두 유형의 확산로들은 1마이크론 보다 작은 선폭을 유지하면서 소망된 깊이로 도펀트의 확산을 촉진시키거나, 또는 공지된 바와 같이 웨이퍼에 화학적인 증착층의 침적 또는 웨이퍼에 산화층의 형성과 다른 통상적인 공정기술을 수행하기 위하여 소망된 온도로 반도체웨이퍼를 가열시키도록 설계된다. 공정동안 웨이퍼를 가열시키는 필요성은 잘 공지되어 있고 또한 잘 이해되어 있기 때문에, 이들은 상세히 감시된다.These two types of diffusion furnaces facilitate the diffusion of dopants to a desired depth while maintaining a line width of less than 1 micron, or as known, other than the deposition of a chemical deposition layer on a wafer or the formation of an oxide layer on a wafer. It is designed to heat the semiconductor wafer to the desired temperature in order to carry out the process technology. Since the need to heat the wafer during the process is well known and well understood, they are monitored in detail.

통상적인 수직형 열처리로는 로내에 프로세스튜브를 수직위치로 지지하도록 설계된다. 열처리로는 또한 전형적으로, 프로세스튜브내로 또한 프로세스튜브 밖으로 웨이퍼를 이동시키기 위한 적절한 이송기계장치에 설치되는 웨이퍼보트 조립체를 사용한다. 전형적으로 100장의 웨이퍼를 재치하는 웨이퍼 카세트에서 웨이퍼보트 조립체로 반도체웨이퍼를 이송시키기 위하여 웨이퍼조종 조립체가 웨이퍼보트 조립체에 인접하여 평행하게 배치된다. 웨이퍼조종 조립체는 전형적으로 프로세스튜브를 하징하는 챔버로부터 분리된 로드록(loadlock)챔버내에 위치되고, 이의 환경은 적절한 감시구조에 의해 상세히 제어된다. 웨이퍼조종 조립체가 착설되어 있는 로드록은 웨이퍼조종 조립체 로드록과 선택적으로 연통하는 하나 또는 그 이상의 로드록에 웨이퍼카세트를 설치함으로써 웨이퍼카세트와 분리될 수 있다. 따라서, 로드록의 직렬연결은 가열동안 웨이퍼에 형성되는 오염의 발생을 감소시키거나 또는 제거하기 위하여 공정동안 필요한 엄밀한 환경제어를 할 수 있게 한다. 로드록은 웨이퍼카세트에서 웨이퍼보트로 또는 웨이퍼보트에서 웨이퍼카세트로 웨이퍼의 이송을 허용하기 위하여 선택적으로 개방 및 폐쇄되는 게이트밸브에 의해 서로 분리된다.Conventional vertical heat treatment furnaces are designed to support the process tubes in a vertical position in the furnace. The heat treatment furnace also typically uses a waferboat assembly that is installed in a suitable transfer mechanism for moving the wafer into and out of the process tube. Wafer steer assemblies are typically disposed adjacent and parallel to the wafer boat assembly to transfer the semiconductor wafer from the wafer cassette, which typically houses 100 wafers, to the wafer boat assembly. The wafer steer assembly is typically located in a loadlock chamber separate from the chamber housing the process tube, the environment of which is controlled in detail by appropriate monitoring structures. The load lock on which the wafer steer assembly is mounted can be separated from the wafer cassette by installing the wafer cassette in one or more load locks in selective communication with the wafer steer assembly load lock. Thus, the series connection of the loadlocks allows the tight environmental control needed during the process to reduce or eliminate the generation of contamination formed on the wafer during heating. The load locks are separated from each other by gate valves that are selectively opened and closed to allow transfer of wafers from the wafer cassette to the wafer boat or from the wafer boat to the wafer cassette.

실제로, 웨이퍼보트가 설치되어 있는 로드록챔버내에 진공이 형성된 후에, 질소와 같은 주입개스가 도입되어 로드록챔버를 채우고 공기가 로드록챔버로부터 제거된다. 로드록챔버로부터 공기를 제거하는 것은 반도체웨이퍼 표면에 자연산화막이 형성되는 것을 방지한다. 상기에서 기술된 바와 같이, 로드록챔버는 반도체웨이퍼카세트와 웨이퍼조종 조립체를 재치하는 다른 직렬로드록에 연결되기 때문에, 따라서 질소분위기에서 웨이퍼를 로드 및 언로딩할 수 있다. 그런 다음, 웨이퍼보트에 웨이퍼조종 조립체를 통해 웨이퍼카세트로부터 웨이퍼가 로드되어 웨이퍼배치(wafer batch)를 형성한다. 그런 다음, 웨이퍼배치는 로내에 배치되고, 여기서 상승된 온도에서 사용자선택 공정이 이루어진다. 이들 유형의 통상적인 수직로는 퓨즈(Fuse)외의 미국특허 제5,217,501와 카키자키(Kakizaki)외의 미국특허 제5,387,265호에 도시되어 있고 설명되어 있다.In fact, after a vacuum is formed in the load lock chamber in which the wafer boat is installed, an injection gas such as nitrogen is introduced to fill the load lock chamber and air is removed from the load lock chamber. Removing air from the load lock chamber prevents formation of a native oxide film on the surface of the semiconductor wafer. As described above, since the load lock chamber is connected to the semiconductor wafer cassette and another series load lock on which the wafer steering assembly is placed, it is therefore possible to load and unload the wafer in a nitrogen atmosphere. The wafer is then loaded from the wafer cassette through the wafer steer assembly into the wafer boat to form a wafer batch. The wafer batch is then placed in a furnace where a user select process occurs at elevated temperatures. Typical vertical furnaces of these types are shown and described in US Pat. No. 5,217,501 to Fuses and US Pat. No. 5,387,265 to Kakizaki.

선행기술 로는 약 150 내지 200장의 웨이퍼를 가지는 웨이퍼배치가 배치되는, 프로세스튜브내에서 약 20인치와 30인치 사이를 연장하는 등온영역을 만든다.The prior art creates an isothermal region extending between about 20 inches and 30 inches in a process tube in which a wafer batch with about 150 to 200 wafers is placed.

이 웨이퍼배치와 관련된 비교적 큰 열용량 때문에, 램프업 (ramp-up) 비율은 예컨대 약 5℃/분(min) 내지 약 10℃/분 정도로 비교적 작고 그리고 램프다운 비율 또한 약 2.5℃/분 내지 약5℃/분 정도로 비교적 작다. 이는 한 배치당 상대적으로 긴 열적주기가 되므로, 따라서 웨이퍼당 비교적 큰 열적 용량이 된다.Because of the relatively large heat capacity associated with this wafer arrangement, the ramp-up ratio is relatively small, for example from about 5 ° C./min to about 10 ° C./min and the ramp down ratio is also from about 2.5 ° C./min to about 5 It is relatively small at about ℃ / min. This results in a relatively long thermal cycle per batch, thus a relatively large thermal capacity per wafer.

이들 통상적인 수직형 열처리로의 다른 단점은, 한 배치당 싸이클시간이 증가되기 때문에 상대적으로 낮은 작업처리량이 이루어지게 된다는 것이다. 따라서, 이들 통상적인 시스템들은 오늘날 반도체웨이퍼에 대해 증가하는 요구를 충족시키기에 적합하지 않다.Another disadvantage of these conventional vertical heat treatment furnaces is that relatively low throughput is achieved because the cycle time per batch is increased. Thus, these conventional systems are not suitable to meet the increasing demands on today's semiconductor wafers.

이들 로의 또 다른 단점은, 웨이퍼보트로 또는 웨이퍼보트로부터 웨이퍼의 이송을 위한 공기가 없는 환경을 형성하는 프레스테이징 (prestaging)로드록 조립체를 사용한다는 것이다. 이들 공기가 없는 상태를 이루기 위하여 로드록의 공기를 배출하여 제거하는데 걸리는 시간은 한 배치당 전체 처리시간을 증가시켜, 따라서 로시스템의 작업처리량을 감소시킨다.Another disadvantage of these furnaces is the use of a prestaging loadlock assembly that creates an air free environment for the transfer of wafers to or from the waferboat. The time taken to evacuate and remove air from the loadlock to achieve these airless conditions increases the overall processing time per batch, thus reducing the throughput of the furnace system.

이들 로시스템의 또 다른 단점은, 이들 로들이 비교적 큰 족적을 가져 클린룸에서 비교적 큰 바닥면적을 차지하게 되어, 값비싼 클린룸의 비효율적인 사용이 이루어지게 된다는 것이다.Another disadvantage of these furnace systems is that these furnaces have a relatively large footprint and occupy a relatively large floor area in the clean room, resulting in inefficient use of the expensive clean room.

선행기술 수직형 열처리로의 상기 및 다른 단점들 때문에, 본 발명의 목적은 비교적 높은 작업처리량을 제공하는 수직형 로를 제공하는 것이다.Because of the above and other disadvantages of the prior art vertical heat treatment furnaces, it is an object of the present invention to provide a vertical furnace which provides a relatively high throughput.

본 발명의 다른 목적은 개선된 램프업 및 램프다운 비율을 이루는 로를 제공하는 것이다.Another object of the present invention is to provide a furnace with improved ramp up and ramp down ratios.

본 발명의 또 다른 목적은 손쉽게 자동화가 이루어질 수 있고 또한 비교적 작은 바닥면적을 차지하는 열처리로를 제공하는 것이다.Another object of the present invention is to provide a heat treatment furnace which can be easily automated and occupies a relatively small floor area.

본 발명의 다른 일반적인 목적들은 다음에 오는 상세한 설명과 첨부도면으로부터 부분적으로 명확해지게 된다.Other general objects of the present invention will become apparent in part from the following detailed description and the accompanying drawings.

본 발명은 각각이 열처리 반도체웨이퍼용 가열챔버를 가지는 제1 및 제2수직로를 가지는 단일 하우징을 포함하는 수직형 반도체웨이퍼 처리로를 제공한다. 각 수직형 로는 선택된 수의 반도체웨이퍼를 축방향으로 재치하기 위한, 웨이퍼보트, 보트상승기, 모터 및 안내봉과 같은 지지구조물을 포함하는 반도체 지지조립체를 포함한다.The present invention provides a vertical semiconductor wafer processing furnace comprising a single housing each having a first and a second vertical path having heating chambers for heat treatment semiconductor wafers. Each vertical furnace includes a semiconductor support assembly that includes support structures such as wafer boats, boat lifters, motors, and guide rods for axially placing a selected number of semiconductor wafers.

본 발명의 한 특징에 따라서, 이송요소는 수직축을 따라 처리튜브 내외측으로 지지요소중 하나를 선택적으로 이동시키고, 그리고 웨이퍼이송요소는 지지요소로부터 또는 지지요소로 반도체웨이퍼를 선택적으로 이송시킨다. 한 바람직한 실시에 따라, 각 세로축을 따라 가열챔버내로 선택적으로 지지요소를 이동시키기 위하여 각 웨이퍼지지조립체와 결합된 이송요소가 있다.According to one aspect of the invention, the transfer element selectively moves one of the support elements in and out of the processing tube along the vertical axis, and the wafer transfer element selectively transfers the semiconductor wafer from or to the support element. According to one preferred embodiment there is a conveying element associated with each wafer support assembly to selectively move the support element into the heating chamber along each longitudinal axis.

본 발명의 다른 특징에 따라, 로는 위치신호를 생성시키기 위한 예컨대 사용자가 생성시킨 제어신호인 제어신호에 감응하고 그리고 위치신호에 응해 축을 따라 지지구조물중 하나의 이동을 조절, 예컨대 개시, 수정 또는 중단시키기 위해 위치신호를 이송요소에 전달하기 위한, 제어요소를 포함한다. 따라서, 제어요소는 각각 지지구조물의 이동속도를 독립적으로 또는 동시에 제어한다. 한 실시에 따라, 제어요소는 위치신호를 생성하는 호스트컴퓨터와 협력하여 지지구조물을 작동시키는 작동제어기를 포함한다. 제어요소에 인가된 제어신호는 선택된 온도램프업비율과 선택된 온도 램프다운비율중 적어도 하나를 나타낼 수 있다.According to another feature of the invention, the furnace is in response to a control signal, eg a user-generated control signal for generating a position signal, and adjusts the movement of one of the support structures along the axis in response to the position signal, e. And a control element for transmitting the position signal to the conveying element for the purpose. Thus, the control elements respectively control the speed of movement of the support structure independently or simultaneously. According to one embodiment, the control element comprises an operation controller for operating the support structure in cooperation with a host computer generating a position signal. The control signal applied to the control element may indicate at least one of the selected temperature ramp up ratio and the selected temperature ramp down ratio.

본 발명의 또 다른 특징에 따라, 이송요소는 제1 및 제2지지요소중 적어도 하나의 이동속도를 나타내는 이동신호를 생성시킬 수 있고, 그리고 제어신호는 이 이동신호에 감응하여 세로축을 따라 지지요소중 하나의 이동속도를 제어한다.According to another feature of the invention, the conveying element is capable of generating a movement signal indicative of the movement speed of at least one of the first and second support elements, and the control signal in response to the movement signal along the longitudinal axis. Control the movement speed of either.

본 발명의 또 다른 특징에 따라, 각 수직로, 예컨대 제1 및 제2수직로는 열을 각 대응하는 처리챔버로 전달하는 열생성요소를 포함한다. 제어요소는 각 열생성요소의 열에너지출력을 조절함으로써 제1 및 제2처리챔버의 온도를 독립적으로 또는 동시에 제어할 수 있다. 한 실시에 따라, 제어요소는 실질적인 등온환경을 형성시키도록 챔버의 적어도 일부분을 따라 실질적으로 균일한 온도를 얻기 위하여 열생성요소를 조절할 수 있다.In accordance with another feature of the invention, each vertical furnace, such as the first and second vertical furnaces, comprises a heat generating element for transferring heat to each corresponding processing chamber. The control element can independently or simultaneously control the temperatures of the first and second processing chambers by adjusting the thermal energy output of each heat generating element. In one embodiment, the control element may adjust the heat generating element to obtain a substantially uniform temperature along at least a portion of the chamber to create a substantially isothermal environment.

본 발명의 다른 특징에 따라, 로는 예컨대 웨이퍼보트와 같은 지지구조물을 둘러싸는 분위기 유동환경을 제어하기에 적합한 가열슬리브 또는 엔벨로프르 포함한다. 가열슬리브는 로드록 처리조립체를 형성하는 유동밀봉을 생성시키기 위해 지지요소의 일부에 밀봉되게 결합되기에 적합한 플랜지형 하부를 포함한다. 이 조립체는 수직형 로의 가열챔버내로 처리조립체를 선택적으로 수직으로 이동시키는 수직이송조립체에 연결된다. 바람직한 실시예에 따라, 가열슬리브는 각 수직형 로와 결합된다. 부가적으로, 가열슬리브는 지지구조물에 대해 가열슬리브를 반복적으로 또한 쉽게 또한 자동적으로 결합 및 분리를 시키기 위하여 지지구조물에 대해 수직으로 이동될 수 있다.According to another feature of the invention, the furnace comprises a heating sleeve or envelope suitable for controlling the atmospheric flow environment surrounding the support structure, for example a wafer boat. The heating sleeve includes a flanged bottom adapted to be hermetically coupled to a portion of the support element to create a flow seal that forms a loadlock processing assembly. The assembly is connected to a vertical transfer assembly that selectively moves the processing assembly vertically into the heating chamber of the vertical furnace. According to a preferred embodiment, the heating sleeve is associated with each vertical furnace. In addition, the heating sleeve may be moved perpendicular to the support structure in order to engage and disengage the heating sleeve repeatedly and easily and automatically with respect to the support structure.

가열슬리브가 지지구조물 위에 설치되어 지지구조물의 하부와 밀봉되게 연결되면, 조립체는 로드록 처리챔버를 형성하고, 이 안에서 선택된 처리기술이 수행될 수 있다. 이 챔버는 챔버로 하나 또는 그 이상의 선택된 가스를 도입하고 또한 하나 또는 그 이상의 가스를 배출하기 위해 적절한 유동분기관에 결합될 수 있다.When the heating sleeve is installed on the support structure and sealingly connected with the lower portion of the support structure, the assembly forms a loadlock processing chamber in which the selected treatment technique can be performed. The chamber may be coupled to a suitable flow branch to introduce one or more selected gases into the chamber and also to discharge one or more gases.

단일 열처리로에서 이중 가열엔벨로프와 로의 용은 로가 두 개의 독립적인 처리기술을 독립적으로 사용할 수 있게하여, 로의 사용 유연성을 증가시킨다. 로는 또한 향상된 램프업 및 램프다운 비율을 달성하여 웨이펍배치를 처리하기 위해 필요한 총 시간을 감소시켜, 따라서 전체 로의 작업산출량을 증가시킨다. 이는 증가된 웨이퍼피치를 가지고 또한 보다 작은 배치크기를 처리하는 웨이퍼보트를 채용하고 또한 작은 직경을 가지는 단일 하우징내에 이중 로를 패키징함으로써 이루어진다.The dual heating envelope and furnace use in a single heat treatment furnace allows the furnace to use two independent treatment technologies independently, increasing the flexibility of the furnace use. The furnace also achieves improved ramp up and ramp down rates, reducing the total time needed to process the waypub batches, thus increasing the overall output of the furnace. This is accomplished by employing waferboats with increased wafer pitch and also processing smaller batch sizes and by packaging double furnaces in a single housing having a smaller diameter.

본 발명의 다른 일반적인 목적들은 아래의 상세한 설명과 첨부도면으로부터 부분적으로 명확히 알 수 있게 된다.Other general objects of the present invention will become apparent in part from the following detailed description and the accompanying drawings.

본 발명의 상기 및 다른 목적, 특징 및 장점들은 첨부도면과 다음의 상세한 설명으로부터 명백해지고, 그리고 도면 전체를 통해 동일한 참조부호들은 동일한 부분을 나타낸다. 도면은 본 발명의 원리를 설명하고, 실제 크기가 아닌 상대크기를 보여준다.The above and other objects, features and advantages of the present invention will become apparent from the accompanying drawings and the following detailed description, and like reference numerals refer to like parts throughout. The figures illustrate the principles of the present invention and show relative sizes rather than actual sizes.

제1도는 본 발명에 따른 열처리로의 평면도.1 is a plan view of a heat treatment furnace according to the present invention.

제2도는 제1도의 열처리로의 내부를 보여주는 부분단면 사시도.2 is a partial cross-sectional perspective view showing the interior of the heat treatment furnace of FIG.

제3도는 제1도의 열처리로내에 하우징된 웨이퍼이송 조립체의 상면과 본 발명의 기술에 따른 비대칭적인 로배열을 보여주는 도면.3 shows a top view of a wafer transfer assembly housed in the heat treatment furnace of FIG. 1 and an asymmetric furnace arrangement in accordance with the techniques of the present invention.

도1은 본 발명의 한 특징에 따른 이중 수직형 로(12, 14)를 부분적으로 잘라 보여주는 본 발명의 수직형 열처리로(10)의 사시도이다. 열처리로(10)는 아담하고 그리고 상대적으로 작은 족적을 가지도록 설계되었다. 예컨대, 본 발명의 한 실시예에 따라, 로는 40인치의 폭과 65인치의 깊이와 그리고 96.5인치의 높이를 가질 수 있다. 로는 전형적으로, 어닐링, 확산, 산화 및 저압 및 고압화학기상증착을 포함하는 다양한 반도체 제조공정을 수행하기 위해 사용된다.1 is a perspective view of a vertical heat treatment furnace 10 of the present invention partially showing a dual vertical furnace 12, 14 in accordance with one feature of the present invention. The heat treatment furnace 10 is designed to have a small and relatively small footprint. For example, in accordance with one embodiment of the present invention, the furnace may have a width of 40 inches, a depth of 65 inches, and a height of 96.5 inches. Furnaces are typically used to perform various semiconductor manufacturing processes including annealing, diffusion, oxidation and low pressure and high pressure chemical vapor deposition.

설명된 열처리로(10)는 한 쌍의 수직로(12, 14)를 봉하는 주 외측하우징(16)을 포함한다. 하우징(16)은 웨이퍼저장 구획실을 포함하는 다수의 측면(16A)와 전면(16B)을 포함한다. 선택된 수의 웨이퍼를 재치하는 여덟 개의 웨이퍼카세트를 지지하는 한 쌍의 수직으로 이격된 캐러셀(회전식 원형 컨베이어)(28, 26)이 구획실(20)내에 설치된다. 이들 웨이퍼들은 처리되지 않았거나 또는 처리된 웨이퍼일 수 있다. 도어패널(22)은 구획실(20)을 밀폐하고 또한 덮는다. 도시된 바와 같이, 모니터(30)가 하우징(16)의 전면(16B)에 설치되어, 조작자가 온도, 처리시간, 가스의 유형 및 다른 선택된 처리제어변수와 같은 선택된 처리제어변수를 감시할 수 있게 한다.The heat treatment furnace 10 described includes a main outer housing 16 that seals a pair of vertical furnaces 12, 14. The housing 16 includes a plurality of side surfaces 16A and a front surface 16B including a wafer storage compartment. A pair of vertically spaced carousels (rotary round conveyors) 28 and 26 supporting eight wafer cassettes in which a selected number of wafers are placed is installed in the compartment 20. These wafers may be unprocessed or processed wafers. The door panel 22 seals and covers the compartment 20. As shown, a monitor 30 is installed on the front face 16B of the housing 16 to allow the operator to monitor selected process control variables such as temperature, process time, type of gas and other selected process control variables. do.

도3을 참조하여 보면, 웨이퍼카세트(24)는 캐러셀(28)에 설치된다. 기계적인 이송아암(36A)을 가지는 세-축 웨이퍼이송 조립체(36)는 선택된 카세트(24)에서 각 수직로(12, 14)에 설치된 웨이퍼보트 (40)중 하나로 웨이퍼(W)를 이송한다. 캐러셀(28)은 기계적 이송아암 (36)이 특정 카세트로부터 웨이퍼를 제거하거나 또는 특정 카세트에 웨이퍼를 위치시킬 수 있는 위치에 선택된 웨이퍼카세트를 위치시키기 위하여 선택적으로 회전될 수 있다. 이 방식에 있어서, 설명된 열처리로(10)는 로작업 동안 선택된 제어와 웨이퍼의 연속적인 처리를 할 수가 있다. 이송조립체(36)는 이송아암(36)이 상부 캐러셀(28) 또는 하부 캐러셀(26)에 웨이퍼카세트(24)를 액세스시킬 수 있도록 하기 위하여 수직으로 이동할 수 있다. 통상적인 기술자라면 웨이퍼취급과 처리와 관련하여 웨이퍼이송 조립체(36)의 동작 뿐만 아니라, 열처리로에서 사용될 수 있는 다양한 유형의 이송조립체를 쉽게 이해하고 인식할 수 있을 것이다.Referring to FIG. 3, the wafer cassette 24 is installed in the carousel 28. As shown in FIG. The three-axis wafer transfer assembly 36 having the mechanical transfer arm 36A transfers the wafer W from one of the selected cassettes 24 to one of the wafer boats 40 installed in each of the vertical paths 12 and 14. The carousel 28 may optionally be rotated to position the selected wafer cassette at a location where the mechanical transfer arm 36 may remove the wafer from a particular cassette or place the wafer in a particular cassette. In this manner, the described heat treatment furnace 10 is capable of continuous processing of the wafer and the selected control during the furnace operation. The transfer assembly 36 can move vertically to allow the transfer arm 36 to access the wafer cassette 24 to the upper carousel 28 or the lower carousel 26. Those skilled in the art will readily understand and appreciate the various types of transfer assemblies that may be used in the heat treatment furnace, as well as the operation of the wafer transfer assembly 36 in connection with wafer handling and processing.

도1 내지 도3, 특히 도2는 본 발명의 열처리로(10)의 구성요소를 설명한다. 수직로(14)는 밀폐된 다부(44A)와 개방된 반대단부(44B)를 가지는 원통형 처리튜브(44)를 가진다. 원통형튜브(44)는 가열챔버 (46)를 규정한다. 처리튜브(44)는 알루미나, 탄화규소 및 다른 세라믹재료와 같은 어떠한 고온재료로 만들어질 수 있고, 바람직하게는 수정으로 만들어진다. 처리튜브는 일차 가열원으로서 저항가열소자 또는 RF가열 흑체 공동서스셉터(RF heated black body cavity susceptor)로 감싸인다. 이러한 유형의 가열원은 사용하기가 단순하고, 로온도의 안정되고 균일한 제어를 위한 신뢰성 있는 기술로서 특정되고 그리고 광범위하게 수용되고 있다. 한 실시예에 따라, 가열원(48)은 수직으로 향하는 세 영역의 ????성 가열유니트인 히터모듈의 일부를 형성한다. 가열소자는 부피가 작은 고온 금속성 선으로 만들어질 수 있다. 가열원을 감싸는 절연체는 높은 절연값과 낮은 열적인 크기를 가지는 셀믹섬유로 이루어질 수 있다. 모두는 온도변화에 빠르게 반응하도록 설계된다. 모듈은 또한 가열챔버(46)의 냉각을 돕기 위한 공기냉각시스템을 포함한다. 처리튜브(44)의 직경과 수직로의 크기는 변화하는 크기의 웨이퍼를 수용하기 위해 쉽게 축소될 수 있다.1 to 3, in particular FIG. 2, illustrate the components of the heat treatment furnace 10 of the present invention. The vertical furnace 14 has a cylindrical processing tube 44 having a closed multi-part 44A and an open opposite end 44B. The cylindrical tube 44 defines a heating chamber 46. Process tube 44 may be made of any high temperature material such as alumina, silicon carbide, and other ceramic materials, and is preferably made of quartz. The treatment tube is wrapped in a resistive heating element or RF heated black body cavity susceptor as the primary heating source. This type of heating source is simple to use and is specified and widely accepted as a reliable technique for stable and uniform control of furnace temperatures. According to one embodiment, the heating source 48 forms part of the heater module, which is a three-sided heating unit in the vertical direction. The heating element may be made of a bulky high temperature metallic wire. The insulator surrounding the heating source may be made of Celmic fiber having a high insulation value and a low thermal size. All are designed to react quickly to temperature changes. The module also includes an air cooling system to help cool the heating chamber 46. The size perpendicular to the diameter of the processing tube 44 can be easily reduced to accommodate wafers of varying sizes.

가열원(48)은 화학기상증착의 경우에 튜브의 내측을 규정된 온도, 예컨대 400℃에서 1200℃까지 가열하거나 또는, 산화 또는 확산의 경우에 800℃에서 1200℃까지 튜브의 내측을 가열하기 위해 각 처리튜브(44)에 대해 설치된다. 처리기술의 위급에 따라 처리튜브(44)의 온도를 조절하기 위해 제어유니트(66)가 사용될 수 있다. 예컨대 한 실시에 따라, 광학적 고온계와 같은 온도센서가 챔버온도를 감지하기 위해 사용될 수 있고 그리고 제어유니트(66)에 연결될 수 있다. 가열유니트는 기술분야에서 공지된 바와 같이 가열챔버내에 등온 가열영역을 형성한다.The heating source 48 is adapted to heat the inside of the tube in the case of chemical vapor deposition to a prescribed temperature, such as 400 ° C. to 1200 ° C., or to 800 ° C. to 1200 ° C. in the case of oxidation or diffusion. It is provided for each treatment tube 44. The control unit 66 may be used to adjust the temperature of the treatment tube 44 in accordance with the urgency of the treatment technique. For example, according to one embodiment, a temperature sensor, such as an optical pyrometer, may be used to sense the chamber temperature and may be connected to the control unit 66. The heating unit forms an isothermal heating zone in the heating chamber as is known in the art.

하우징(16)은 단열 스탠션(stanchion: 기둥)에 의해 지지되는 웨이퍼보트(40)를 포함하는 웨이퍼지지조립체(54)를 감싼다. 웨이퍼지지조립체(54)의 하부(54A)는 일체적으로 형성되어 반경방향으로 외측으로 연장하는 플랜지플레이트(54B)를 가진다. 설명된 웨이퍼지지조립체(54)는 안내봉(60)고 활주되게 결합하는 보트승강기(58)에 결합된다. 따라서 웨이퍼지지조립체는 웨이퍼지지조립체를 로의 가열챔버 (46) 내외로 선택적으로 이동시키기 위한 안내봉(60)의 수직축을 따라 수직방향으로 선택적으로 이동될 수 있다.The housing 16 surrounds a wafer support assembly 54 that includes a waferboat 40 supported by an adiabatic stanza. The lower portion 54A of the wafer support assembly 54 has a flange plate 54B which is integrally formed and extends outward in the radial direction. The wafer support assembly 54 described is coupled to a boat lift 58 that slides with the guide rod 60. Thus, the wafer support assembly may be selectively moved along the vertical axis of the guide rod 60 for selectively moving the wafer support assembly into and out of the heating chamber 46 of the furnace.

수직로(12)는 비슷하게 구성된다.The vertical furnace 12 is similarly constructed.

기계적 이송조립체(36)의 기계적 이송아암(36A)은 웨이퍼를 캐로셀(26, 28)중 하나에 재치된 선택된 카세트(24)를 각 로(12 또는 14)의 웨이퍼보트(40)중 하나로 선택적으로 이동시킨다. 웨이퍼보트는 처리될 다수의 웨이퍼, 예컨대 50 내지 100개의 웨이퍼, 바람직하게는 약 75개의 웨이퍼를 선택적으로 지닌다. 따라서, 웨이퍼보트는 통상적인 웨이퍼보트가 지니는 웨이퍼의 수의 약 반을 지닌다. 이러한 배치크기의 감소는 전체적인 배치의 열크기를 감소시켜, 따라서 로의 온도램프비율을 상승시킨다. 상승된 램프비율 때문에, 웨이퍼가 고온에 노출되는 전체 시간이 감소된다.The mechanical transfer arm 36A of the mechanical transfer assembly 36 selects the wafer from one of the waferboats 40 of each furnace 12 or 14 by placing a selected cassette 24 placed in one of the carousels 26, 28. Move to. The waferboat optionally has a plurality of wafers to be processed, such as 50 to 100 wafers, preferably about 75 wafers. Thus, waferboats have about half the number of wafers that conventional waferboats have. This reduction in batch size reduces the heat size of the overall batch, thus raising the temperature ramp ratio of the furnace. Because of the elevated ramp rate, the overall time the wafer is exposed to high temperatures is reduced.

웨이퍼들은 웨이퍼피치로 알려진 규정된 수직 간격으로 웨이퍼보트에 배열되고 그리고 바람직하게 5㎜ 내지 약 20㎜로 분리되고 그리고 가장 바람직하게는 약 10㎜ 내지 20㎜로 분리된다. 이러한 선택된 웨이퍼피치는 수직으로 인접한 웨이퍼 사이에 상대적으로 큰 간격을 제공하고, 이는 웨이퍼와 웨이퍼-대-웨이퍼 온도 균일성을 좋게 한다. 반대로 몇몇 선행 설계는 표준 로처리를 위해 약 3㎜ 내지 5㎜의 웨이퍼피치를 사용한다.The wafers are arranged on the waferboat at defined vertical intervals known as wafer pitch and are preferably separated from 5 mm to about 20 mm and most preferably from about 10 mm to 20 mm. This selected wafer pitch provides a relatively large gap between vertically adjacent wafers, which results in good wafer and wafer-to-wafer temperature uniformity. In contrast, some prior designs use wafer pitches of about 3 mm to 5 mm for standard furnace processing.

이러한 큰 웨이퍼피치의 중요한 장점은 웨이퍼 균일성의 저하없이 비교적 빠른 웨이퍼의 온도램핑을 허용한다는 것이다. 이러한 큰 간격은 또한 웨이퍼보트의 로딩 및 언로딩 동안 기계적 이송아암 (36A)의 기계적 공차를 줄이는데, 이는 아암이 작동하기에 큰 공간을 가지기 때문이다. 웨이퍼보트의 웨이퍼배치 크기가 작으면 작을수록 열처리 동안 가열되게 되는 반도체의 양이 작게된다는 것을 의미한다. 따라서, 반도체웨이퍼의 온도가 쉽게 램프업 및 램프다운되므로, 시스템의 전체 작업처리량이 증가되게 된다.An important advantage of this large wafer pitch is that it allows for relatively rapid temperature ramping of the wafer without degrading wafer uniformity. This large spacing also reduces the mechanical tolerances of the mechanical transfer arm 36A during the loading and unloading of the waferboat, since the arms have large space for operation. The smaller the wafer arrangement size of the waferboat, the smaller the amount of semiconductor to be heated during the heat treatment. Thus, since the temperature of the semiconductor wafer is easily ramped up and down, the overall throughput of the system is increased.

도2를 다시 참조하여 보면, 서보모터(64)가 안내봉(60)을 따른 보트승강기(58)의 이동을 제어한다. 서보모터(64)는 전기도선(70)을 따라 전달된 선택신호에 의해, 작동제어기(68)를 포함하는 제어유니트 (66)에 의해 제어된다. 설명된 작동제어기(68)는 데이터흐름 경로(76)로 도시된 바와 같이 호스트컴퓨터(74)와 전기적 통신을 한다. 마찬가지로, 컴퓨터(74)는 데이터취득단과 양방향 통신을 하고, 이 취득단은 설명된 로의 열처리작동에 관련된 선택된 프로세싱데이타를 축적한다. 데이터는 데이터흐름 경로(78)를 통해 호스트컴퓨터(74)와 데이터취득단 사이에서 전달될 수 있다.Referring again to FIG. 2, the servomotor 64 controls the movement of the boat lift 58 along the guide rod 60. The servo motor 64 is controlled by the control unit 66 including the operation controller 68 by the selection signal transmitted along the electric conductor 70. The operational controller 68 described is in electrical communication with the host computer 74 as shown by the data flow path 76. Similarly, computer 74 communicates bidirectionally with the data acquisition stage, which accumulates selected processing data related to the heat treatment operation of the furnace described. Data may be transferred between the host computer 74 and the data acquisition end via the data flow path 78.

로봇제어기(82)는 또한 신호경로(80)를 통해 컴퓨터(74)와 양방향 통신을 하고, 그리고 전기도선(86)을 통해 선택된 제어신호를 웨이퍼이송조립체(36)에 전달한다. 따라서, 로봇제어기는 캐러셀(26, 28)중 하나에 재치된 선택된 카세트(24)에 웨이퍼를 로드하거나 또는 웨이퍼를 제거하기 위해 이송아암(36A)의 선택위치와 상대운동을 제어한다.The robot controller 82 also communicates bidirectionally with the computer 74 via the signal path 80, and transmits the selected control signal to the wafer transfer assembly 36 via the electrical conductor 86. Thus, the robot controller controls the selected position and relative motion of the transfer arm 36A to load or remove the wafer into the selected cassette 24 mounted on one of the carousels 26 and 28.

따라서, 컴퓨터(74), 작동제어기(68), 데이터취득단(72) 및 로봇제어기(82)는 기계적 이송아암(36A)의 상대 운동을 제어할 뿐만 아니라 웨이퍼지지조립체를 선택적으로 상승 및 하강시키는 폐루프 피드백 제어단을 형성한다. 본 기술분야의 일반적인 기술자라면 작동제어기, 데이터취득단 및 로봇제어기중 하나 또는 그 이상이 하드웨어 및 또는 소프트웨어로 실현될 수 있어서 따라서 이들은 독립적인 단으로 제공되기 보다는 컴퓨터(74)의 일부를 형성하게 된다는 것을 알 수 있을 것이다.Thus, the computer 74, the operation controller 68, the data acquisition stage 72 and the robot controller 82 not only control the relative movement of the mechanical transfer arm 36A but also selectively raise and lower the wafer support assembly. A closed loop feedback control stage is formed. One of ordinary skill in the art would realize that one or more of the operation controller, data acquisition stage, and robot controller can be realized in hardware and / or software so that they form part of the computer 74 rather than being provided as an independent stage. You can see that.

설명된 로(10)는 처리튜브(44)내에 위치될 크기의 가열슬리브 (100)를 포함한다. 처리튜브와 구조가 비슷한 가열슬리브(100)는 또한 밀폐된 첫 번째 단부(100A)와 개방된 반대편 단부(100B)를 가지는 예컨대 수정과 같은 고온재료로 만들어진다. 슬리브 개방단부(100B)는 또한 외방으로 연장하는 플랜지부(100C)를 포함한다. 가열튜브(100)는 안내봉(60) 또는 다른 적절한 안내구조물에 활주되게 결합되는 슬리브승강기(104)에 연결된다. 가열슬리브(100)는 웨이퍼지지조립체(54)와는 독립적으로 이동될 수 있다.The furnace 10 described includes a heating sleeve 100 of a size to be placed within the processing tube 44. The heating sleeve 100, which is similar in structure to the process tube, is also made of a high temperature material, such as quartz, having a closed first end 100A and an open opposite end 100B. The sleeve open end 100B also includes an outwardly extending flange portion 100C. The heating tube 100 is connected to a sleeve elevator 104 slidably coupled to the guide rod 60 or other suitable guide structure. The heating sleeve 100 may be moved independently of the wafer support assembly 54.

가열슬리브(100)의 상대 수직위치는 제어유니트(66)의 작동제어기단(68)에 의해 피드백 제어될 수 있다.The relative vertical position of the heating sleeve 100 can be feedback controlled by the operation controller stage 68 of the control unit 66.

예컨대, 작동제어기(68)는 가열슬리브(100), 웨이퍼지지조립체 (54)를 상승 또는 하강시키기 위해, 또는 둘다를 동시에 상승 또는 하강시키기 위하여 서보모터(64)를 선택적으로 작동시키는데 사용될 수 있다.For example, the operation controller 68 may be used to selectively operate the servomotor 64 to raise or lower the heating sleeve 100, the wafer support assembly 54, or to simultaneously raise or lower both.

가열슬리브의 플랜지(100C)는 유동밀폐 및 진공밀폐를 형성시키기 위하여 웨이퍼지지조립체(54)의 플랜지부(54B)와 활주되게 결합되기에 적합하다. 따라서, 가열슬리브(100)가 웨이퍼보트와 스탠션 (50)위에 배치되고 그리고 웨이퍼지지조립체(54)의 플랜지부(54B)와 활주되게 결합되면, 슬리브(100)는 로드록 처리챔버(108)를 형성한다. 가열슬리브(100)는 웨이퍼지지조립체와 협동하여 처리튜브(44)의 가열챔버(46)의 환경을 제외하고 웨이퍼보트(40)에 적재된 웨이퍼의 분위기환경을 제어하기 위한 분위기 제어매카니즘을 형성한다. 따라서, 플랜지(100C)가 플랜지(54B)와 밀봉되게 연결되면, 슬리브는 가열챔버 (46)내에서 선택적으로 사용될 수 있는 수직으로 이동가능한 로드록 챔버로서 선택된 한 위치에서 작동한다. 가열슬리브(100)는 웨이퍼지지조립체(54)와 함께 가열챔버(46)내로 상승 또는 하강될 수 있어서, 웨이퍼들은 온도 램프업(가열) 도는 램프다운(냉각)상태 동안 엄밀히 제어된 분위기환경에 있게 된다.The flange 100C of the heating sleeve is adapted to slidably engage with the flange portion 54B of the wafer support assembly 54 to form flow and vacuum sealing. Thus, when the heating sleeve 100 is disposed on the wafer boat and the stance 50 and slidably engaged with the flange portion 54B of the wafer support assembly 54, the sleeve 100 is loaded with the load lock processing chamber 108. To form. The heating sleeve 100 cooperates with the wafer support assembly to form an atmosphere control mechanism for controlling the atmosphere environment of the wafer loaded on the wafer boat 40 except for the environment of the heating chamber 46 of the processing tube 44. . Thus, when flange 100C is hermetically connected to flange 54B, the sleeve operates in one selected position as a vertically movable loadlock chamber that can optionally be used in heating chamber 46. The heating sleeve 100 can be raised or lowered together with the wafer support assembly 54 into the heating chamber 46 so that the wafers are in a tightly controlled atmosphere during a temperature ramp up (heat) or ramp down (cool) state. do.

도2의 로(14)와 결합된 로드록 처리챔버(108)의 유동환경을 제어하기 위하여 가스다기관이 웨이퍼이송조립체(54)와 가열슬리브(100)에 결합될 수 있다. 예컨대, 한 실시예에 따라, 가스박스내에 수용된 선택된 처리가스를 처리챔버(108)의 상부로 이전시키기 위하여 작은 수정도관이 가스박스(112)와 웨이퍼이송조립체(54)의 플랜지플레이트에 연결된다. 처리가스는 예컨대 처리챔버의 하측에 위치된 적절한 배출포트를 통해 웨이퍼보트를 통과해, 덕트라인을 통해 가스박스 배출포트내로 배출된다. 가스박스는 관련된 가스패널, 예컨대 가스패널 (112A)에 결합되고 그리고 또한 제어유니트(66)에 결합될 수 있다. 다른 가스박스(116)와 관련 가스패널(116A)은 다른 수직로(12)에 대응한다. 본 기술분야의 일반적인 기술자라면 두 개의 가스박스가 단일의 가스박스로 대체될 수 있다는 것을 이해할 것이다.A gas manifold may be coupled to the wafer transfer assembly 54 and the heating sleeve 100 to control the flow environment of the loadlock processing chamber 108 associated with the furnace 14 of FIG. For example, according to one embodiment, a small crystal conduit is connected to the flange plate of the gas box 112 and the wafer transfer assembly 54 to transfer the selected process gas contained in the gas box to the top of the process chamber 108. The process gas passes through the wafer boat, for example, through a suitable discharge port located below the process chamber, and is discharged through the duct line into the gas box discharge port. The gas box can be coupled to an associated gas panel, such as gas panel 112A, and also to the control unit 66. The other gas box 116 and associated gas panel 116A correspond to the other vertical furnace 12. Those skilled in the art will appreciate that two gas boxes can be replaced by a single gas box.

가스도입파이프는 선택된 처리가스가 처리챔버(108)내로 도입될 수 있게 한다. 반도체웨이퍼위에 선택된 막을 형성하기 위하여 다양한 처리가스들이 처리챔버내로 도입될 수 있다. 예컨대, 산화막을 만들기 위하여 산소가 도입될 수 있고, 다결정실리콘막을 만들기 위하여 SiH4가 도입될 수 있고, 그리고 실리콘 나이트라이드막을 만들기 위하여 NH4와 SiH2Cl2가 도입될 수 있다. 게다가 질소와 같은 정화가스가 처리챔버(108)의 공기를 제거하기 위하여 선택적으로 처리챔버내로 도입될 수 있다. 공지된 바와 같이, 반도체웨이퍼 위에 상당히 두껍고 열악한 산화막이 형성되게 된다. 이외에도, 웨이퍼로부터 자연 산화막을 제거하기 위한 세척가스가 처리챔버(108)내로 도입될 수 있다. 예컨대 세척가스는 NF3및 HCl과 같은 플라즈마에칭가스, 수소와 같은 환원가스 또는 다른 적절한 가스들일 수 있다. 가스도입파이프는 가열슬리브(100)의 상부 또는 저부에 위치될 수 있거나, 또는 웨이퍼이송조립체(54)에 비슷하게 설치될 수 있다. 처리챔버(108)에 도입된 가스를 선택적으로 제거하기 위하여 배출파이프가 가열슬리브 또는 웨이퍼이송조립체에 비슷하게 형성된다. 그러므로, 가열슬리브내에 있는 가스는 배출파이프를 통해 배출될 수 있어서, 이에 의해 규정된 진공도로 가열슬리브의 내측을 설정하거나, 또는 가스도입파이프를 통해 이전에 도입된 가스를 제거한다.The gas introduction pipe allows the selected process gas to be introduced into the process chamber 108. Various processing gases may be introduced into the processing chamber to form a selected film on the semiconductor wafer. For example, oxygen may be introduced to make an oxide film, SiH 4 may be introduced to make a polysilicon film, and NH 4 and SiH 2 Cl 2 may be introduced to make a silicon nitride film. In addition, purge gas, such as nitrogen, may optionally be introduced into the process chamber to remove air from the process chamber 108. As is known, a considerably thick and poor oxide film is formed on the semiconductor wafer. In addition, a cleaning gas for removing the native oxide film from the wafer may be introduced into the processing chamber 108. For example, the cleaning gas may be a plasma etching gas such as NF 3 and HCl, a reducing gas such as hydrogen, or other suitable gases. The gas introduction pipe may be located at the top or bottom of the heating sleeve 100 or may be similarly installed in the wafer transfer assembly 54. A discharge pipe is similarly formed in the heating sleeve or wafer transfer assembly to selectively remove the gas introduced into the processing chamber 108. Therefore, the gas in the heating sleeve can be discharged through the discharge pipe, thereby setting the inside of the heating sleeve to a prescribed vacuum or removing the gas previously introduced through the gas introduction pipe.

가열 동안 처리챔버내로 도입된 가스는 바람직하게 고온 환경에 의해 분해되어 반도체웨이퍼의 노출면에 퇴적된다. 따라서, 가스들은 처리챔버내로 미리 선택된 량만큼 웨이퍼 위에 선택된 두께를 가지는 막을 형성한다.The gas introduced into the processing chamber during heating is preferably decomposed by the high temperature environment and deposited on the exposed surface of the semiconductor wafer. Thus, the gases form a film having a selected thickness on the wafer by a preselected amount into the processing chamber.

작업시에, 기계적 이송조립체(36)의 기계적 이송암(36A)은 웨이퍼카세트(24) 또는 웨이퍼보트(40)에 웨이퍼를 선택적으로 재치하거나 또는 제거하기 위하여 로봇제어기(82)에 의해 피드백 제어된다. 한 실시에 따라, 웨이퍼지지조립체의 수직위치가 변경되는 동안, 기계적 이송아암(36A)은 처리될 선택된 수의 웨이퍼를 포함하는 웨이퍼배치를 웨이퍼보트(40)에 로드한다. 앞서 설명한 바와 같이, 배치의 크기는 일반적으로 통상적인 웨이퍼배치의 크기의 약 반이다. 이송아암(36A)은 선택된 웨이퍼카세트(24)로부터 웨이퍼를 제거함으로써 웨이퍼보트 (40)를 로드한다. 웨이퍼보트(40)가 로드되었다면, 제어유니트(66)의 작동 제어기단(68)은, 슬리브(100)의 개방 단부(100B)에 위치된 플랜지(100C)가 웨이퍼이송조립체(54)의 플랜지플레이트(54B)와 활주되게 결합하여 정합되기 전까지 안내봉(60)을 따라 가열슬리브(100)을 하강시키기 위하여 서보모터(64)에 선택된 신호를 출력한다. 선택적으로, 서보모터는 웨이퍼조립체의 플랜지플레이트를 상승시켜 플랜지플레이트가 가열슬리브 플랜지(100C)와 결합될 수 있게 한다. 필요하다면, 가열슬리브(100)와 웨이퍼지지조립체에 의해 형성된 로드록 처리챔버 (108)에 선택된 가스가 도입된다. 그런 다음, 가열슬리브(100) 및 또는 웨이퍼지지조립체(54)는 선택적으로 상승되어 처리튜브(44)의 가열챔버(46)내로 도입된다. 수행될 특정 처리기술에 따라 웨이퍼배치는 상당히 촉진된 온도 램프업비율로 선택된 처리온도가지 가열원에 의해 가열된다. 처리가스가 공급되어 웨이퍼 위에 막이 형성된다.In operation, the mechanical transfer arm 36A of the mechanical transfer assembly 36 is feedback controlled by the robot controller 82 to selectively place or remove the wafer from the wafer cassette 24 or the wafer boat 40. . According to one embodiment, while the vertical position of the wafer support assembly is changed, the mechanical transfer arm 36A loads the wafer arrangement 40 containing the selected number of wafers to be processed into the waferboat 40. As discussed above, the size of a batch is generally about half the size of a typical wafer batch. The transfer arm 36A loads the wafer boat 40 by removing the wafer from the selected wafer cassette 24. If the wafer boat 40 is loaded, the operation controller end 68 of the control unit 66 has a flange plate of the wafer transfer assembly 54 with the flange 100C positioned at the open end 100B of the sleeve 100. The selected signal is output to the servomotor 64 in order to lower the heating sleeve 100 along the guide rod 60 until it is slidably coupled with the 54B. Optionally, the servomotor raises the flange plate of the wafer assembly to allow the flange plate to engage the heating sleeve flange 100C. If desired, a selected gas is introduced into the loadlock processing chamber 108 formed by the heating sleeve 100 and the wafer support assembly. The heating sleeve 100 and / or wafer support assembly 54 are then selectively raised to be introduced into the heating chamber 46 of the processing tube 44. Depending on the specific processing technique to be performed, the wafer batch is heated by a heating source having a selected processing temperature with a significantly promoted temperature ramp up ratio. The processing gas is supplied to form a film on the wafer.

로(14)의 등은 가열영역에서 사용자가 선택한 기간 후에, 슬리브와 이송아암(54)은 하강되어 가열챔버(46)로부터 제거된다. 웨이퍼들은 로드록 처리챔버(108)내에 남아있게 되고, 여기서 웨이퍼들은 상당히 빠른 온도 램프다운비율로 냉각된다. 웨이퍼온도는 웨이퍼의 온도가 바람직한 값, 예컨대 50℃ 또는 이보다 낮은 온도가 되기 전까지 방열냉각(직접 냉각기술이 사용될 수 있다 하더라도)으로 감소된다. 웨이퍼가 냉각된 후에, 컴퓨터(74)는 서보모터(64)를 작동시켜 웨이퍼지지조립체(54)에 대해 가열슬리브(100)를 상승시켜, 지지조립체와 가열슬리브 사이에 형성된 밀봉결합을 차단 또는 분리시킨다. 그런 다음, 웨이퍼보트(40)에 저장된 처리된 웨이퍼들은 웨이퍼이송조립체에 의해 순차적으로 제거되어 웨이퍼카세트로 이송된다.After the user's selection of the back of the furnace 14 in the heating zone, the sleeve and the transfer arm 54 are lowered and removed from the heating chamber 46. The wafers remain in the loadlock processing chamber 108, where the wafers are cooled to a fairly rapid temperature ramp down rate. The wafer temperature is reduced by thermal radiation cooling (even if direct cooling techniques can be used) until the temperature of the wafer is at a desired value, such as 50 ° C. or lower. After the wafer has cooled, the computer 74 operates the servomotor 64 to raise the heating sleeve 100 relative to the wafer support assembly 54 to block or separate the sealing bond formed between the support assembly and the heating sleeve. Let's do it. The processed wafers stored in waferboat 40 are then sequentially removed by the wafer transfer assembly and transferred to the wafer cassette.

웨이퍼피치가 증가되었을 뿐만 아니라 웨이퍼보트의 배치크기가 작아지기 때문에, 온도 램프업 및 램프다운비율은 상당히 향상되게 된다. 예컨대, 온도 램프업비율의 증가가 이루어질 수 있어서 분당 100℃에 근접하거나 또는 이를 초과한다. 마찬가지로, 온도 램프다운비율이 향상되어 분당 50℃에 근접하거나 또는 이를 초과할 수 있다. 그러나 실제적인 응용에 있어서, 분당 약 30℃ 내지 75℃사이의 램프업과 분당 약 15℃의 램프다운비율과 분당 약 40℃의 램프다우비율이 충분하다. 이들 증가된 램프업 및 램프다운 온도비율은 전체적인 배치처리 시간을 상당히 감소시킴으로써 열처리로(10)의 전체 작업량을 상당히 증가시킨다. 온도 램프다운 비율은 웨이퍼의 열을 제거시키기 위하여 웨이퍼의 표면을 가로질러 흐르는 냉각제트기류를 도입함으로써 영향을 받을 수 있다. 예컨대, 질소와 같은 비 산화성 주입가스가 챔버(108)내에 도입될 수 있거나, 또는 슬리브(100)가 웨이퍼를 가로질러 직접 적용된 웨이퍼이송조립체(54)로부터 분리된 경우에 웨이퍼냉각시간을 더 단축시킬 수 있다. 따라서, 도2는 로의 가열챔버(46)내에 선택적으로 배치될 수 있는 웨이퍼지지조립체(54)와 가열슬리브(1000를 설명한다. 비록 도시되지 않았지만, 비슷한 가열 및 처리구조물이 존재하여 수직로(12)와 결합될 수 있다. 예컨대, 수직로(12)는 선택된 가열원에 의해 감싸이는 처리튜브를 포함한다. 선택적으로 수직 이동가능한 가열슬리브(100)와 웨이퍼이송조립체(54)들이 결합되어 처리튜브내에 선택적으로 위치될 수 있다.Not only is the wafer pitch increased but the batch size of the wafer boat becomes smaller, so the temperature ramp up and ramp down ratios are significantly improved. For example, an increase in the temperature ramp up rate can be achieved, approaching or exceeding 100 ° C. per minute. Likewise, the temperature rampdown rate can be improved to approach or exceed 50 ° C. per minute. In practical applications, however, a ramp up between about 30 ° C. and 75 ° C. per minute, a ramp down rate of about 15 ° C. per minute and a ramp down ratio of about 40 ° C. per minute are sufficient. These increased ramp up and ramp down temperature rates significantly reduce the overall batch processing time, thereby significantly increasing the overall throughput of the heat treatment furnace 10. The temperature rampdown rate can be influenced by introducing a cooling jet stream that flows across the surface of the wafer to remove heat from the wafer. For example, a non-oxidizing injection gas, such as nitrogen, may be introduced into the chamber 108, or may further shorten wafer cooling time when the sleeve 100 is separated from the wafer transfer assembly 54 applied directly across the wafer. Can be. Thus, Figure 2 illustrates a wafer support assembly 54 and a heating sleeve 1000, which may optionally be disposed within the furnace's heating chamber 46. Although not shown, a similar heating and processing structure is present in the vertical furnace 12 For example, vertical furnace 12 includes a processing tube wrapped by a selected heating source, optionally a vertically movable heating sleeve 100 and wafer transfer assembly 54 in combination with the processing tube. May optionally be located within.

따라서, 본 발명은 대응하는 수직로(12, 14)의 내외측으로 이동될 수 있는 한쌍의 웨이퍼보트를 선택적으로 로드시키기 위하여 단일의 호스트컴퓨터(또는 다수의 통합된 제어단, 도2)와 웨이퍼이송조립체를 사용한다. 따라서, 본 발명의 열처리로(10)는 한 쌍의 처리튜브, 한 쌍의 가열슬리브 및 한쌍의 웨이퍼지지조립체(54)를 수용한다. 수직로(12, 14)는 또한 로(10)의 전체 크기를 최소화시켜 상대적으로 작은 족적을 제공하기 위하여, 도1과 3에 도시된 바와 같이 비대칭적인 형상으로 서로에 대해 선택적으로 설치될 수 있다.Accordingly, the present invention provides a wafer transfer with a single host computer (or multiple integrated control stages, FIG. 2) to selectively load a pair of waferboats that can be moved in and out of the corresponding vertical paths 12 and 14. Use assembly. Thus, the heat treatment furnace 10 of the present invention accommodates a pair of process tubes, a pair of heating sleeves, and a pair of wafer support assemblies 54. Vertical furnaces 12, 14 may also be selectively installed relative to one another in an asymmetrical shape as shown in FIGS. 1 and 3 to minimize the overall size of furnace 10 to provide a relatively small footprint. .

본 발명의 열처리로는 상대적으로 작은 족적을 가지는 상대적으로 작은 하우징에서 작업처리량을 희생시킴이 없이 온도 램프업 및 램프다운 비율을 향상시킬 수 있다. 본 발명의 로가 웨이퍼의 가열과 냉각을 향상시키기 때문에, 각 배치에 대해 필요한 열적인 경비가 감소된다. 이는 상당한 비용절감이 되고 작업처리량을 증가시킨다.The heat treatment furnace of the present invention can improve the temperature ramp up and ramp down ratios without sacrificing throughput in a relatively small housing having a relatively small footprint. Since the furnace of the present invention improves the heating and cooling of the wafer, the thermal cost required for each batch is reduced. This is a significant cost savings and increases throughput.

본 발명의 다른 장점은, 필요하다면 각 로에서 서로에 대해 독립적으로 개별적인 웨이퍼배치에 두 개의 독립적인 반도체처리기술을 수행할 수 있는 이중 수직로의 사용을 포함한다. 예컨대, 로중 하나에서 한 웨이퍼배치위에 산화막이 형성되는 동안 다른 로에서 다른 배치에 다결정 실리콘막이 퇴적될 수 있다.Another advantage of the present invention involves the use of dual vertical furnaces, which can perform two independent semiconductor processing techniques on separate wafer batches independently of each other in each furnace if necessary. For example, a polycrystalline silicon film may be deposited in another batch in another furnace while an oxide film is formed over one wafer arrangement in one of the furnaces.

단일의 하우징내에 설치된 이 다중-처리 다중로는 지금까지 알려진 열처리로에 비해 상당한 장점을 가지는 상당히 융통성 있는 열처리로를 제공한다. 게다가, 단일의 하우징장치에서 이중로의 사용은 전체 웨이퍼처리시간을 감소시켜, 이에 의해 시스템의 작업처리량을 증가시키게 된다. 예컨대, 다른 로에 재치된 다른 웨이퍼배치가 냉각될 수 있는 동안 한 웨이퍼배치가 처리될 수 있으며, 다른 웨이퍼배치가 로드되는 동안 한 웨이퍼배치가 언로드될 수 있다. 따라서, 이 이중로 장치는 부수적으로 작업자 접촉필요성을 절감시키는 한편, 25% 또는 그 이상으로 웨이퍼배치의 전체 처리시간을 절감시킬 수 있다.Installed in a single housing, this multi-treatment multiplexer provides a highly flexible heat treatment furnace with significant advantages over heat treatment furnaces known to date. In addition, the use of duplication in a single housing device reduces the overall wafer processing time, thereby increasing the throughput of the system. For example, one wafer batch may be processed while another wafer batch placed in another furnace may be cooled, and one wafer batch may be unloaded while another wafer batch is loaded. Thus, this duplex device can additionally reduce operator contact requirements, while reducing the overall processing time of the wafer batch by 25% or more.

한 패키지로 통합되어 바닥공간이 최소가 된 이중 수직로 장치는 이중로가 단일의 웨이퍼 기계이송조립체를 공유할 수 있게 한다. 이는 이송조립체의 보다 효율적인 사용이 되게 되는데, 이는 통상적인 시스템에서 이송조립체는 전체 처리시간의 25%보다 적게 사용되기 때문이다.The dual vertical furnace device, integrated in one package, minimizes floor space, allows the dual furnace to share a single wafer machine transfer assembly. This results in more efficient use of the transfer assembly, since in conventional systems the transfer assembly is used less than 25% of the total processing time.

도3은 또한 본 발명의 로(12, 14)의 비대치적인 배치를 보여준다. 만일 이중 수직로(12, 14)가 하우징(16)내에 대칭적으로 위치되었다면, 수직로는 경계선(47)에 대해 대칭이 되고 그리고 축(49)을 따라 공동선형이 된다. 이 배치는 큰 하우징족적을 제공하게 된다. 하우징내에서 축(49)으로부터 로중 하나를 이동시켜 로를 비대칭적으로 배치함으로써, 로들은 경계선(47)에 대해 더 이상 대칭이 되지 않고, 두 로(12, 14)의 중첩부(A)에 상응하는 량만큼 하우징족적이 감소되다. 이 작은 족적은 상당한 장점을 제공하는데, 이는 로들이 전형적으로 입방피트당 비용이 상당히 비싼 클린룸에 설치되기 때문이다. 그러므로, 열처리장치의 크기를 감소시키는 것은 클린룸의 공간을 덜 차지하게 된다는 것을 의미하고, 이는 클린룸에 더 많은 열처리로가 설치될 수 있게 한다. 이는 부수적으로 비용을 절감함과 동시에 설비의 효율성과 작업처리량을 개선시킨다.3 also shows a non-contrast arrangement of the furnaces 12, 14 of the present invention. If the double vertical paths 12, 14 are located symmetrically in the housing 16, the vertical paths are symmetrical about the boundary line 47 and are colinear along the axis 49. This arrangement will provide a large housing footprint. By moving one of the furnaces from the axis 49 in the housing to place the furnaces asymmetrically, the furnaces are no longer symmetrical with respect to the boundary line 47 and the overlap A of the two furnaces 12, 14 The housing footprint is reduced by the corresponding amount. This small footprint offers significant advantages, since furnaces are typically installed in clean rooms where the cost per cubic foot is quite high. Therefore, reducing the size of the heat treatment apparatus means that it takes up less space in the clean room, which allows more heat treatment furnaces to be installed in the clean room. This in turn reduces costs while improving the efficiency and throughput of the plant.

한 실시에 따라, 수직로는 약 40인치의 폭을 가진다. 만일 로들이 축(49)을 따라 배치되었다면, 로는 하우징(16)의 폭을 따라 적어도 80인치를 차지하게 된다. 도시된 바와 같이, 로(12, 14)들이 비대칭적으로 설치되면, 중첩부(A)는 약 8인치가될 수 있고, 이에 의해 하우징의 폭을 딸 로가 차지하는 공간을 10%정도 또는 다른 배열로는 더 크게 감소시킨다. 본 기술분야의 일반적인 기술자라면, 로의 다른 비대칭배열이 존재할 수 있고 그리고 이는 본 발명의 일부를 형성하게 된다는 것을 잘 알수 있을 것이다.According to one embodiment, the upright has a width of about 40 inches. If the furnaces were disposed along the axis 49, the furnace would occupy at least 80 inches along the width of the housing 16. As shown, if the furnaces 12, 14 are installed asymmetrically, the overlap A may be about 8 inches, thereby providing 10% or other arrangement of space occupied by the furnace over the width of the housing. The furnace reduces even more. Those skilled in the art will appreciate that there may be other asymmetrical arrangements in the furnace and this will form part of the present invention.

로(12, 14)의 비대칭적인 배열은 단일의 웨이퍼이송조립체가 두 개의 수직로 둘다에 대해 서비스를 할 수 잇도록 편리한 위치에 웨이퍼이송조립체를 설치함으로써 단일의 웨이퍼이송조립체(36)의 사용을 최적화시킨다.The asymmetrical arrangement of furnaces 12 and 14 allows the use of a single wafer transfer assembly 36 by installing the wafer transfer assembly in a convenient location so that a single wafer assembly can serve both vertically. Optimize.

따라서, 본 발명은 선행설명에서 명백하게 된 것들 중에서 상기에서 설명된 목적들을 효율적으로 달성할 수 있다. 본 발명의 범위를 벗어남이 없이 상기구조에서 어떠하 수정이 이루어질 수 있기 때문에, 첨부도면에 도시되었거나 또는 상기 설명에 포함된 목적과 장점들은 제한적인 의미보다는 설명적인 의미로 이해되어야 한다.Accordingly, the present invention can efficiently achieve the objects described above among those made apparent in the foregoing description. Since any modification can be made in the above structure without departing from the scope of the present invention, the objects and advantages shown in the accompanying drawings or included in the above description should be understood in an illustrative rather than a restrictive sense.

또한 다음에 오는 청구항들은 여기서 설명된 본 발명의 모든 포괄적인 특징과 본 발명에 포함될 수 있는 본 발명의 범위의 모든 진술들을 커버하는 것으로 이해되어야 한다.It is also to be understood that the following claims cover all comprehensive features of the invention described herein and all statements within the scope of the invention that may be included in the invention.

Claims (14)

반도체웨이퍼(W)를 열처리하기 위한 제1가열챔버(46)를 형성하는 수단을 포함하고, 제1세로축을 따라 연장하는 제1수직 가열수단 (12), 반도체웨이퍼(W)를 열처리하기 위한 제2가열챔버(46)를 형성하는 수단을 포함하고, 제2세로축을 따라 연장하고 또한 하우징의 전체 족적을 감소시키기 위하여 단일의 하우징내에서 상기 제1수직가열수단에 대해 비대칭적으로 설치되는 제2수직 가열수단, 선택된 수의 반도체웨이퍼를 축방향으로 재치하기 위한 제1지지수단을 포함하는 제1반도웨이퍼지지조립체(54), 선택된 수의 반도체웨이퍼를 축방향으로 재치하기 위한 제1지지수단을 포함하는 제2반도웨이퍼지지조립체(54), 상기 대응하는 세로방향축을 따라 상기 제1 및 제2지지수단중 적어도 하나를 선택적으로 이동시키기 위한 이송수단(64) 및 상기 제1 및 제2지지수단중 적어도 하나로부터 또는 적어도 하나로 반도체웨이퍼들을 선택적으로 이송시키기 위해 상기 제1 및 제2지지수단과 결합된 웨이퍼이송수단(36)을 가지는 단일의 하우징(16)을 포함하는 수직형 반도체웨이퍼 처리로.Means for forming a first heating chamber 46 for heat treating the semiconductor wafer W, the first vertical heating means 12 extending along the first longitudinal axis, and a material for heat treating the semiconductor wafer W; A second asymmetrical installation with respect to said first vertical heating means in a single housing, comprising means for forming a second heating chamber 46 and extending along the second longitudinal axis and also reducing the overall footprint of the housing. A first semiconductor wafer support assembly 54 comprising vertical heating means, first supporting means for axially placing a selected number of semiconductor wafers, first supporting means for axially placing a selected number of semiconductor wafers A second peninsula wafer support assembly 54 comprising: a conveying means 64 for selectively moving at least one of the first and second support means along the corresponding longitudinal axis; and the first and second supports And a single housing (16) having wafer transfer means (36) associated with said first and second support means for selectively transferring semiconductor wafers from at least one or at least one of the stages. 청구항 1에 있어서, 위치신호(70)를 생성시켜 이 위치신호를 상기 이송수단(64)에 전달하기 위해, 제어신호에 감응하는 제어수단 (66)을 더 포함하고, 상기 이송수단은 상기 위치신호에 감응하여 상기 대응하는 세로축을 따라 상기 제1 및 제2지지수단중 적어도 하나를 이동시키는 것이 특징인 로.2. The apparatus according to claim 1, further comprising control means (66) responsive to a control signal to generate a position signal (70) and to transmit the position signal to the transfer means (64), wherein the transfer means includes the position signal. In response to moving at least one of the first and second support means along the corresponding longitudinal axis. 청구항 1에 있어서, 상기 이송수단(64)은 제1위치신호(70)에 감응해 상기 제1세로축을 따라 상기 제1지지수단을 이동시키기 위해 상기 제1웨이퍼지지구조물(54)과 결합된 제1이송수단(64) 및 제2위치신호(70)에 감응해 상기 제2세로축을 따라 상기 제2지지수단을 이동시키기 위해 상기 제2웨이퍼지지구조물(54)과 결합된 제2이송수단(64)을 포함하는 것이 특징인 로.The method of claim 1, wherein the transfer means 64 is coupled to the first wafer support structure 54 for moving the first support means along the first longitudinal axis in response to a first position signal (70). A second transfer means 64 coupled with the second wafer support structure 54 to move the second support means along the second longitudinal axis in response to the first transfer means 64 and the second position signal 70. Furnace characterized by including). 청구항 1에 있어서, 상기 제1 및 제2가열챔버 각각의 온도를 독립적으로 제어하기 위한 제어수단(66)을 더 포함하는 것이 특징인 로The furnace according to claim 1, further comprising control means (66) for independently controlling the temperature of each of said first and second heating chambers. 청구항 1에 있어서, 상기 제1 및 제2웨이퍼지지조립체(54) 각각은 보트승강기(58)에 연결된 웨이퍼보트(40)와 상기 대응하는 축을 따라 세로방향으로 상기 보트승강기를 이동시키기 위한 수단(64)을 포함하는 것이 특징인 로.2. The device of claim 1, wherein each of the first and second wafer support assemblies 54 comprises a wafer boat 40 connected to a boat lift 58 and means for moving the boat lift longitudinally along the corresponding axis 64. Furnace characterized by including). 청구항 1에 있어서, 상기 제1수직 가열수단은 제1지지수단(54)을 감싸는 분위기 가스를 선택적으로 제어하기 위한 분위기 제어수단 (100)을 포함하고, 상기 분위기 제어수단은 상기 제1가열챔버(46)내에 선택적으로 배치될 수 있는 것이 특징인 로.The method of claim 1, wherein the first vertical heating means comprises an atmosphere control means 100 for selectively controlling the atmosphere gas surrounding the first support means 54, wherein the atmosphere control means is the first heating chamber ( 46. Furnace characterized in that it can be optionally arranged within. 청구항 6에 있어서, 상기 분위기 제어수단은 상기 제1가열수단 (12)과 결합된 가열슬리브(100)와 상기 제1가열챔버(46)의 내외측으로 상기 제1축을 따라 상기 가열슬리브를 선택적으로 이동시키기 위한 수단을 포함하고, 상기 가열슬리브는 상기 제1지지수단위로 선택적으로 배치되어 지지수단과 밀봉연결되는 것이 특징인 로.The method of claim 6, wherein the atmosphere control means to selectively move the heating sleeve along the first axis to the inside and outside of the heating sleeve 100 and the first heating chamber 46 coupled to the first heating means (12). And means for making said heating sleeve selectively arranged in said first support unit and sealingly connected to said support means. 청구항 7에 있어서, 상기 가열슬리브(100)는 상기 제1지지수단과 함께 또는 독립적으로 상기 제1축을 따라 이동될 수 있는 것이 특징인 로.8. The furnace of claim 7, wherein the heating sleeve (100) is movable along the first axis together with or independently of the first support means. 반도체웨이퍼(W)를 가열하기 위한 가열챔버(46)를 형성하는 수단을 포함하는, 세로축을 따라 연장하는 수직 가열수단(12), 선택된 수의 반도체웨이퍼를 축방향으로 재치하기 위한 웨이퍼지지수단(54), 처리챔버(108)를 한정하고 그리고 상기 웨이퍼지지수단(54) 위에 선택적으로 배치되는 가열슬리브(100), 및 상기 가열챔버내로 상기 세로축을 따라 상기 가열슬리브와 상기 웨이퍼지지수단중 적어도 하나를 선택적으로 이동시키기 위한 이송수단(64)을 포함하는 것이 특징인 수직형 반도체웨이퍼 처리로(10).Vertical heating means 12 extending along the longitudinal axis, comprising means for forming a heating chamber 46 for heating the semiconductor wafer W, wafer supporting means for axially placing a selected number of semiconductor wafers ( 54) a heating sleeve 100 defining a processing chamber 108 and optionally disposed above the wafer supporting means 54, and at least one of the heating sleeve and the wafer supporting means along the longitudinal axis into the heating chamber. Vertical semiconductor wafer processing furnace 10, characterized in that it comprises a conveying means (64) for selectively moving the. 청구항 9에 있어서, 상기 가열슬리브(100) 및 상기 웨이퍼지지수단(54)중 하나의 이동을 선택적으로, 독립적으로 제어하기 위해 상기 이송수단(64)에 연결된 제어수단(66)을 더 포함하는 것이 특징인 로.10. The method of claim 9, further comprising a control means 66 connected to the transfer means 64 for selectively and independently controlling the movement of one of the heating sleeve 100 and the wafer support means 54. Characteristic furnace. 청구항 9에 있어서, 상기 가열슬리브(100)는 상기 처리챔버 (108)를 형성하기 위하여 상기 웨이퍼지지수단(54)과 밀봉되게 결합되기에 적합한 것이 특징인 로.10. The furnace according to claim 9, wherein the heating sleeve (100) is suitable for sealingly coupling with the wafer support means (54) to form the processing chamber (108). 청구항 9에 있어서, 위치신호를 생성시키기 위한 제어수단(66)을 더 포함하고, 상기 이송수단(64)은 상기 위치신호에 감응해 상기 웨이퍼지지수단(54)과 상기 가열슬리브(100)중 하나를 이동시키는 것이 특징인 로.10. The apparatus according to claim 9, further comprising control means (66) for generating a position signal, wherein the transfer means (64) is in response to the position signal, one of the wafer support means (54) and the heating sleeve (100). Characterized by moving the furnace. 청구항 9에 있어서, 상기 수직 가열수단(12)은 처리튜브(44)와 처리튜브를 적어도 부분적으로 감싸는 가열원(48)을 포함하는 것이 특징인 로.10. The furnace according to claim 9, wherein the vertical heating means (12) comprises a processing tube (44) and a heating source (48) at least partially surrounding the processing tube. 청구항 9에 있어서, 상기 수직 가열수단은 수직으로 연장하는 제1 및 제2로를 포함하는 것이 특징인 로.10. The furnace of claim 9 wherein said vertical heating means comprises first and second furnaces extending vertically. ※참고사항:최초출원 내용에 의하여 공개하는 것임.※ Note: This is to be disclosed based on the first application.
KR1019970032040A 1996-07-10 1997-07-10 Dual Vertical Heat Treatment Furnace KR100350612B1 (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US2144296A 1996-07-10 1996-07-10
US021442 1996-07-10

Publications (2)

Publication Number Publication Date
KR980010306A true KR980010306A (en) 1998-04-30
KR100350612B1 KR100350612B1 (en) 2002-12-28

Family

ID=66039377

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019970032040A KR100350612B1 (en) 1996-07-10 1997-07-10 Dual Vertical Heat Treatment Furnace

Country Status (1)

Country Link
KR (1) KR100350612B1 (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100443121B1 (en) * 2001-11-29 2004-08-04 삼성전자주식회사 Method for processing of semiconductor and apparatus for processing of semiconductor
CN110444500A (en) * 2018-05-03 2019-11-12 株式会社尤金科技 Lining treatment system

Family Cites Families (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6221229A (en) * 1985-07-22 1987-01-29 Hitachi Ltd Treating device

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100443121B1 (en) * 2001-11-29 2004-08-04 삼성전자주식회사 Method for processing of semiconductor and apparatus for processing of semiconductor
CN110444500A (en) * 2018-05-03 2019-11-12 株式会社尤金科技 Lining treatment system
TWI686892B (en) * 2018-05-03 2020-03-01 南韓商尤金科技有限公司 Substrate processing system
US11251056B2 (en) 2018-05-03 2022-02-15 Eugene Technology Co., Ltd. Substrate processing system
CN110444500B (en) * 2018-05-03 2023-06-27 株式会社尤金科技 Substrate processing system

Also Published As

Publication number Publication date
KR100350612B1 (en) 2002-12-28

Similar Documents

Publication Publication Date Title
US5961323A (en) Dual vertical thermal processing furnace
US20030038127A1 (en) System and method of fast ambient switching for rapid thermal processing
US7198447B2 (en) Semiconductor device producing apparatus and producing method of semiconductor device
KR0155158B1 (en) Vertical wafer treatment apparatus and the method
JP2008539564A (en) Substrate processing platform that enables processing in different environments
JPH05218176A (en) Heat treatment and transfer of article to be treated
KR20080029836A (en) Semiconductor device manufacturing method and substrate treatment device
WO2007018139A1 (en) Method of manufacturing semiconductor device and substrate treating device
JP3258885B2 (en) Film processing equipment
US7935188B2 (en) Vertical thermal processing apparatus and method of using the same
JP2012023073A (en) Substrate processing device and method for manufacturing substrate
KR102375496B1 (en) Substrate processing apparatus, method of manufacturing semiconductor device, and substrate processing program
KR20000047614A (en) Uhv horizontal hot wall cluster cvd/growth design
KR100248566B1 (en) Heat treating method
KR20210100184A (en) meteorological growth device
KR980010306A (en) DUAL VERTICAL THERMAL PROCESSING FURNACE
JP2744933B2 (en) Vertical processing equipment and processing equipment
JP6995902B2 (en) Manufacturing method of substrate processing equipment and semiconductor equipment, and substrate processing program
JP2010135505A (en) Vacuum apparatus
JP4115331B2 (en) Substrate processing equipment
JP2006190812A (en) Substrate processing device
JPH07153695A (en) Method of forming film
JP2012069724A (en) Substrate processing apparatus and substrate processing method
JP2011204735A (en) Substrate processing apparatus and manufacturing method of semiconductor device
JP2006134901A (en) Substrate processing equipment

Legal Events

Date Code Title Description
A201 Request for examination
N231 Notification of change of applicant
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20110728

Year of fee payment: 10

LAPS Lapse due to unpaid annual fee