KR20240128702A - 기판 제조 동안 프로세스 챔버 파라미터들을 조절하기 위한 방법들 및 메커니즘들 - Google Patents

기판 제조 동안 프로세스 챔버 파라미터들을 조절하기 위한 방법들 및 메커니즘들 Download PDF

Info

Publication number
KR20240128702A
KR20240128702A KR1020247024388A KR20247024388A KR20240128702A KR 20240128702 A KR20240128702 A KR 20240128702A KR 1020247024388 A KR1020247024388 A KR 1020247024388A KR 20247024388 A KR20247024388 A KR 20247024388A KR 20240128702 A KR20240128702 A KR 20240128702A
Authority
KR
South Korea
Prior art keywords
profile
substrate
data
process recipe
modification
Prior art date
Application number
KR1020247024388A
Other languages
English (en)
Inventor
춘레이 장
타오 장
자이라즈 파야필리
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20240128702A publication Critical patent/KR20240128702A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67276Production flow monitoring, e.g. for increasing throughput
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B19/00Programme-control systems
    • G05B19/02Programme-control systems electric
    • G05B19/18Numerical control [NC], i.e. automatically operating machines, in particular machine tools, e.g. in a manufacturing environment, so as to execute positioning, movement or co-ordinated operations by means of programme data in numerical form
    • G05B19/4155Numerical control [NC], i.e. automatically operating machines, in particular machine tools, e.g. in a manufacturing environment, so as to execute positioning, movement or co-ordinated operations by means of programme data in numerical form characterised by programme execution, i.e. part programme or machine function execution, e.g. selection of a programme
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/45Nc applications
    • G05B2219/45212Etching, engraving, sculpturing, carving
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N20/00Machine learning
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32926Software, data control or modelling
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Automation & Control Theory (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Human Computer Interaction (AREA)
  • Materials Engineering (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)

Abstract

프로세스 레시피에 따라 기판 상에 퇴적 프로세스를 수행하는 프로세스 챔버 내에 위치된 계측 장비를 사용하여 생성된 계측 데이터를 획득할 수 있는 전자 디바이스 제조 시스템으로서, 프로세스 레시피는 복수의 세팅 파라미터를 포함하고, 퇴적 프로세스는 기판의 표면 상에 복수의 필름 층을 생성한다. 제조 시스템은 추가로 계측 데이터에 기초하여 수정 프로파일을 생성할 수 있다. 제조 시스템은 추가로 수정 프로파일을 프로세스 레시피에 적용함으로써 업데이트된 프로세스 레시피를 생성할 수 있다. 제조 시스템은 추가로 업데이트된 프로세스 레시피에 따라 기판 상에서 식각 프로세스가 수행되게 할 수 있다.

Description

기판 제조 동안 프로세스 챔버 파라미터들을 조절하기 위한 방법들 및 메커니즘들
본 개시내용은 전기 컴포넌트들에 관한 것으로, 더 구체적으로는, 기판 제조 동안 프로세스 챔버 파라미터들을 조절하기 위한 방법들 및 메커니즘들에 관한 것이다.
제품들은 제조 장비를 사용하여 하나 이상의 제조 프로세스를 수행함으로써 생산될 수 있다. 예를 들어, 반도체 제조 장비는 반도체 제조 프로세스들을 통해 반도체 디바이스들(예를 들어, 기판)을 생산하기 위해 사용될 수 있다. 제조 장비는 프로세스 레시피에 따라 기판의 표면에 복수의 필름 층을 퇴적할 수 있고, 퇴적된 필름에 복잡한 패턴을 형성하기 위해 식각 프로세스를 수행할 수 있다. 예를 들어, 제조 장비는 기판 상에 대체 층들을 퇴적하기 위해 화학 기상 퇴적(CVD) 프로세스를 수행할 수 있다. 센서들은 제조 프로세스들 동안 제조 장비의 제조 파라미터들을 결정하기 위해 사용될 수 있고, 계측 장비는 제조 장비에 의해 생산된 제품들의 속성 데이터, 예컨대 기판 상의 층들의 전체 두께를 결정하기 위해 사용될 수 있다. 일반적으로, 제조 장비는 퇴적 프로세스 동안 문제를 검출하기 위해 개별 센서들을 모니터링할 수 있다. 그러나, 개별 센서들을 모니터링하는 것은 제조 프로세스 동안 수정 데이터를 제공하지 않고, 이는 품질이 낮거나 사용불가능한 제품들로 이어질 수 있다. 따라서, 제조 프로세스 동안 수정 데이터를 생성할 수 있는 시스템이 바람직하다.
이하는 본 개시내용의 일부 양태들에 대한 기본적인 이해를 제공하기 위한 본 개시내용의 간략화된 요약이다. 이러한 요약은 본 개시내용의 광범위한 개요가 아니다. 이는 본 개시내용의 핵심적 또는 결정적 요소들을 식별하거나, 본 개시내용의 특정 구현들의 임의의 범위 또는 청구항들의 임의의 범위를 기술하도록 의도되지 않는다. 그것의 유일한 목적은 나중에 제시되는 더 상세한 설명에 대한 서두로서 단순화된 형태로 본 개시내용의 일부 개념들을 제시하는 것이다.
본 개시내용의 일 양태에서, 전자 디바이스 제조 시스템은 이송 챔버, 이송 챔버에 결합된 프로세스 챔버, 및 이송 챔버 또는 프로세스 챔버 중 적어도 하나 내에 놓이는 계측 장비를 포함한다. 제조 시스템은 프로세스 레시피에 따라 기판 상에 퇴적 프로세스를 수행하는 프로세스 챔버 내에 위치된 계측 장비를 사용하여 생성된 계측 데이터를 획득하도록 구성되고, 프로세스 레시피는 복수의 세팅 파라미터를 포함하고, 퇴적 프로세스는 기판의 표면 상에 복수의 필름 층을 생성한다. 제조 시스템은 추가로 계측 데이터에 기초하여 수정 프로파일을 생성할 수 있다. 제조 시스템은 추가로 수정 프로파일을 프로세스 레시피에 적용함으로써 업데이트된 프로세스 레시피를 생성할 수 있다. 제조 시스템은 추가로 업데이트된 프로세스 레시피에 따라 기판 상에서 식각 프로세스가 수행되게 할 수 있다.
본 개시내용의 추가 양태는 본 명세서에 설명된 임의의 양태 또는 실시예에 따른 방법을 포함한다.
본 개시내용의 추가 양태는 메모리에 동작가능하게 결합된 처리 디바이스에 의해 실행될 때 본 명세서에 설명된 임의의 양태 또는 실시예에 따른 동작들을 수행하는 명령어들을 포함하는 비-일시적 컴퓨터 판독가능 저장 매체를 포함한다.
본 개시내용은 첨부 도면들 중의 도면들에서, 제한이 아닌 예로서 도시된다.
도 1은 특정 실시예들에 따른 예시적인 시스템 아키텍처를 도시하는 블록도이다.
도 2는 특정 실시예들에 따른 머신 러닝 모델을 훈련하기 위한 방법의 흐름도이다.
도 3은 특정 실시예들에 따른 예시적인 제조 시스템의 상부 개략도이다.
도 4는 특정 실시예들에 따른 예시적인 제조 시스템의 예시적인 프로세스 챔버의 단면 개략 측면도이다.
도 5는 특정 실시예들에 따른 프로세스 레시피에 대한 수정 프로파일을 결정하기 위한 방법의 흐름도이다.
도 6은 특정 실시예들에 따른 프로세스 레시피를 조절하기 위한 방법의 흐름도이다.
도 7은 특정 실시예들에 따른 머신 러닝 모델을 사용하여 수정 프로파일을 결정하기 위한 방법의 흐름도이다.
도 8은 특정 실시예들에 따른 컴퓨터 시스템을 도시하는 블록도이다.
기판 제조 동안 프로세스 챔버 파라미터들을 조절하기 위한 방법들 및 메커니즘들에 관한 기술들이 여기에 설명된다. 제조 시스템의 프로세스 챔버에서 수행되는 퇴적 프로세스(예를 들어, 퇴적(CVD) 프로세스, 원자층 퇴적(ALD) 프로세스 등) 동안 기판의 표면 상에 필름이 퇴적될 수 있다. 예를 들어, CVD 프로세스에서, 기판은 기판 표면에서 반응하여 원하는 퇴적물을 생성하는 하나 이상의 전구체에 노출된다. 필름은 퇴적 프로세스 동안 형성되는 하나 이상의 재료 층을 포함할 수 있고, 각각의 층은 특정 두께 기울기(gradient)(예를 들어, 퇴적된 필름의 층을 따른 두께의 변화)를 포함할 수 있다. 예를 들어, 제1 층은 기판의 표면 상에 직접 형성되고(필름의 근위(proximal) 층 또는 근위 단부라고 지칭됨), 제1 두께를 가질 수 있다. 제1 층이 기판 표면 상에 형성된 후, 제2 두께를 갖는 제2 층이 제1 층 상에 형성될 수 있다. 이 프로세스는 퇴적 프로세스가 완료되고 필름에 대한 최종 층(필름의 원위(distal) 층 또는 원위 단부라고 지칭됨)이 형성될 때까지 계속된다. 필름은 상이한 재료들의 교대 층들을 포함할 수 있다. 예를 들어, 필름은 산화물 및 질화물 층(산화물-질화물-산화물-질화물 스택 또는 ONON 스택)의 교대 층들, 교대하는 산화물 및 폴리실리콘 층들(산화물-폴리실리콘-산화물-폴리실리콘 스택 또는 OPOP 스택) 등을 포함할 수 있다.
필름은 예를 들어 기판의 표면 상에 패턴을 형성하기 위한 식각 프로세스, 필름의 표면을 평활화하기 위한 화학적-기계적 연마(CMP) 프로세스, 또는 완성된 기판을 제조하는 데 필요한 임의의 다른 프로세스를 거칠 수 있다. 식각 프로세스는 샘플 표면에 고에너지 프로세스 가스(예를 들어, 플라즈마)를 노출시켜 표면에서 재료들을 분해하는 것을 포함할 수 있으며, 이는 이후 진공 시스템에 의해 제거될 수 있다.
프로세스 챔버는 프로세스 레시피에 따라 각각의 기판 제조 프로세스(예를 들어, 퇴적 프로세스, 식각 프로세스, 연마 프로세스 등)를 수행할 수 있다. 프로세스 레시피는 프로세스 동안 기판에 대해 수행될 동작들의 특정 세트를 정의하고, 각각의 동작에 연관된 하나 이상의 세팅을 포함할 수 있다. 예를 들어, 퇴적 프로세스 레시피는 프로세스 챔버에 대한 온도 세팅, 프로세스 챔버에 대한 압력 세팅, 기판 표면 상에 퇴적되는 필름에 포함되는 재료에 대한 전구체에 대한 유량 세팅 등을 포함할 수 있다. 따라서, 각각의 필름 층의 두께는 이러한 프로세스 챔버 세팅들과 상관관계가 있을 수 있다.
기판 제조 프로세스 동안, 프로세스 챔버는 오염물질의 축적, 특정 컴포넌트들의 침식 등과 같은 악화 조건들을 경험할 수 있다. 이러한 악화 조건들은 기판들 내에 결함들(예를 들어, 예상된 층 두께로부터 벗어나는 실제 층 두께, 불균일한 층 두께, 불완전한 식각 등)을 야기할 수 있다. 예를 들어, 불균일한 층 두께는 가스 분배 플레이트가 기판의 표면에 더 가까워지거나 멀어지게 할 수 있으며, 이에 따라 후속 식각 프로세스 동안의 플라즈마 유동 및/또는 온도에 영향을 미칠 수 있다. 이는 품질이 낮은 제품들, 감소된 제조 수율, 및 상당한 가동중지 시간 및 수리 시간으로 이어질 수 있다. 다른 예에서, 생산 행정(production run)(예를 들어, 기판들의 배치(batch)의 처리)의 시작 동안, 제1 기판이 처리될 수 있고, 프로세스 챔버를 교정하기 위해 사용될 수 있다. 특히, 제1 기판이 처리되고 나면, 기판으로부터 계측 데이터가 추출될 수 있고, 계측 데이터에 기초하여, 기술자는 나머지 배치에 대한 세팅들을 수동으로 조절할 수 있다. 그러나, 이는 시간이 많이 걸리고 오류가 발생하기 쉬운 프로세스이고, 이는 최적의 프로세스 챔버 세팅들(예를 들어, 프로세스 가스의 압력 및 유량, 온도 등)을 달성하는 데에 있어서 지연을 야기할 수 있고, 따라서 제조 지연들 및/또는 필름 내의 변형들을 야기할 수 있다.
본 개시내용의 양태들 및 구현들은 프로세스 챔버 내에 위치된 계측 장비에 의해 생성된 데이터에 기초하여 수정 프로파일들을 생성함으로써 기존 기술의 이러한 단점들 및 다른 단점들을 해결한다. 특히, 계측 장비는 프로세스 챔버의 내부에 포함되거나 프로세스 챔버에 결합될 수 있다("인-시튜 계측 장비"라고 지칭됨). 인-시튜 계측 장비는 기판이 프로세스 챔버 내에 남아 있는 동안, 제조 프로세스(예를 들어, 퇴적 프로세스, 식각 프로세스 등) 이전, 도중 및/또는 이후에 기판에 대한 계측 데이터를 생성하도록 구성될 수 있다. 일부 실시예들에서, 인-시튜 계측 장비는 필름의 치수들(예를 들어, 두께, 높이 등) 및 기판 상의 식각을 측정하기 위한 광학 장비(예를 들어, IR 카메라)를 포함될 수 있다. 예를 들어, 인-시튜 계측 장비는 광학 장비를 사용하여 전체 기판을 따라 약 3,000개 위치에 대한 치수 데이터를 획득할 수 있다. 다른 예에서, 인-시튜 계측 장비는 광학 장비를 사용하여 기판의 에지를 따라서만 치수 데이터를 획득할 수 있으며, 이는 퇴적된 필름의 에지를 따른 식각 프로세스를 개선하기 위해 사용될 수 있다. 인-시튜 계측 장비는 계측 데이터를 사용하여 기판의 표면에 걸쳐 하나 이상의 두께 값을 나타내는 두께 프로파일을 생성할 수 있다. 두께 프로파일은 기판 상의 필름의 두께, 기판 상의 각각의 식각의 깊이 등을 나타낼 수 있다.
인-시튜 계측 장비는 제조 프로세스 동안 상이한 시간들에 두께 프로파일들을 생성할 수 있다. 예를 들어, 프로세스 레시피의 제1 단계가 기판 상에서 수행될 수 있고, 다음으로 인-시튜 계측 장비가 기판의 제1 두께 프로파일을 생성할 수 있다. 다음으로, 프로세스 레시피의 제2 단계가 기판에서 수행될 수 있고, 다음으로 인-시튜 계측 장비가 기판의 제2 두께 프로파일을 생성할 수 있는 등이다.
두께 프로파일들은 수정 프로파일을 생성하기 위해 제조 시스템에 의해 사용될 수 있다. 수정 프로파일은 프로세스 레시피 또는 프로세스 챔버의 파라미터들에 적용될 하나 이상의 조절 또는 오프셋(예를 들어, 수정 액션들)을 포함할 수 있다. 예를 들어, 수정 프로파일은 프로세스 챔버에 대한 온도 세팅, 프로세스 챔버에 대한 압력 세팅, 기판 표면 상에 퇴적되는 필름에 포함되는 재료에 대한 전구체에 대한 유량 세팅, 프로세스 챔버에 공급되는 전력, 둘 이상의 세팅의 비율 등에 대한 조절을 포함할 수 있다. 제조 시스템은 예상 프로파일(예를 들어, 프로세스 레시피에 의해 생성될 것으로 예상되는 두께 프로파일)과 생성된 두께 프로파일 사이의 차이를 결정함으로써 수정 프로파일들을 생성할 수 있다. 예를 들어, 제조 시스템은 차이에 알고리즘을 적용하고/거나 각각의 차이가 수정 프로파일에 연관지어져 있는 알려진 이상들(faults)의 라이브러리에서 차이를 조회함으로써 수정 프로파일을 생성할 수 있다. 수정 프로파일(들)은 퇴적 프로세스, 식각 프로세스 등의 하나 이상의 단계에 적용될 수 있다. 일부 실시예들에서, 각각의 수정 프로파일은 현재 프로세스 단계의 완료 시에 프로세스 레시피의 후속 단계들에 적용될 수 있다. 이는 제조 장비가 현재 기판을 제조하는 동안 이미 발생한 편차들을 보상하는 것을 허용한다. 다른 실시예들에서, 후속 기판들에 대해, 각각의 수정 프로파일은 상기 수정 프로파일을 생성하는 데 사용되는 계측 데이터에 연관된 프로세스 단계에 적용될 수 있다. 이는 제조 시스템이 후속 기판들의 제조를 위한 조절들을 생성하는 것을 허용한다.
일부 실시예들에서, 제조 시스템은 수정 프로파일에 기초하여 제2 프로세스 챔버에 대해 챔버 매칭 동작을 수행할 수 있다. 챔버 매칭은 하드웨어 변동들로 인한 기판들 간의 프로세스 변동을 최소화하기 위해 사용될 수 있다. 예를 들어, 하드웨어 변동들은 상이한 척 온도들, 상이한 덮개 온도들, 각각의 프로세스 챔버로의 전력 전달의 차이들, 가스 유동 및/또는 압력의 차이들 등을 포함할 수 있다. 챔버 매칭 동작은 제2 프로세스 챔버의 하나 이상의 파라미터를 조절하는 것, 또는 제2 프로세스 챔버에서 기판을 생성하는 데 사용되는 레시피의 하나 이상의 파라미터를 조절하는 것을 포함할 수 있다.
일부 실시예들에서, 예측 시스템은 프로세스 레시피의 하나 이상의 파라미터에 대한 수정을 나타내는 하나 이상의 값과 같은 출력을 생성하기 위해, 머신 러닝 모델을 훈련하고 두께 프로파일에 연관된 현재 센서 값들에 적용할 수 있다. 일부 실시예들에서, 출력은 기판 상의 필름의 예상 두께 프로파일과 기판 상의 필름의 실제 두께 프로파일 사이의 차이를 나타내는 값이다. 일부 실시예들에서, 다음으로, 시스템은 (예상 두께 프로파일과 실제 두께 프로파일 사이의) 차이를 알려진 이상(예를 들어, 편차) 패턴들의 라이브러리와 비교하여 수정 프로파일을 결정할 수 있다. 일부 실시예들에서, 시스템은 수정 패턴에 기초하여, 퇴적 프로세스 레시피의 하나 이상의 파라미터(예를 들어, 프로세스 챔버에 대한 온도 세팅, 프로세스 챔버에 대한 압력 세팅, 기판 표면 상에 퇴적되는 필름에 포함되는 재료를 위한 전구체에 대한 유량 세팅 등), 식각 프로세스의 하나 이상의 파라미터, 또는 임의의 다른 제조 프로세스의 하나 이상의 파라미터를 조절하기 위한 수정 액션을 수행한다.
본 개시내용의 양태들은 프로세스 레시피의 파라미터들의 최적화를 수행하는 데 걸리는 시간의 상당한 감소라는 기술적 이점을 초래한다. 개시된 구성은 시스템이 주기적으로, 예를 들어 프로세스 레시피의 각각의 단계 동안, 프로세스 챔버를 특성화하도록 허용한다. 본 개시내용의 양태들은 제조 프로세스 동안 기판이 경험하는 문제들 또는 장애들을 검출하기 위한 시간의 상당한 감소는 물론, 에너지 소비 개선 등의 기술적 이점을 추가로 초래한다. 본 개시내용은 또한 진단 데이터를 생성하고 수정 액션들을 수행하여, 일관되지 않고 비정상적인 제품들, 및 스케줄링되지 않은 사용자 시간 또는 중단 시간을 피하는 결과를 낳을 수 있다.
도 1은 본 개시내용의 양태들에 따른 예시적인 컴퓨터 시스템 아키텍처(100)를 도시한다. 일부 실시예들에서, 컴퓨터 시스템 아키텍처(100)는 도 3의 제조 시스템(300)과 같은, 기판들을 처리하기 위한 제조 시스템의 일부로서 포함될 수 있다. 컴퓨터 시스템 아키텍처(100)는 클라이언트 디바이스(120), 제조 장비(124), 계측 장비(128), (예를 들어, 예측 데이터를 생성하기 위해, 모델 적응을 제공하기 위해, 지식 베이스를 사용하는 등을 위한) 예측 서버(112), 및 데이터 저장소(140)를 포함한다. 예측 서버(112)는 예측 시스템(110)의 일부일 수 있다. 예측 시스템(110)은 서버 머신들(170 및 180)을 더 포함할 수 있다. 제조 장비(124)는 제조 시스템에서 처리되고 있는 기판에 대한 데이터를 캡처하도록 구성된 센서들(126)을 포함할 수 있다. 일부 실시예들에서, 제조 장비(124) 및 센서들(126)은 센서 서버(예를 들어, 제조 시설의 현장 서비스 서버(field service server)(FSS)) 및 센서 식별자 판독기(예를 들어, 센서 시스템을 위한 전면 개방 통합 포드(front opening unified pod)(FOUP) 무선 주파수 식별(radio frequency identification)(RFID) 판독기)를 포함하는 센서 시스템의 일부일 수 있다. 일부 실시예들에서, 계측 장비(128)는 계측 서버(예를 들어, 계측 데이터베이스, 계측 폴더 등) 및 계측 식별자 판독기(예를 들어, 계측 시스템을 위한 FOUP RFID 판독기)를 포함하는 계측 시스템의 일부일 수 있다.
제조 장비(124)는 레시피를 따르거나 일정 기간에 걸쳐 행정들을 수행하여 전자 디바이스들과 같은 제품들을 생산할 수 있다. 제조 장비(124)는 도 4와 관련하여 설명된 프로세스 챔버(400)와 같은 프로세스 챔버를 포함할 수 있다. 제조 장비(124)는 프로세스 챔버에서 기판(예를 들어, 웨이퍼 등)에 대한 프로세스를 수행할 수 있다. 기판 프로세스들의 예들은 기판의 표면 상에 필름의 하나 이상의 층을 퇴적하기 위한 퇴적 프로세스, 기판의 표면 상에 패턴을 형성하기 위한 식각 프로세스 등을 포함한다. 제조 장비(124)는 프로세스 레시피에 따라 각각의 프로세스를 수행할 수 있다. 프로세스 레시피는 프로세스 동안 기판에 대해 수행될 동작들의 특정 세트를 정의하고, 각각의 동작에 연관된 하나 이상의 세팅을 포함할 수 있다. 예를 들어, 퇴적 프로세스 레시피는 프로세스 챔버에 대한 온도 세팅, 프로세스 챔버에 대한 압력 세팅, 기판 표면 상에 퇴적되는 필름에 포함되는 재료에 대한 전구체에 대한 유량 세팅 등을 포함할 수 있다.
일부 실시예들에서, 제조 장비(124)는 제조 시스템(100)에서 처리된 기판에 연관된 데이터를 생성하도록 구성된 센서들(126)을 포함한다. 예를 들어, 프로세스 챔버는 기판에 대한 프로세스(예를 들어, 퇴적 프로세스)가 수행되기 전, 수행되는 동안, 및/또는 수행된 후에, 기판에 연관된 스펙트럼 또는 비-스펙트럼 데이터를 생성하도록 구성된 하나 이상의 센서를 포함할 수 있다. 일부 실시예들에서, 센서들(126)에 의해 생성된 스펙트럼 데이터는 기판의 표면 상에 퇴적된 하나 이상의 재료의 농도를 나타낼 수 있다. 기판에 연관된 스펙트럼 데이터를 생성하도록 구성된 센서들(126)은 반사측정 센서들, 엘립소메트리 센서들, 열 스펙트럼 센서들, 용량성 센서들 등을 포함할 수 있다. 기판에 연관된 비-스펙트럼 데이터를 생성하도록 구성된 센서들(126)은 온도 센서들, 압력 센서들, 유량 센서들, 전압 센서들 등을 포함할 수 있다. 제조 장비(124)에 관한 추가 상세들은 도 3 및 도 4와 관련하여 제공된다.
일부 실시예들에서, 센서들(126)은 제조 장비(124)에 연관된(예를 들어, 제조 장비(124)에 의해 웨이퍼들과 같은 대응하는 제품들을 생산하는 것에 연관된) 센서 데이터(예를 들어, 센서 값들, 피처들(features), 트레이스 데이터들)를 제공한다. 제조 장비(124)는 레시피를 따르거나 일정 기간에 걸쳐 행정들을 수행함으로써 제품들을 생산할 수 있다. 일정 기간에 걸쳐 수신된 센서 데이터(예를 들어, 레시피 또는 행정의 적어도 일부에 대응함)는 시간에 따라 상이한 센서들(126)로부터 수신된 트레이스 데이터(예를 들어, 이력 트레이스 데이터, 현재 트레이스 데이터 등)로 지칭될 수 있다. 센서 데이터는 온도(예를 들어, 히터 온도), 간격(spacing)(SP), 압력, 고주파 무선 주파수(high frequency radio frequency)(HFRF), 정전 척(electrostatic chuck)(ESC)의 전압, 전류, 재료 유동, 전력, 전압 등 중 하나 이상의 값을 포함할 수 있다. 센서 데이터는 하드웨어 파라미터들, 예컨대 제조 장비(124)의 세팅들 또는 컴포넌트들(예를 들어, 크기, 유형 등), 또는 제조 장비(124)의 프로세스 파라미터들과 같은 제조 파라미터들에 연관되거나 이를 나타낼 수 있다. 센서 데이터는 제조 장비(124)가 제조 프로세스를 수행하고 있는 동안 제공될 수 있다(예를 들어, 제품들을 처리할 때의 장비 판독들). 센서 데이터는 각각의 기판에 대해 상이할 수 있다.
계측 장비(127, 128)는 제조 장비(124)에 의해 처리된 기판들에 연관된 계측 데이터를 제공할 수 있다. 계측 데이터는 필름 속성 데이터(예를 들어, 웨이퍼 공간 필름 속성들(wafer spatial film properties)), 치수들(예를 들어, 두께, 높이 등), 유전 상수, 도펀트 농도, 밀도, 결함들 등의 값을 포함할 수 있다. 일부 실시예들에서, 계측 데이터는 하나 이상의 표면 프로파일 속성 데이터(예를 들어, 식각률, 식각률 균일성, 기판의 표면 상에 포함된 하나 이상의 피처의 임계 치수, 기판의 표면에 걸친 임계 치수 균일성, 에지 배치 에러(edge placement error) 등)의 값을 더 포함할 수 있다. 계측 데이터는 완제품 또는 반제품의 것일 수 있다. 계측 데이터는 각각의 기판에 대해 상이할 수 있다. 계측 데이터는 예를 들어 반사측정 기술들, 엘립소메트리 기술들, TEM 기술들 등을 사용하여 생성될 수 있다.
계측 장비(127)는 제조 장비(124)의 일부로서 포함될 수 있다. 예를 들어, 계측 장비(127)는 프로세스 챔버의 내부에 포함되거나 프로세스 챔버에 결합될 수 있고, 기판이 프로세스 챔버에 남아 있는 동안, 프로세스(예를 들어, 퇴적 프로세스, 식각 프로세스 등) 전에, 프로세스 중에 및/또는 프로세스 후에 기판에 대한 계측 데이터를 생성하도록 구성될 수 있다. 일부 경우들에서, 계측 장비(127)는 인-시튜 계측 장비로 지칭될 수 있다. 다른 예에서, 계측 장비(127)는 제조 장비(124)의 다른 스테이션에 결합될 수 있다. 예를 들어, 계측 장비는 도 3의 이송 챔버(310)와 같은 이송 챔버, 로드 락(320)과 같은 로드 락, 또는 팩토리 인터페이스(306)와 같은 팩토리 인터페이스에 결합될 수 있다.
클라이언트 디바이스(120)는 개인용 컴퓨터(PC), 랩톱, 이동 전화, 스마트폰, 태블릿 컴퓨터, 넷북 컴퓨터, 네트워크 연결 텔레비전("스마트 TV"), 네트워크 연결 미디어 플레이어(예를 들어, 블루레이 플레이어), 셋톱 박스, OTT(over-the-top) 스트리밍 디바이스, 오퍼레이터 박스 등과 같은 컴퓨팅 디바이스를 포함할 수 있다. 일부 실시예들에서, 계측 데이터는 클라이언트 디바이스(120)로부터 수신될 수 있다. 클라이언트 디바이스(120)는 그래픽 사용자 인터페이스(GUI)를 디스플레이할 수 있고, GUI는 사용자가 제조 시스템에서 처리된 기판들에 대한 계측 측정 값들을 입력으로서 제공할 수 있게 한다. 클라이언트 디바이스(120)는 수정 액션 컴포넌트(122)를 포함할 수 있다. 수정 액션 컴포넌트(122)는 제조 장비(124)에 연관된 표시의 사용자 입력을 (예를 들어, 클라이언트 디바이스(120)를 통해 디스플레이되는 그래픽 사용자 인터페이스(GUI)를 통해) 수신할 수 있다. 일부 실시예들에서, 수정 액션 컴포넌트(122)는 예측 시스템(110)에 표시를 전송하고, 예측 시스템(110)으로부터 출력(예를 들어, 예측 데이터)을 수신하고, 출력에 기초하여 수정 액션을 결정하고, 수정 액션이 구현되게 한다. 일부 실시예들에서, 수정 액션 컴포넌트(122)는 예측 시스템(110)으로부터 수정 액션의 표시를 수신하고, 수정 액션이 구현되게 한다. 각각의 클라이언트 디바이스(120)는 사용자들이 데이터(예를 들어, 제조 장비(124)에 연관된 표시, 제조 장비(124)에 연관된 수정 액션들 등)를 생성하는 것, 보는 것 또는 편집하는 것 중 하나 이상을 하도록 허용하는 운영 체제를 포함할 수 있다.
데이터 저장소(140)는 메모리(예를 들어, 랜덤 액세스 메모리), 드라이브(예를 들어, 하드 드라이브, 플래시 드라이브), 데이터베이스 시스템, 또는 데이터를 저장할 수 있는 다른 유형의 컴포넌트 또는 디바이스일 수 있다. 데이터 저장소(140)는 복수의 컴퓨팅 디바이스(예를 들어, 복수의 서버 컴퓨터)에 걸쳐 있을 수 있는 복수의 저장 컴포넌트(예를 들어, 복수의 드라이브 또는 복수의 데이터베이스)를 포함할 수 있다. 데이터 저장소(140)는 제조 장비(124)에서 기판을 처리하는 것에 연관된 데이터를 저장할 수 있다. 예를 들어, 데이터 저장소(140)는 기판 프로세스 전에, 기판 프로세스 동안, 또는 기판 프로세스 후에 제조 장비(124)에서 센서들(126)에 의해 수집된 데이터(프로세스 데이터라고 함)를 저장할 수 있다. 프로세스 데이터는 이력 프로세스 데이터(예를 들어, 제조 시스템에서 처리된 이전 기판에 대해 생성된 프로세스 데이터) 및/또는 현재 프로세스 데이터(예를 들어, 제조 시스템에서 처리된 현재 기판에 대해 생성된 프로세스 데이터)를 참조할 수 있다. 데이터 저장소는 또한 제조 장비(124)에서 처리된 기판의 부분에 연관된 스펙트럼 데이터 또는 비-스펙트럼 데이터를 저장할 수 있다. 스펙트럼 데이터는 이력 스펙트럼 데이터 및/또는 현재 스펙트럼 데이터를 포함할 수 있다.
데이터 저장소(140)는 또한 제조 시스템에서 처리되는 하나 이상의 기판에 연관된 컨텍스트 데이터를 저장할 수 있다. 컨텍스트 데이터는 레시피 이름, 레시피 단계 번호, 예방적 유지보수 표시자(preventive maintenance indicator), 오퍼레이터 등을 포함할 수 있다. 컨텍스트 데이터는 이력 컨텍스트 데이터(예를 들어, 이전 기판에 대해 수행된 이전 프로세스에 연관된 컨텍스트 데이터) 및/또는 현재 프로세스 데이터(예를 들어, 현재 프로세스 또는 이전 기판에 대해 수행될 장래 프로세스에 연관된 컨텍스트 데이터)를 참조할 수 있다. 컨텍스트 데이터는 프로세스 챔버의 특정 서브시스템에 연관된 식별 센서들을 더 포함할 수 있다.
데이터 저장소(140)는 또한 태스크 데이터를 저장할 수 있다. 태스크 데이터는 퇴적 프로세스 동안 기판에 대해 수행될 동작들의 하나 이상의 세트를 포함할 수 있고, 각각의 동작에 연관된 하나 이상의 세팅을 포함할 수 있다. 예를 들어, 퇴적 프로세스에 대한 태스크 데이터는 프로세스 챔버에 대한 온도 세팅, 프로세스 챔버에 대한 압력 세팅, 기판 상에 퇴적된 필름의 재료에 대한 전구체에 대한 유량 세팅 등을 포함할 수 있다. 다른 예에서, 태스크 데이터는 흐름 값에 대해 정의된 압력 지점에서의 제어 압력을 포함할 수 있다. 태스크 데이터는 이력 태스크 데이터(예를 들어, 이전 기판에 대해 수행된 이전 프로세스에 연관된 태스크 데이터) 및/또는 현재 태스크 데이터(예를 들어, 현재 프로세스 또는 기판에 대해 수행될 장래 프로세스에 연관된 태스크 데이터)를 참조할 수 있다.
일부 실시예들에서, 데이터 저장소(140)는 예상 프로파일들, 두께 프로파일들 및 수정 프로파일들을 저장할 수 있다. 예상 프로파일은 특정 프로세스 레시피에 의해 생성될 것으로 예상되는 원하는 필름 프로파일에 연관된 하나 이상의 데이터 포인트를 포함할 수 있다. 일부 실시예들에서, 예상 프로파일은 필름의 원하는 두께를 포함할 수 있다. 두께 프로파일은 제조 장비(124)에 의해 생성된 현재 필름 프로파일에 연관된 하나 이상의 데이터 포인트를 포함한다. 두께 프로파일은 계측 장비(127, 128)를 사용하여 측정될 수 있다. 수정 프로파일은 프로세스 챔버 또는 프로세스 레시피의 파라미터들에 적용될 하나 이상의 조절 또는 오프셋을 포함할 수 있다. 예를 들어, 수정 프로파일은 프로세스 챔버에 대한 온도 세팅, 프로세스 챔버에 대한 압력 세팅, 기판 표면 상에 퇴적되는 필름에 포함되는 재료에 대한 전구체에 대한 유량 세팅, 프로세스 챔버에 공급되는 전력, 둘 이상의 세팅의 비율 등에 대한 조절을 포함할 수 있다. 수정 프로파일들은 예상 프로파일(예를 들어, 프로세스 레시피에 의해 생성될 것으로 예상되는 두께 프로파일)을 비교하고, 알려진 이상 패턴들의 라이브러리 및/또는 알고리즘을 사용하여, 예상 프로파일을 달성하기 위해 프로세스 레시피의 파라미터들에 적용될 조절을 결정함으로써 생성될 수 있다. 수정 프로파일들은 퇴적 프로세스, 식각 프로세스 등에 연관된 단계들에 적용될 수 있다.
일부 실시예들에서, 데이터 저장소(140)는 제조 시스템의 사용자가 액세스할 수 없는 데이터를 저장하도록 구성될 수 있다. 예를 들어, 제조 시스템에서 처리되고 있는 기판에 대해 획득된 프로세스 데이터, 스펙트럼 데이터, 컨텍스트 데이터 등은 제조 시스템의 사용자(예를 들어, 오퍼레이터)가 액세스할 수 없다. 일부 실시예들에서, 데이터 저장소(140)에 저장된 모든 데이터는 제조 시스템의 사용자에 의해 액세스불가능할 수 있다. 다른 또는 유사한 실시예들에서, 데이터 저장소(140)에 저장된 데이터의 일부는 사용자에 의해 액세스불가능한 반면, 데이터 저장소(140)에 저장된 데이터의 다른 일부는 사용자에 의해 액세스가능할 수 있다. 일부 실시예들에서, 데이터 저장소(140)에 저장된 데이터의 하나 이상의 부분은 사용자에게 알려지지 않은 암호화 메커니즘을 사용하여 암호화될 수 있다(예를 들어, 데이터는 개인 암호화 키를 사용하여 암호화됨). 다른 또는 유사한 실시예들에서, 데이터 저장소(140)는 복수의 데이터 저장소를 포함할 수 있고, 사용자가 액세스할 수 없는 데이터가 하나 이상의 제1 데이터 저장소에 저장되고, 사용자가 액세스할 수 있는 데이터가 하나 이상의 제2 데이터 저장소에 저장된다.
일부 실시예들에서, 데이터 저장소(140)는 알려진 이상 패턴들에 연관된 데이터를 저장하도록 구성될 수 있다. 이상 패턴은 프로세스 챔버 서브시스템에 연관된 하나 이상의 문제 또는 오류에 연관된 하나 이상의 값(예를 들어, 벡터, 스칼라 등)일 수 있다. 일부 실시예들에서, 이상 패턴은 수정 액션에 연관될 수 있다. 예를 들어, 이상 패턴은 그 이상 패턴이 나타내는 문제 또는 오류를 수정하기 위한 파라미터 조절 단계들을 포함할 수 있다. 예를 들어, 예측 시스템은 결정된 이상 패턴을 알려진 이상 패턴들의 라이브러리와 비교하여, 서브시스템이 경험하는 오류의 유형, 오류의 원인, 이상을 수정하기 위해 권장되는 수정 액션 등을 결정할 수 있다.
일부 실시예들에서, 예측 시스템(110)은 예측 서버(112), 서버 머신(170), 및 서버 머신(180)을 포함한다. 예측 서버(112), 서버 머신(170), 및 서버 머신(180)은 각각 랙마운트 서버, 라우터 컴퓨터, 서버 컴퓨터, 개인용 컴퓨터, 메인프레임 컴퓨터, 랩톱 컴퓨터, 태블릿 컴퓨터, 데스크톱 컴퓨터, 그래픽 처리 유닛(Graphics Processing Unit)(GPU), 가속기 주문형 집적 회로(Application-Specific Integrated Circuit)(ASIC)(예를 들어, 텐서 처리 유닛(Tensor Processing Unit)(TPU)) 등과 같은 하나 이상의 컴퓨팅 디바이스를 포함할 수 있다.
서버 머신(170)은 머신 러닝 모델(190)을 훈련, 검증 및/또는 테스트하기 위해 훈련 데이터 세트들(예를 들어, 데이터 입력들의 세트 및 목표 출력들의 세트)을 생성할 수 있는 훈련 세트 생성기(172)를 포함한다. 머신 러닝 모델(190)은 데이터로부터 학습할 수 있는 임의의 알고리즘 모델일 수 있다. 데이터 세트 생성기(172)의 일부 동작들은 도 2와 관련하여 아래에서 상세히 설명된다. 일부 실시예들에서, 데이터 세트 생성기(172)는 훈련 데이터를 훈련 세트, 검증 세트 및 테스트 세트로 분할할 수 있다. 일부 실시예들에서, 예측 시스템(110)은 복수의 훈련 데이터 세트를 생성한다.
서버 머신(180)은 훈련 엔진(182), 검증 엔진(184), 선택 엔진(185), 및/또는 테스트 엔진(186)을 포함할 수 있다. 엔진은 하드웨어(예를 들어, 회로, 전용 로직, 프로그램 가능 로직, 마이크로코드, 처리 디바이스 등), 소프트웨어(예를 들어, 처리 디바이스, 범용 컴퓨터 시스템 또는 전용 머신에서 실행되는 명령어들), 펌웨어, 마이크로코드 또는 이들의 조합을 참조할 수 있다. 훈련 엔진(182)은 하나 이상의 머신 러닝 모델(190)을 훈련할 수 있다. 머신 러닝 모델(190)은 훈련 입력들 및 대응하는 목표 출력들(각각의 훈련 입력들에 대한 정답들)을 포함하는 훈련 데이터(여기서 훈련 세트로도 지칭됨)를 사용하여 훈련 엔진(182)에 의해 생성되는 모델 아티팩트를 참조할 수 있다. 훈련 엔진(182)은 훈련 입력을 목표 출력(예측될 답변)에 맵핑하는 훈련 데이터에서 패턴들을 찾을 수 있고, 이러한 패턴들을 캡처하는 머신 러닝 모델(190)을 제공할 수 있다. 머신 러닝 모델(190)은 통계적 모델링, 서포트 벡터 머신(support vector machine)(SVM), 라디얼 베이시스 펑션(Radial Basis Function)(RBF), 클러스터링, 지도식 머신 러닝(supervised machine-learning), 반-지도식 머신 러닝(semi-supervised machine-learning), 비-지도식 머신 러닝(unsupervised machine-learning), k-최근접 이웃 알고리즘(k-nearest neighbor algorithm)(k-NN), 선형 회귀, 랜덤 포레스트(random forest), 신경망(예를 들어, 인공 신경망) 등 중 하나 이상을 사용할 수 있다.
위의 태스크들 중 일부 또는 전부를 수행하는 데 사용될 수 있는 머신 러닝 모델의 한 유형은 심층 신경망과 같은 인공 신경망이다. 인공 신경망들은 일반적으로 피처들을 원하는 출력 공간에 맵핑하는 분류기 또는 회귀 층들을 갖는 피처 표현 컴포넌트를 포함한다. 예를 들어, 컨볼루션 신경망(convolutional neural network)(CNN)은 컨볼루션 필터들의 복수의 층을 호스팅한다. 풀링(pooling)이 수행되고 비선형성들은 하위 층들에서 해결될 수 있으며, 그 위에서 다층 퍼셉트론(multi-layer perceptron)이 일반적으로 첨부되어, 컨벌루션 층들에 의해 추출된 최상층 피처들을 결정들(예를 들어, 분류 출력들)에 맵핑한다. 딥 러닝은 피처 추출 및 변환을 위해 비선형 처리 유닛들의 복수의 층의 캐스캐이드를 사용하는 머신 러닝 알고리즘들의 클래스이다. 각각의 연속 층은 이전 층으로부터의 출력을 입력으로서 사용한다. 심층 신경망들은 지도식(예를 들어, 분류) 및/또는 비-지도식(예를 들어, 패턴 분석) 방식으로 학습할 수 있다. 심층 신경망들은 층들의 계층구조를 포함하고, 여기서 상이한 층들은 상이한 추상화 레벨들에 대응하는 상이한 표현 레벨들을 학습한다. 딥 러닝에서, 각각의 레벨은 입력 데이터를 약간 더 추상적이고 복합적인 표현으로 변환하는 것을 학습한다. 예를 들어, 플라즈마 프로세스 튜닝에서, 원시 입력은 프로세스 결과 프로파일들(예를 들어, 기판 표면에 걸친 하나 이상의 두께 값을 나타내는 두께 프로파일들)일 수 있고; 제2 층은 플라즈마 프로세스 시스템의 제어된 요소들의 하나 이상의 구역의 상태(예를 들어, 구역들의 배향, 플라즈마 노출 지속시간 등)에 연관된 피처 데이터를 구성할 수 있고; 제3 층은 시작 레시피(예를 들어, 임계 기준을 충족하는 프로세스 결과를 생성하기 위해 기판을 처리하는 업데이트된 프로세스 레시피를 결정하기 위한 시작점으로서 사용되는 레시피)를 포함할 수 있다. 특히, 딥 러닝 프로세스는 자체적으로 어느 피처들을 어느 레벨에 최적으로 배치할지를 학습할 수 있다. "딥 러닝"의 "딥"은 그를 통해 데이터가 변환되는 층들의 수를 참조한다. 보다 정확하게는, 딥 러닝 시스템들은 상당한 신용 할당 경로(credit assignment path)(CAP) 깊이를 갖는다. CAP는 입력으로부터 출력으로의 변환 체인이다. CAP들은 입력과 출력 간의 잠재적 인과 관계들(causal connections)을 설명한다. 피드포워드 신경망의 경우, CAP들의 깊이는 네트워크의 깊이일 수 있으며, 은닉 층들의 수에 1을 더한 것일 수 있다. 신호가 층을 통해 2회 이상 전파될 수 있는 순환 신경망들의 경우, CAP 깊이는 잠재적으로 무제한적이다.
일 실시예에서, 하나 이상의 머신 러닝 모델은 순환 신경망(recurrent neural network)(RNN)이다. RNN은 신경망이 시간 종속성들을 캡처할 수 있도록 하는 메모리를 포함하는 신경망의 유형이다. RNN은 현재 입력과 과거 입력들 둘 다에 의존하는 입력-출력 맵핑들을 학습할 수 있다. RNN은 과거 및 미래의 유량 측정들을 다루고, 이러한 연속 계측 정보에 기초하여 예측을 수행한다. RNN들은 고정된 수의 출력을 생성하기 위해(예를 들어, 기판 처리 속도들의 세트를 결정하기 위해, 기판 프로세스 레시피에 대한 수정을 결정하기 위해) 훈련 데이터세트를 사용하여 훈련될 수 있다. 사용될 수 있는 RNN의 한 유형은 장단기 메모리(long short term memory)(LSTM) 신경망이다.
신경망의 훈련은 지도식 학습 방식으로 달성될 수 있으며, 이는 네트워크를 통해 레이블링된 입력들로 이루어진 훈련 데이터세트를 공급하고, 그것의 출력들을 관찰하고, (출력들과 레이블 값들 간의 차이를 측정함으로써) 에러를 정의하고, 심층 경사 하강법(deep gradient descent) 및 역전파(backpropagation)와 같은 기술들을 사용하여 에러가 최소화되도록 그것의 모든 층들 및 노드들에 걸쳐 네트워크의 가중치들을 튜닝하는 것을 수반한다. 많은 응용들에서, 훈련 데이터세트 내의 다수의 레이블링된 입력에 대해 이 프로세스를 반복하면, 훈련 데이터세트에 있는 것들과는 다른 입력들이 제시될 때 올바른 출력을 생성할 수 있는 네트워크가 생성된다.
수백, 수천, 수만, 수십만 또는 그 이상의 센서 데이터 및/또는 프로세스 결과 데이터(예를 들어, 센서 데이터에 연관된 하나 이상의 두께 프로파일과 같은 계측 데이터)를 포함하는 훈련 데이터세트는 훈련 데이터세트를 형성하기 위해 사용될 수 있다.
훈련을 실행하기 위해, 처리 로직은 훈련 데이터세트(들)를 하나 이상의 훈련되지 않은 머신 러닝 모델에 입력할 수 있다. 머신 러닝 모델에 제1 입력을 입력하기 전에, 머신 러닝 모델은 초기화될 수 있다. 처리 로직은 훈련 데이터세트(들)에 기초하여 훈련되지 않은 머신 러닝 모델(들)을 훈련하여, 위에 제시된 바와 같이 다양한 동작들을 수행하는 하나 이상의 훈련된 머신 러닝 모델을 생성한다. 훈련은 하나 이상의 센서 데이터를 한 번에 하나씩 머신 러닝 모델에 입력함으로써 수행될 수 있다.
머신 러닝 모델은 입력을 처리하여 출력을 생성한다. 인공 신경망은 데이터 포인트 내의 값들로 이루어진 입력 층을 포함한다. 다음 층은 은닉 층이라고 칭해지며, 은닉 층의 노드들은 각각 입력 값들 중 하나 이상을 수신한다. 각각의 노드는 입력 값들에 적용할 파라미터들(예를 들어, 가중치들)을 포함한다. 따라서, 각각의 노드는 본질적으로 입력 값들을 다변량 함수(예를 들어, 비선형 수학적 변환)에 입력하여 출력 값을 생성한다. 다음 층은 다른 은닉 층 또는 출력 층일 수 있다. 어느 경우에서든, 다음 층의 노드들은 이전 층의 노드들로부터 출력 값들을 수신하고, 각각의 노드는 해당 값들에 가중치들을 적용한 다음, 자체 출력 값을 생성한다. 이는 각각의 층에서 수행될 수 있다. 최종 계층은 머신 러닝 모델이 생성할 수 있는 각각의 클래스, 예측 및/또는 출력에 대해 하나의 노드가 있는 출력 층이다.
따라서, 출력은 하나 이상의 예측 또는 추론을 포함할 수 있다. 예를 들어, 출력 예측 또는 추론은 챔버 컴포넌트들 상의 필름 축적, 챔버 컴포넌트들의 침식, 챔버 컴포넌트들의 예상 고장 등에 대한 하나 이상의 예측을 포함할 수 있다. 처리 로직은 머신 러닝 모델의 출력(예를 들어, 예측 또는 추론)과 입력 훈련 데이터에 연관된 목표 레이블들 간의 차이들에 기초하여 에러(즉, 분류 에러)를 결정한다. 처리 로직은 에러에 기초하여 머신 러닝 모델 내의 하나 이상의 노드의 가중치들을 조절한다. 인공 신경망 내의 각각의 노드에 대해 에러 항 또는 델타가 결정될 수 있다. 이러한 에러에 기초하여, 인공 신경망은 그것의 노드들 중 하나 이상에 대한 그것의 파라미터들(노드의 하나 이상의 입력에 대한 가중치들) 중 하나 이상을 조절한다. 파라미터들은 가장 높은 층의 노드들이 먼저 업데이트된 후 다음 층의 노드들이 업데이트되는 식으로 되도록, 역전파 방식으로 업데이트될 수 있다. 인공 신경망은 복수의 층의 "뉴런들"을 포함하고, 각각의 층은 이전 층의 뉴런들로부터 입력 값들을 수신한다. 각각의 뉴런에 대한 파라미터들은 이전 층의 뉴런들 각각으로부터 수신된 값들에 연관된 가중치들을 포함한다. 따라서, 파라미터들을 조절하는 것은 인공 신경망의 하나 이상의 층에서 하나 이상의 뉴런에 대한 입력들 각각에 할당되는 가중치들을 조절하는 것을 포함할 수 있다.
하나 이상의 훈련 라운드 후에, 처리 로직은 정지 기준이 충족되었는지를 결정할 수 있다. 정지 기준은 정확도의 목표 레벨, 훈련 데이터세트로부터의 처리된 이미지들의 목표 수, 하나 이상의 이전 데이터 포인트에 걸친 파라미터들에 대한 목표 변화량, 이들의 조합, 및/또는 다른 기준일 수 있다. 일 실시예에서, 정지 기준은 적어도 최소 개수의 데이터 포인트가 처리되고 적어도 임계 정확도가 달성될 때 충족된다. 임계 정확도는 예를 들어 70%, 80% 또는 90% 정확도일 수 있다. 일 실시예에서, 머신 러닝 모델의 정확도가 개선을 멈춘 경우 정지 기준이 충족된다. 정지 기준이 충족되지 않으면, 추가 훈련이 수행된다. 정지 기준이 충족되면, 훈련이 완료될 수 있다. 머신 러닝 모델이 훈련되고 나면, 모델을 테스트하기 위해 훈련 데이터세트의 예약된 부분이 사용될 수 있다.
하나 이상의 훈련된 머신 러닝 모델(190)이 생성되고 나면, 이들은 예측 컴포넌트(114)로서 또는 예측 컴포넌트(114)의 컴포넌트로서 예측 서버(112)에 저장될 수 있다.
검증 엔진(184)은 훈련 세트 생성기(172)로부터의 검증 세트의 대응하는 피처 세트를 사용하여 머신 러닝 모델(190)을 검증할 수 있을 수 있다. 모델 파라미터들이 최적화되고 나면, 모델이 개선되었는지를 결정하고 딥 러닝 모델의 현재 정확도를 결정하기 위해 모델 검증이 수행될 수 있다. 검증 엔진(184)은 검증 세트의 대응하는 피처 세트들에 기초하여 머신 러닝 모델(190)의 정확도를 결정할 수 있다. 검증 엔진(184)은 임계 정확도를 충족하지 않는 정확도를 갖는 훈련된 머신 러닝 모델(190)을 폐기할 수 있다. 일부 실시예들에서, 선택 엔진(185)은 임계 정확도를 충족하는 정확도를 갖는 훈련된 머신 러닝 모델(190)을 선택할 수 있다. 일부 실시예들에서, 선택 엔진(185)은 훈련된 머신 러닝 모델들(190) 중 가장 높은 정확도를 갖는 훈련된 머신 러닝 모델(190)을 선택할 수 있다.
테스트 엔진(186)은 데이터 세트 생성기(172)로부터의 테스트 세트의 대응하는 피처 세트를 사용하여 훈련된 머신 러닝 모델(190)을 테스트할 수 있을 수 있다. 예를 들어, 훈련 세트의 제1 피처 세트를 사용하여 훈련된 제1 훈련된 머신 러닝 모델(190)은 테스트 세트의 제1 피처 세트를 사용하여 테스트될 수 있다. 테스트 엔진(186)은 테스트 세트에 기초하여 모든 훈련된 머신 러닝 모델 중 가장 높은 정확도를 갖는 훈련된 머신 러닝 모델(190)을 결정할 수 있다.
아래에 상세하게 설명되는 바와 같이, 예측 서버(112)는 프로세스 챔버의 각각의 서브시스템의 예상 거동을 나타내는 데이터를 제공할 수 있고 훈련된 머신 러닝 모델(190)을 현재 센서 데이터 입력에 대해 실행하여 하나 이상의 출력을 획득할 수 있는 예측 컴포넌트(114)를 포함한다. 예측 서버(112)는 프로세스 챔버 서브시스템의 건강상태 및 진단을 나타내는 데이터를 추가로 제공할 수 있다. 이것은 아래에서 추가로 상세하게 설명될 것이다.
클라이언트 디바이스(120), 제조 장비(124), 센서(126), 계측 장비(128), 예측 서버(112), 데이터 저장소(140), 서버 머신(170) 및 서버 머신(180)은 네트워크(130)를 통해 서로 결합될 수 있다. 일부 실시예들에서, 네트워크(130)는 클라이언트 디바이스(120)에 예측 서버(112), 데이터 저장소(140), 및 다른 공개적으로 이용가능한 컴퓨팅 디바이스들에 대한 액세스를 제공하는 공개 네트워크이다. 일부 실시예들에서, 네트워크(130)는 제조 장비(124), 계측 장비(128), 데이터 저장소(140), 및 다른 개인적으로 이용가능한 컴퓨팅 디바이스들에 대한 클라이언트 디바이스(120) 액세스를 제공하는 사설 네트워크이다. 네트워크(130)는 하나 이상의 광역 네트워크(wide area network)(WAN), 근거리 네트워크(local area network) (LAN), 유선 네트워크(예를 들어, 이더넷 네트워크), 무선 네트워크(예를 들어, 802.11 네트워크 또는 Wi-Fi 네트워크), 셀룰러 네트워크(예를 들어, LTE(Long Term Evolution) 네트워크), 라우터, 허브, 스위치, 서버 컴퓨터, 클라우드 컴퓨팅 네트워크 및/또는 이들의 조합을 포함할 수 있다.
일부 다른 구현들에서, 예측 서버(112)뿐만 아니라 서버 머신들(170 및 180)의 기능들이 더 적은 수의 머신에 의해 제공될 수 있다는 점에 유의해야 한다. 예를 들어, 일부 실시예들에서는 서버 머신들(170 및 180)이 단일 머신으로 통합될 수 있는 반면, 일부 다른 또는 유사한 실시예들에서는 예측 서버(112)뿐만 아니라 서버 머신들(170 및 180)이 단일 머신으로 통합될 수 있다.
일반적으로, 하나의 구현에서 서버 머신(170), 서버 머신(180) 및/또는 예측 서버(112)에 의해 수행되는 것으로 설명된 기능들은 또한 클라이언트 디바이스(120)에서 수행될 수 있다. 추가로, 특정 컴포넌트에 기인하는 기능성은 함께 동작하는 상이한 또는 복수의 컴포넌트에 의해 수행될 수 있다.
실시예들에서, "사용자"는 단일 개인으로서 표현될 수 있다. 그러나, 본 개시내용의 다른 실시예들은 복수의 사용자 및/또는 자동화된 소스에 의해 제어되는 엔티티인 "사용자"를 포괄한다. 예를 들어, 관리자들의 그룹으로서 연합된 개별 사용자들의 세트는 "사용자"로 간주될 수 있다.
도 2는 본 개시내용의 양태들에 따라 머신 러닝 모델을 훈련하기 위한 방법(200)의 흐름도이다. 방법(200)은 하드웨어(회로부, 전용 로직 등), (범용 컴퓨터 시스템 또는 전용 머신에서 실행되는 것과 같은) 소프트웨어, 펌웨어, 또는 이들의 소정의 조합을 포함할 수 있는 처리 로직에 의해 수행된다. 일 구현에서, 방법(200)은 도 1의 컴퓨터 시스템 아키텍처(100)와 같은 컴퓨터 시스템에 의해 수행될 수 있다. 다른 또는 유사한 구현들에서, 방법(200)의 하나 이상의 동작은 도면들에 도시되지 않은 하나 이상의 다른 머신에 의해 수행될 수 있다. 일부 양태들에서, 방법(200)의 하나 이상의 동작은 서버 머신(170), 서버 머신(180), 및/또는 예측 서버(112)에 의해 수행될 수 있다.
설명을 간단하게 하기 위해, 방법들은 일련의 행위들로서 묘사되고 설명된다. 그러나, 본 개시내용에 따른 행위들은 다양한 순서로 및/또는 동시에, 그리고 여기에 제시되고 설명되지 않은 다른 행위들과 함께 발생할 수 있다. 또한, 개시된 주제에 따른 방법들을 구현하기 위해, 예시된 모든 행위가 수행될 수 있는 것은 아니다. 추가로, 본 기술분야의 통상의 기술자들은 방법들이 대안적으로 상태 다이어그램 또는 이벤트들을 통해 일련의 상호관련된 상태들로서 표현될 수 있음을 이해하고 인식할 것이다. 추가로, 본 명세서에 개시된 방법들은 이러한 방법들을 컴퓨팅 디바이스들에 이송 및 이전하는 것을 용이하게 하기 위해 제조 물품에 저장될 수 있음을 인식해야 한다. 본 명세서에서 사용되는 제조 물품이라는 용어는 임의의 컴퓨터 판독가능 디바이스 또는 저장 매체로부터 액세스가능한 컴퓨터 프로그램을 포괄하도록 의도된다.
블록(210)에서, 처리 로직은 훈련 세트 T를 공집합(empty set)(예를 들어, {})으로 초기화한다.
블록(212)에서, 처리 로직은 이전 기판의 표면 상에 필름의 하나 이상의 층을 퇴적하기 위해 수행된 이전 퇴적 프로세스에 연관된 센서 데이터(예를 들어, 센서 값들, 피처들, 트레이스 데이터)를 획득한다. 센서 데이터는 프로세스 챔버의 서브시스템에 추가로 연관될 수 있다. 서브시스템은 프로세스 챔버의 동작 파라미터와 관련된 센서들의 세트로서 특성화될 수 있다. 동작 파라미터는 온도, 유량, 압력 등일 수 있다. 예를 들어, 압력 서브시스템은 가스 유동, 챔버 압력, 제어 밸브 각도, 포어라인(펌프들 사이의 진공 라인) 압력, 펌프 속도 등을 측정하는 하나 이상의 센서에 의해 특성화될 수 있다. 각각의 프로세스 챔버는 압력 서브시스템, 유동 서브시스템, 온도 서브시스템 등과 같은 복수의 상이한 서브시스템을 포함할 수 있다.
일부 실시예들에서, 퇴적 프로세스에 연관된 센서 데이터는 제조 시스템에서 이전 기판에 대해 이전에 수행된 이전 퇴적 프로세스에 대한 하나 이상의 이전 퇴적 세팅에 연관된 이력 데이터이다. 예를 들어, 이력 데이터는 데이터 저장소(140)에 저장된 이전 퇴적 프로세스에 연관된 이력 컨텍스트 데이터일 수 있다. 일부 실시예들에서, 하나 이상의 이전 퇴적 세팅은 이전 퇴적 프로세스에 대한 이전 온도 세팅, 이전 퇴적 세팅에 대한 이전 압력 세팅, 이전 기판의 표면 상에 퇴적된 이전 필름의 하나 이상의 재료에 대한 전구체를 위한 이전 유량 세팅, 또는 퇴적 프로세스에 연관된 임의의 다른 세팅 중 적어도 하나를 포함할 수 있다. 유량 세팅은 이전 퇴적 프로세스의 초기 인스턴스에서의 전구체에 대한 유량 세팅(초기 유량 세팅이라고 지칭됨), 이전 퇴적 프로세스의 최종 인스턴스에서의 전구체에 대한 유량 세팅(최종 유량 세팅이라고 지칭됨), 또는 퇴적 프로세스 동안의 전구체의 유량에 대한 램핑 속도(ramping rate)를 참조할 수 있다. 일례에서, 이전 필름에 대한 전구체는 붕소 함유 전구체 또는 실리콘 함유 전구체를 포함할 수 있다. 일부 실시예들에서, 센서 데이터는 또한 이전 기판 상에 수행된 이전 식각 프로세스, 또는 프로세스 챔버에서 수행된 임의의 다른 프로세스에 연관될 수 있다.
블록(214)에서, 처리 로직은 이전 기판의 표면 상에 퇴적된 필름에 연관된 계측 데이터를 획득한다. 계측 데이터는 필름 속성 데이터(예를 들어, 웨이퍼 공간 필름 속성들), 치수들(예를 들어, 두께, 높이 등), 유전 상수, 도펀트 농도, 밀도, 결함 등의 값을 포함할 수 있다. 계측 데이터는 하드웨어 파라미터들, 예컨대 제조 장비의 세팅들 또는 컴포넌트들(예를 들어, 크기, 유형 등), 또는 제조 장비의 프로세스 파라미터들과 같은 제조 파라미터들에 연관될 수 있거나 그것들을 나타낼 수 있다. 예에서, 계측 데이터는 이전 기판의 표면 상에 퇴적된 필름에 연관된 두께 데이터(예를 들어, 두께 프로파일(들))를 포함할 수 있다. 두께 데이터는 프로세스 레시피에 연관된 특정 단계에 상호관련될 수 있다. 처리 로직은 이전에 설명된 실시예들에 따라, 데이터 저장소(140)로부터 두께 데이터를 획득할 수 있다.
블록(216)에서, 처리 로직은 이전 기판에 대해 수행된 이전 퇴적 프로세스에 연관된 획득된 센서 데이터에 기초하여 제1 훈련 데이터를 생성한다. 블록(218)에서, 처리 로직은 이전 기판의 표면 상에 퇴적된 필름을 위한 레시피에 연관된 계측 데이터에 기초하여 제2 훈련 데이터를 생성한다.
블록(220)에서, 처리 로직은 제1 훈련 데이터와 제2 훈련 데이터 간의 맵핑을 생성한다. 맵핑은 이전 기판에 대해 수행된 이전 퇴적 프로세스에 대한 데이터를 포함하거나 그에 기초하는 제1 훈련 데이터, 및 이전 기판의 표면 상에 퇴적된 필름에 대한 레시피에 연관된 두께 데이터를 포함하거나 그에 기초하는 제2 훈련 데이터를 참조하며, 여기서 제1 훈련 데이터는 제2 훈련 데이터에 연관(또는 맵핑)된다. 블록(224)에서, 처리 로직은 훈련 세트 T에 맵핑을 추가한다.
블록(226)에서, 처리 로직은 훈련 세트 T가 머신 러닝 모델을 훈련하기에 충분한 양의 훈련 데이터를 포함하는지를 결정한다. 일부 구현들에서는 훈련 세트 T의 충분성이 단순히 훈련 세트 내의 맵핑들의 수에 기초하여 결정될 수 있는 반면, 일부 다른 구현들에서는 훈련 세트 T의 충분성이 입력/출력 맵핑의 수에 추가하여 또는 그를 대신하여 하나 이상의 다른 기준(예를 들어, 훈련 예들의 다양성의 척도 등)에 기초하여 결정될 수 있음에 유의해야 한다. 훈련 세트가 머신 러닝 모델을 훈련하기에 충분한 양의 훈련 데이터를 포함하지 않는다고 결정하는 것에 응답하여, 방법(200)은 블록(212)으로 돌아간다. 훈련 세트 T가 머신 러닝 모델을 훈련하기에 충분한 양의 훈련 데이터를 포함한다고 결정하는 것에 응답하여, 방법(200)은 블록(228)으로 계속된다.
블록(228)에서, 처리 로직은 머신 러닝 모델을 훈련하기 위해 훈련 세트 T를 제공한다. 일 구현에서, 훈련 세트 T는 훈련을 수행하기 위해 서버 머신(180)의 훈련 엔진(182)에 제공된다. 예를 들어, 신경망의 경우, 주어진 입력/출력 맵핑의 입력 값들이 신경망에 입력되고, 입력/출력 맵핑의 출력 값들이 신경망의 출력 노드들에 저장된다. 다음으로, 신경망 내의 연결 가중치들은 학습 알고리즘(예를 들어, 역전파 등)에 따라 조절되고, 훈련 세트 T 내의 다른 입력/출력 맵핑들에 대해 절차가 반복된다.
일부 실시예들에서, 처리 로직은 머신 러닝 모델을 훈련하기 전에 훈련 세트 T로부터 변칙적인 것들을 제거하기 위해 이상치 검출 방법들을 수행할 수 있다. 이상치 검출 방법들은 대부분의 훈련 데이터로부터 크게 차이나는 값들을 식별하는 기술들을 포함할 수 있다. 이러한 값들은 에러들, 잡음들 등으로부터 생성될 수 있다.
블록(228) 후에, 머신 러닝 모델은 퇴적된 필름의 이상 패턴(예를 들어, 비정상 두께)을 나타내는 하나 이상의 값을 생성하고, 수행할 수정 액션의 유형을 나타내는 예측 데이터를 생성하고, 및/또는 의심스러운 문제 또는 고장을 수정하기 위해 수정 액션(들)을 수행하기 위해 사용될 수 있다. 예측 데이터는 이상 패턴을 알려진 이상 패턴들의 라이브러리에 비교함으로써 생성될 수 있다.
일부 실시예들에서, 제조 시스템은 하나보다 많은 프로세스 챔버를 포함할 수 있다. 예를 들어, 도 3의 예시적인 제조 시스템(300)은 다수의 프로세스 챔버(314, 316, 318)를 도시한다. 일부 실시예들에서, 머신 러닝 모델을 훈련하기 위해 획득된 데이터, 및 머신 러닝 모델에 대한 입력으로서 제공되도록 수집된 데이터는 제조 시스템의 동일한 프로세스 챔버에 연관될 수 있다는 점에 유의해야 한다. 다른 또는 유사한 실시예들에서, 머신 러닝 모델을 훈련하기 위해 획득된 데이터, 및 머신 러닝 모델에 대한 입력으로서 제공되도록 수집된 데이터는 제조 시스템의 상이한 프로세스 챔버들에 연관될 수 있다. 다른 또는 유사한 실시예들에서, 머신 러닝 모델을 훈련하기 위해 획득된 데이터는 제1 제조 시스템의 프로세스 챔버에 연관될 수 있고, 머신 러닝 모델에 대한 입력으로서 제공되도록 수집된 데이터는 제2 제조 시스템의 프로세스 챔버에 연관될 수 있다.
도 3은 본 개시내용의 양태들에 따른 예시적인 제조 시스템(300)의 평면 개략도이다. 제조 시스템(300)은 기판(302)에서 하나 이상의 프로세스를 수행할 수 있다. 기판(302)은 그 위에 전자 디바이스들 또는 회로 컴포넌트들을 제조하는 데 적합한, 예를 들어 실리콘 함유 디스크 또는 웨이퍼, 패턴화된 웨이퍼, 유리판, 또는 그와 유사한 것과 같은 임의의 적절하게 견고한 고정 치수의 평평한 물품일 수 있다.
제조 시스템(300)은 프로세스 툴(304), 및 프로세스 툴(304)에 결합된 팩토리 인터페이스(306)를 포함할 수 있다. 프로세스 툴(304)은 내부에 이송 챔버(310)를 갖는 하우징(308)을 포함할 수 있다. 이송 챔버(310)는 주위에 배치되고 그에 결합된 하나 이상의 프로세스 챔버(프로세싱 챔버라고도 지칭됨)(314, 316, 318)를 포함할 수 있다. 프로세스 챔버들(314, 316, 318)은 슬릿 밸브들 또는 그와 유사한 것과 같은 각각의 포트들을 통해 이송 챔버(310)에 결합될 수 있다. 이송 챔버(310)는 또한 프로세스 챔버들(314, 316, 318), 로드 락(320) 등의 사이에서 기판(302)을 이송하도록 구성된 이송 챔버 로봇(312)을 포함할 수 있다. 이송 챔버 로봇(312)은 하나 또는 복수의 암을 포함할 수 있으며, 각각의 암은 각각의 암의 단부에 하나 이상의 엔드 이펙터를 포함한다. 엔드 이펙터는 웨이퍼들, 센서 디스크들, 센서 툴들 등과 같은 특정 개체들을 다루도록 구성할 수 있다.
프로세스 챔버들(314, 316, 318)은 기판들(302) 상에서 임의의 수의 프로세스를 수행하도록 적응될 수 있다. 동일하거나 상이한 기판 프로세스가 각각의 프로세싱 챔버(314, 316, 318)에서 발생할 수 있다. 기판 프로세스는 원자층 퇴적(ALD), 물리적 기상 퇴적(PVD), 화학적 기상 퇴적(CVD), 식각, 어닐링, 경화, 사전 세정, 금속 또는 금속 산화물 제거, 또는 그와 유사한 것을 포함할 수 있다. 그 안의 기판들에 대해 다른 프로세스들이 수행될 수 있다. 프로세스 챔버들(314, 316, 318)은 각각 기판 프로세스 전에, 기판 프로세스 후에, 또는 기판 프로세스 동안에 기판(302)에 대한 데이터를 캡처하도록 구성된 하나 이상의 센서를 포함할 수 있다. 예를 들어, 하나 이상의 센서는 기판 프로세스 동안 기판(302)의 일부에 대한 스펙트럼 데이터 및/또는 비-스펙트럼 데이터를 캡처하도록 구성될 수 있다. 다른 또는 유사한 실시예들에서, 하나 이상의 센서는 기판 프로세스 전에, 기판 프로세스 후에, 또는 기판 프로세스 동안에 프로세스 챔버(314, 316, 318) 내의 환경에 연관된 데이터를 캡처하도록 구성될 수 있다. 예를 들어, 하나 이상의 센서는 기판 프로세스 동안 프로세스 챔버(314, 316, 318) 내의 환경의 온도, 압력, 가스 농도 등에 연관된 데이터를 캡처하도록 구성될 수 있다. 일부 실시예들에서, 프로세스 챔버(314, 316, 318)는 계측 장비(340)를 포함할 수 있다.
계측 장비(340)는 계측 장비(127, 128)와 유사하거나 동일할 수 있다. 일부 실시예들에서, 계측 장비(340)는 도시된 바와 같이 프로세스 툴 내에 위치될 수 있다. 다른 실시예들에서, 계측 장비(340)는 하나 이상의 프로세스 챔버(314, 316, 318) 내에 위치될 수 있다. 일부 실시예들에서, 기판은 이송 챔버 로봇(312)을 사용하여 계측 장비(340) 상에 배치될 수 있다. 다른 실시예들에서, 계측 장비(340)는 기판 지지 조립체(도시되지 않음)의 일부일 수 있다.
로드 락(320)은 또한 하우징(308) 및 이송 챔버(310)에 결합될 수 있다. 로드 락(320)은 일측의 이송 챔버(310), 및 팩토리 인터페이스(306)와 인터페이스하고 그에 결합되도록 구성될 수 있다. 일부 실시예들에서, 로드 락(320)은 진공 환경(여기서, 기판들이 이송 챔버(310)로 및 이송 챔버로부터 이송될 수 있음)으로부터 대기압 또는 그 부근의 불활성 가스 환경(여기서, 기판들이 팩토리 인터페이스(306)로 및 팩토리 인터페이스로부터 이송될 수 있음)으로 변경될 수 있는, 환경적으로 제어되는 분위기를 가질 수 있다. 팩토리 인터페이스(306)는 예를 들어 장비 전단부 모듈(Equipment Front End Module)(EFEM)과 같은 임의의 적합한 인클로저일 수 있다. 팩토리 인터페이스(306)는 팩토리 인터페이스(306)의 다양한 로드 포트들(324)에 도킹된 기판 캐리어들(322)(예를 들어, 전면 개방 통합 포드(Front Opening Unified Pod)(FOUP)들)로부터 기판들(302)을 수용하도록 구성될 수 있다. 팩토리 인터페이스 로봇(326)(점선으로 도시됨)은 캐리어들(컨테이너들이라고도 지칭됨)(322)과 로드 락(320) 사이에서 기판들(302)을 이송하도록 구성될 수 있다. 캐리어들(322)은 기판 저장 캐리어 또는 교체 부품 저장 캐리어일 수 있다.
제조 시스템(300)은 또한 제조 시스템(300)에 관한 정보를 사용자(예를 들어, 오퍼레이터)에게 제공하도록 구성된 클라이언트 디바이스(도시되지 않음)에 연결될 수 있다. 일부 실시예들에서, 클라이언트 디바이스는 하나 이상의 그래픽 사용자 인터페이스(GUI)를 통해 제조 시스템(300)의 사용자에게 정보를 제공할 수 있다. 예를 들어, 클라이언트 디바이스는 GUI를 통해 프로세스 챔버(314, 316, 318)에서 수행되는 퇴적 프로세스 동안 기판(302)의 표면 상에 퇴적될 필름에 대한 목표 두께 프로파일에 관한 정보를 제공할 수 있다. 클라이언트 디바이스는 또한 본 명세서에 설명된 실시예들에 따라 목표 프로파일에 대응하는 것으로 예측되는 퇴적 세팅들의 각각의 세트를 고려하여 프로세스 레시피에 대한 수정에 관한 정보를 제공할 수 있다.
제조 시스템(300)은 또한 시스템 제어기(328)를 포함할 수 있다. 시스템 제어기(328)는 개인용 컴퓨터, 서버 컴퓨터, 프로그램 가능 로직 제어기(PLC), 마이크로컨트롤러 등과 같은 컴퓨팅 디바이스일 수 있고/거나 이를 포함할 수 있다. 시스템 제어기(328)는 마이크로프로세서, 중앙 처리 유닛 또는 그와 유사한 것과 같은 범용 처리 디바이스들일 수 있는 하나 이상의 처리 디바이스를 포함할 수 있다. 더 구체적으로, 처리 디바이스는 CISC(complex instruction set computing) 마이크로프로세서, RISC(reduced instruction set computing) 마이크로프로세서, VLIW(very long instruction word) 마이크로프로세서, 또는 다른 명령어 세트들을 구현하는 프로세서 또는 명령어 세트들의 조합을 구현하는 프로세서들일 수 있다. 처리 디바이스는 또한 ASIC(application specific integrated circuit), FPGA(field programmable gate array), DSP(digital signal processor), 네트워크 프로세서, 또는 그와 유사한 것과 같은 하나 이상의 특수 목적 처리 디바이스일 수 있다. 시스템 제어기(328)는 데이터 저장 디바이스(예를 들어, 하나 이상의 디스크 드라이브 및/또는 솔리드 스테이트 드라이브), 메인 메모리, 정적 메모리, 네트워크 인터페이스 및/또는 다른 컴포넌트들을 포함할 수 있다. 시스템 제어기(328)는 본 명세서에 설명된 방법론들 및/또는 실시예들 중 임의의 하나 이상을 수행하기 위한 명령어들을 실행할 수 있다. 일부 실시예들에서, 시스템 제어기(328)는 프로세스 레시피에 따라 제조 시스템(300)에서 하나 이상의 동작을 수행하기 위한 명령어들을 실행할 수 있다. 명령어들은 메인 메모리, 정적 메모리, 보조 저장 디바이스 및/또는 처리 디바이스(명령어들의 실행 동안)를 포함할 수 있는 컴퓨터 판독가능 저장 매체에 저장될 수 있다.
시스템 제어기(328)는 제조 시스템(300)의 다양한 부분들(예를 들어, 프로세싱 챔버(314, 316, 318), 이송 챔버(310), 로드 락(320) 등) 상에 또는 내부에 포함된 센서들로부터 데이터를 수신할 수 있다. 일부 실시예들에서, 시스템 제어기(328)에 의해 수신된 데이터는 기판(302)의 일부에 대한 스펙트럼 데이터 및/또는 비-스펙트럼 데이터를 포함할 수 있다. 다른 또는 유사한 실시예들에서, 시스템 제어기(328)에 의해 수신된 데이터는 앞에서 설명된 바와 같이 프로세싱 챔버(314, 316, 318)에서의 처리 기판(302)에 연관된 데이터를 포함할 수 있다. 본 설명을 위해, 시스템 제어기(328)는 프로세스 챔버(314, 316, 318) 내에 포함된 센서들로부터 데이터를 수신하는 것으로 설명된다. 그러나, 시스템 제어기(328)는 제조 시스템(300)의 임의의 부분으로부터 데이터를 수신할 수 있고, 여기에 설명된 실시예들에 따라 그 부분으로부터 수신된 데이터를 사용할 수 있다. 구체적인 예에서, 시스템 제어기(328)는 프로세스 챔버(314, 316, 318)에서 기판 프로세스 전에, 기판 프로세스 후에, 또는 기판 프로세스 동안 프로세스 챔버(314, 316, 318)에 대한 하나 이상의 센서로부터 데이터를 수신할 수 있다. 제조 시스템(300)의 다양한 부분들의 센서들로부터 수신된 데이터는 데이터 저장소(350)에 저장될 수 있다. 데이터 저장소(350)는 시스템 제어기(328) 내의 컴포넌트로서 포함될 수 있거나 시스템 제어기(328)와 별개의 컴포넌트일 수 있다. 일부 실시예들에서, 데이터 저장소(350)는 도 1과 관련하여 설명된 데이터 저장소(140)일 수 있다.
도 4는 본 개시내용의 실시예들에 따른 프로세스 챔버(400)의 개략적인 측단면도이다. 일부 실시예들에서, 프로세스 챔버(400)는 도 3과 관련하여 설명된 프로세스 챔버(314, 316, 318)에 대응할 수 있다. 프로세스 챔버(400)는 부식성 플라즈마 환경이 제공되는 프로세스에 사용될 수 있다. 예를 들어, 프로세스 챔버(400)는 플라즈마 식각기 또는 플라즈마 식각 반응기 등을 위한 챔버일 수 있다. 다른 예에서, 프로세스 챔버는 앞에서 설명된 바와 같이 퇴적 프로세스를 위한 챔버일 수 있다. 일 실시예에서, 프로세스 챔버(400)는 챔버 본체(402), 및 내부 용적(406)을 둘러싸는 샤워헤드(430)를 포함한다. 샤워헤드(430)는 샤워헤드 베이스 및 샤워헤드 가스 분배 플레이트를 포함할 수 있다. 대안적으로, 샤워헤드(430)는 일부 실시예들에서 덮개 및 노즐에 의해, 또는 다른 실시예들에서 복수의 파이 형상 샤워헤드 격실 및 플라즈마 생성 유닛으로 교체될 수 있다. 챔버 본체(402)는 알루미늄, 스테인리스 스틸, 또는 티타늄(Ti)과 같은 다른 적절한 재료로 제조될 수 있다. 챔버 본체(402)는 일반적으로 측벽들(408) 및 바닥(410)을 포함한다. 배기 포트(426)는 챔버 본체(402)에 정의될 수 있고, 내부 용적(406)을 펌프 시스템(428)에 결합할 수 있다. 펌프 시스템(428)은 하나 이상의 펌프를 포함할 수 있고, 프로세스 챔버(400)의 내부 용적(406)의 압력을 배출하고 조절하는 데 사용되는 스로틀 밸브들을 포함할 수 있다.
샤워헤드(430)는 챔버 본체(402)의 측벽(408) 상에 지지될 수 있다. 샤워헤드(420)(또는 덮개)는 프로세스 챔버(400)의 내부 용적(406)에 대한 액세스를 허용하도록 개방될 수 있고, 폐쇄된 동안 프로세스 챔버(400)에 대한 밀봉을 제공할 수 있다. 가스 패널(458)은 프로세스 챔버(400)에 결합되어 프로세스 및/또는 세정 가스들을 샤워헤드(430) 또는 덮개 및 노즐을 통해(예를 들어, 샤워헤드 또는 덮개 및 노즐의 개구들을 통해) 내부 용적(406)에 제공할 수 있다. 예를 들어, 가스 패널(458)은 기판(302)의 표면 상에 퇴적된 필름(451)의 재료들에 대한 전구체들을 제공할 수 있다. 일부 실시예들에서, 전구체는 실리콘계 전구체 또는 붕소계 전구체를 포함할 수 있다. 샤워헤드(430)는 가스 분배 플레이트(gas distribution plate)(GDP)를 포함할 수 있고, GDP 전체에 걸쳐 다수의 가스 전달 구멍들(432)(채널들이라고도 지칭됨)을 가질 수 있다. 기판 지지 조립체(448)는 샤워헤드(430) 아래의 프로세스 챔버(400)의 내부 용적(406)에 배치된다. 기판 지지 조립체(448)는 예를 들어 정전 척(450)을 사용하여, 처리 동안(예를 들어, 퇴적 프로세스 동안) 기판(302)을 유지한다.
일부 실시예들에서, 프로세싱 챔버(400)는 프로세스 챔버(400)에서 수행되는 프로세스 동안 인-시튜 계측 측정들을 생성하도록 구성된 계측 장비(도시되지 않음)를 포함할 수 있다. 계측 장비는 시스템 제어기(예를 들어, 앞에서 설명된 것과 같은 시스템 제어기(328))에 동작가능하게 결합될 수 있다. 일부 실시예들에서, 계측 장비는 퇴적 프로세스의 특정 인스턴스들 동안 필름(451)에 대한 계측 측정 값(예를 들어, 두께)을 생성하도록 구성될 수 있다. 시스템 제어기는 계측 장비로부터 수신된 계측 측정 값들에 기초하여 필름(451)에 대한 두께 프로파일을 생성할 수 있다. 다른 또는 유사한 실시예들에서, 프로세싱 챔버(400)는 계측 장비를 포함하지 않는다. 그러한 실시예들에서, 시스템 제어기는 프로세스 챔버(400)에서의 퇴적 프로세스의 완료 후에 필름(451)에 대한 하나 이상의 계측 측정 값을 수신할 수 있다. 시스템 제어기는 하나 이상의 계측 측정 값에 기초하여 퇴적 속도를 결정할 수 있고, 퇴적 프로세스의 결정된 농도 기울기 및 결정된 퇴적 속도에 기초하여 필름(451)에 대한 두께 프로파일을 연관시키고 생성할 수 있다.
도 5는 본 개시내용의 양태들에 따라 프로세스 레시피를 위한 수정 프로파일을 결정하기 위한 방법(500)의 흐름도이다. 방법(500)은 하드웨어(회로부, 전용 로직 등), (범용 컴퓨터 시스템 또는 전용 머신에서 실행되는 것과 같은) 소프트웨어, 펌웨어, 또는 이들의 소정의 조합을 포함할 수 있는 처리 로직에 의해 수행된다. 일 구현에서, 방법(500)은 도 1의 컴퓨터 시스템 아키텍처(100)와 같은 컴퓨터 시스템에 의해 수행될 수 있다. 다른 또는 유사한 구현들에서, 방법(500)의 하나 이상의 동작은 도면들에 도시되지 않은 하나 이상의 다른 머신에 의해 수행될 수 있다. 일부 양태들에서, 방법(500)의 하나 이상의 동작은 제조 장비(124) 및/또는 클라이언트 디바이스(122)에 의해 수행될 수 있다.
동작(510)에서, 처리 로직은 프로세스 레시피에 따라 기판 상에 퇴적 프로세스를 수행한다. 퇴적 프로세스는 하나 이상의 프로세스 챔버에서 수행될 수 있다. 프로세스 레시피는 퇴적 프로세스를 위한 하나 이상의 세팅 파라미터를 포함할 수 있다. 예를 들어, 세팅 파라미터들은 프로세스 챔버에 대한 온도 세팅, 프로세스 챔버에 대한 압력 세팅, 기판 표면 상에 퇴적되는 필름에 포함되는 재료에 대한 전구체에 대한 유량 세팅, 샤워헤드 높이 등을 포함할 수 있다. 퇴적 프로세스는 기판에 복수의 층을 퇴적할 수 있다. 예를 들어, 퇴적 프로세스는 산화물 및 질화물 층들의 교대하는 층들, 교대하는 산화물 및 폴리실리콘 층들 등을 퇴적할 수 있다.
동작(512)에서, 처리 로직은 퇴적된 필름에 연관된 계측 데이터를 생성한다. 계측 데이터는 필름 속성 데이터(예를 들어, 웨이퍼 공간 필름 속성들), 치수들(예를 들어, 두께, 높이 등), 유전 상수, 도펀트 농도, 밀도, 결함 등의 값을 포함할 수 있다. 일부 실시예들에서, 계측 데이터는 필름의 하나 이상의 층의 두께를 나타내는 두께 프로파일을 포함할 수 있다. 두께 프로파일은 기판 상의 상이한 위치들에서 퇴적된 필름의 두께를 나타내는 복수의 데이터 값을 포함할 수 있다. 실례에서, 두께 프로파일은 기판의 표면에 걸쳐 약 3,000개의 데이터 값을 포함할 수 있다. 다른 실시예에서, 두께 프로파일은 기판의 에지를 따라서만 데이터 값들을 포함할 수 있고, 이는 퇴적된 필름의 에지를 따른 식각 프로세스를 개선하기 위한 수정 프로파일을 생성하기 위해 사용될 수 있다. 일부 실시예들에서, 계측 데이터는 퇴적 프로세스를 수행하는 프로세스 챔버 내에 또는 이송 챔버 내에 위치되는 인-시튜 계측 장비(예를 들어, 계측 장비(127) 또는 계측 장비(340))를 사용하여 생성될 수 있다.
동작(514)에서, 처리 로직은 계측 데이터에 기초하여 수정 프로파일을 생성한다. 수정 프로파일은 프로세스 레시피 또는 프로세스 챔버의 파라미터들에 적용될 하나 이상의 수정 액션을 포함할 수 있다. 예를 들어, 수정 프로파일은 프로세스 챔버에 대한 온도 세팅, 프로세스 챔버에 대한 압력 세팅, 기판 표면 상에 퇴적되는 필름에 포함되는 재료에 대한 전구체에 대한 유량 세팅, 프로세스 챔버에 공급되는 전력, 둘 이상의 세팅의 비율 등에 대한 조절을 포함할 수 있다. 일부 실시예들에서, 처리 로직은 예상 프로파일(예를 들어, 프로세스 레시피에 의해 생성될 것으로 예상되는 두께 프로파일)과 계측 장비에 의해 생성된 두께 프로파일 사이의 델타(차이)를 결정함으로써 수정 프로파일(들)을 생성할 수 있다. 다음으로, 처리 로직은 델타에 알고리즘을 적용하고/하거나 알려진 이상들의 라이브러리에서 델타를 조회함으로써 수정 프로파일을 생성할 수 있다. 예를 들어, 라이브러리는 특정 수정 프로파일들에 상호관련된 델타의 목록을 포함할 수 있다.
일부 실시예들에서, 수정 프로파일은 머신 러닝 모델(예를 들어, 모델(190))을 사용하여 생성될 수 있다. 예를 들어, 두께 프로파일은 훈련된 머신 러닝 모델에 입력될 수 있다. 훈련된 머신 러닝 모델은 기판 상의 필름의 예상 두께 프로파일과 기판 상의 필름의 실제 두께 프로파일 간의 차이를 나타내는 값을 출력할 수 있다. 다음으로, 처리 로직은 출력을 알려진 이상 패턴들의 라이브러리와 비교하여 수정 프로파일을 결정할 수 있다. 다른 예에서, 머신 러닝 모델은 수정 프로파일을 나타내는 하나 이상의 값을 출력할 수 있다.
동작(516)에서, 처리 로직은 수정 프로파일을 프로세스 레시피에 적용함으로써 업데이트된 프로세스 레시피를 생성한다. 예를 들어, 수정 프로파일은 현재 퇴적 프로세스의 하나 이상의 단계에, 퇴적된 필름 상에 수행될 식각 프로세스에, 또는 레시피의 임의의 다른 프로세스(예를 들어, 연마 프로세스, 세정 프로세스 등)에 적용될 수 있다.
동작(518)에서, 처리 로직은 업데이트된 프로세스 레시피에 따른 프로세스 레시피의 후속 단계를 기판 상에서 수행한다. 일부 실시예들에서, 후속 단계는 또 다른 퇴적 단계, 식각 프로세스, 연마 프로세스, 세정 프로세스 등을 포함한다. 일부 실시예들에서, 업데이트 프로세스 레시피는 기판 상에 추가적인 퇴적 단계들을 수행하기 위해 사용될 수 있다. 예를 들어, 처리 로직은 기판 상에 필름 층들의 제1 세트를 퇴적하고, 퇴적된 필름의 두께 프로파일을 결정하고, 필름 층들의 제1 세트의 퇴적 동안 검출된 임의의 이상들을 수정하기 위해 수정 프로파일을 생성하고, 수정 프로파일을 프로세스 레시피에 적용하고, 기판 상에 필름 층들의 제2 세트를 퇴적할 수 있다. 따라서, 퇴적 프로세스 레시피는 실시간 또는 거의 실시간으로 조절될 수 있다.
일부 실시예들에서, 업데이트 프로세스 레시피는 퇴적된 필름 층들 상에 식각 프로세스를 수행하기 위해 사용될 수 있다. 예를 들어, 처리 로직은 퇴적된 필름의 두께 프로파일을 결정할 수 있고, 기판 상의 필름의 예상 두께와 실제 두께 사이의 차이에 기초하여 수정 프로파일을 생성할 수 있다. 다음으로, 처리 로직은 수정 프로파일을 프로세스 레시피에 적용하여 레시피의 식각 프로세스를 조절할 수 있다. 식각 프로세스가 반드시 퇴적 프로세스와 동일한 레시피에 연관될 필요는 없고, 다른 레시피에 연관될 수 있음을 이해해야 한다. 예시적인 실시예들에서, 수정 프로파일은 기판의 에지를 식각하는 것을 개선하고, 식각 프로세스 동안의 프로세스 드리프트(예를 들어, 척 온도 드리프트, 덮개 온도 변화, 코팅 열화 등)를 개선하거나 제거하는 등을 위해 식각 프로세스에 대한 수정들을 수행할 수 있다.
도 6은 본 개시내용의 양태들에 따라 프로세스 레시피를 조절하기 위한 방법(600)의 흐름도이다. 방법(600)은 하드웨어(회로부, 전용 로직 등), (범용 컴퓨터 시스템 또는 전용 머신에서 실행되는 것과 같은) 소프트웨어, 펌웨어, 또는 이들의 소정의 조합을 포함할 수 있는 처리 로직에 의해 수행된다. 일 구현에서, 방법(600)은 도 1의 컴퓨터 시스템 아키텍처(100)와 같은 컴퓨터 시스템에 의해 수행될 수 있다. 다른 또는 유사한 구현들에서, 방법(600)의 하나 이상의 동작은 도면들에 도시되지 않은 하나 이상의 다른 머신에 의해 수행될 수 있다. 일부 양태들에서, 방법(600)의 하나 이상의 동작은 제조 장비(124) 및/또는 클라이언트 디바이스(122)에 의해 수행될 수 있다.
동작(610)에서, 처리 로직은 프로세스 레시피에 따라 기판 상에 퇴적 프로세스를 수행한다. 퇴적 프로세스는 제1 프로세스 챔버에서 수행될 수 있다. 프로세스 레시피는 퇴적 프로세스를 위한 하나 이상의 세팅 파라미터를 포함할 수 있다.
동작(612)에서, 처리 로직은 퇴적된 필름에 연관된 계측 데이터를 생성한다. 계측 데이터는 필름의 하나 이상의 층의 두께를 나타내는 두께 프로파일을 포함할 수 있다. 계측 데이터는 퇴적 프로세스를 수행하는 프로세스 챔버 내에 또는 이송 챔버 내에 위치되는 인-시튜 계측 장비(예를 들어, 계측 장비(127) 또는 계측 장비(340))를 사용하여 생성될 수 있다.
동작(614)에서, 처리 로직은 계측 데이터에 기초하여 수정 프로파일을 생성한다. 수정 프로파일은 프로세스 레시피 또는 프로세스 챔버의 파라미터들에 적용될 하나 이상의 수정 액션을 포함할 수 있다. 예를 들어, 수정 프로파일은 프로세스 챔버에 대한 온도 세팅, 프로세스 챔버에 대한 압력 세팅, 기판 표면 상에 퇴적되는 필름에 포함되는 재료에 대한 전구체에 대한 유량 세팅, 프로세스 챔버에 공급되는 전력, 둘 이상의 세팅의 비율 등에 대한 조절을 포함할 수 있다.
동작(616)에서, 처리 로직은 수정 프로파일에 기초하여 제2 프로세스 챔버에 대해 챔버 매칭 동작을 수행한다. 챔버 매칭은 하드웨어 변동으로 인한 기판들 간의 프로세스 변동을 최소화하기 위해 사용될 수 있다. 예를 들어, 하드웨어 변동들은 상이한 척 온도들, 상이한 덮개 온도들, 각각의 프로세스 챔버로의 전력 전달의 차이들, 가스 유동 및/또는 압력의 차이들 등을 포함할 수 있다. 챔버 매칭 동작은 제2 프로세스 챔버의 하나 이상의 파라미터를 조절하는 것, 또는 제2 프로세스 챔버에서 기판을 생성하는 데 사용되는 레시피의 하나 이상의 파라미터를 조절하는 것을 포함할 수 있다.
도 7은 본 개시내용의 양태들에 따라 머신 러닝 모델을 사용하여 수정 프로파일을 결정하기 위한 방법(700)의 흐름도이다. 방법(700)은 하드웨어(회로부, 전용 로직 등), (범용 컴퓨터 시스템 또는 전용 머신에서 실행되는 것과 같은) 소프트웨어, 펌웨어, 또는 이들의 소정의 조합을 포함할 수 있는 처리 로직에 의해 수행된다. 일 구현에서, 방법(700)은 도 1의 컴퓨터 시스템 아키텍처(100)와 같은 컴퓨터 시스템에 의해 수행될 수 있다. 다른 또는 유사한 구현들에서, 방법(700)의 하나 이상의 동작은 도면들에 도시되지 않은 하나 이상의 다른 머신에 의해 수행될 수 있다. 일부 양태들에서, 방법(700)의 하나 이상의 동작은 서버 머신(170), 서버 머신(180) 및/또는 예측 서버(112)에 의해 수행될 수 있다.
블록(710)에서, 처리 로직은 프로세스 챔버 내에서 수행되는 동작에 연관된 계측 데이터를 획득한다. 일부 실시예들에서, 동작은 기판의 표면 상에 필름의 하나 이상의 층을 퇴적하기 위해 프로세스 챔버 내에서 수행되는 퇴적 프로세스, 기판의 표면 상의 필름의 하나 이상의 층에 대해 수행되는 식각 프로세스 등을 포함할 수 있다. 동작은 레시피에 따라 수행될 수 있다. 계측 데이터는 필름 속성 데이터(예를 들어, 웨이퍼 공간 필름 속성들), 치수들(예를 들어, 두께, 높이 등), 유전 상수, 도펀트 농도, 밀도, 결함 등의 값을 포함할 수 있다. 계측 데이터는 하드웨어 파라미터들, 예컨대 제조 장비의 세팅들 또는 컴포넌트들(예를 들어, 크기, 유형 등), 또는 제조 장비의 프로세스 파라미터들과 같은 제조 파라미터들에 연관되거나 이를 나타낼 수 있다.
블록(712)에서, 처리 로직은 획득된 계측 데이터에 머신 러닝 모델(예를 들어, 모델(190))을 적용한다. 머신 러닝 모델은 기판 상의 필름의 예상 두께 프로파일과 기판 상의 필름의 실제 두께 프로파일 간의 델타의 하나 이상의 값, 또는 수정 프로파일을 나타내는 하나 이상의 값을 생성하기 위해 사용될 수 있다. 예를 들어, 머신 러닝 모델은 훈련 세트 T를 사용하여 델타 또는 수정 프로파일을 생성하는 알고리즘을 사용할 수 있다.
블록(714)에서, 처리 로직은 계측 데이터에 기초하여 머신 러닝 모델을 통해 출력을 생성한다. 일부 실시예들에서, 출력은 패턴(예를 들어, 수정 프로파일, 이상 패턴)을 나타내는 값일 수 있다. 특히, 출력은 프로세스 레시피에 적용될 수정 액션들의 예측 데이터를 포함할 수 있다. 일부 실시예들에서, 출력은 기판 상의 필름의 예상 두께 프로파일과 기판 상의 필름의 실제 두께 프로파일 사이의 델타를 나타내는 적어도 하나의 값일 수 있다. 다른 실시예들에서, 출력은 프로세스 레시피에 적용될 수정 프로파일일 수 있다.
블록(716)에서, 처리 로직은 출력에 기초하여 수정 액션을 수행(또는 제안)할 수 있다. 일부 실시예들에서, 수정 액션은 이상 라이브러리로부터 획득된 데이터에 기초하여 결정될 수 있다. 일부 실시예들에서, 수정 액션은 클라이언트 디바이스(120)에 대해, 결정된 문제의 경고 또는 표시를 생성하는 것을 포함할 수 있다. 일부 실시예들에서, 수정 액션은 처리 로직이 필름의 원하는 속성에 기초하여 퇴적 프로세스 레시피, 식각 프로세스 레시피, 또는 임의의 다른 프로세스 레시피의 하나 이상의 파라미터(예를 들어, 프로세스 챔버에 대한 온도 세팅, 프로세스 챔버에 대한 압력 세팅, 기판 표면 상에 퇴적되는 필름에 포함되는 재료에 대한 전구체에 대한 유량 세팅 등)를 조절하는 것을 포함할 수 있다. 일부 실시예들에서, 프로세스 레시피는 프로세스 레시피의 이전에, 도중에(예를 들어, 실시간으로) 또는 완료 후에 조절될 수 있다.
도 8은 특정 실시예들에 따른 컴퓨터 시스템(800)을 도시하는 블록도이다. 일부 실시예들에서, 컴퓨터 시스템(800)은 (예를 들어, 근거리 네트워크(Local Area Network)(LAN), 인트라넷, 엑스트라넷 또는 인터넷과 같은 네트워크를 통해) 다른 컴퓨터 시스템들에 연결될 수 있다. 컴퓨터 시스템(800)은 클라이언트-서버 환경에서 서버 또는 클라이언트 컴퓨터의 자격으로 동작하거나, 피어-투-피어 또는 분산 네트워크 환경에서 피어 컴퓨터로서 동작할 수 있다. 컴퓨터 시스템(800)은 개인용 컴퓨터(PC), 태블릿 PC, 셋톱 박스(Set-Top Box)(STB), 개인용 정보 단말(Personal Digital Assistant)(PDA), 셀룰러 전화기, 웹 기기, 서버, 네트워크 라우터, 스위치 또는 브리지, 또는 해당 디바이스에서 취해질 액션들을 지정하는 (순차적인 또는 기타) 명령어들의 세트를 실행할 수 있는 임의의 디바이스에 의해 제공될 수 있다. 또한, "컴퓨터"라는 용어는 여기에 설명된 방법들 중 임의의 하나 이상을 수행하기 위해 명령어들의 세트(또는 복수의 세트)를 개별적으로 또는 공동으로 실행하는 컴퓨터들의 임의의 컬렉션을 포함할 것이다.
추가 양태에서, 컴퓨터 시스템(800)은 버스(808)를 통해 서로 통신할 수 있는 처리 디바이스(802), 휘발성 메모리(804)(예를 들어, 랜덤 액세스 메모리(RAM)), 비-휘발성 메모리(806)(예를 들어, 판독 전용 메모리(ROM) 또는 전기적으로 소거가능하고 프로그램 가능한 ROM(EEPROM)), 및 데이터 저장 디바이스(816)를 포함할 수 있다.
처리 디바이스(802)는 (예를 들어, CISC(Complex Instruction Set Computing) 마이크로프로세서, RISC(Reduced Instruction Set Computing) 마이크로프로세서, VLIW(Very Long Instruction Word) 마이크로프로세서, 다른 유형의 명령어 세트들을 구현하는 마이크로프로세서, 또는 명령어 세트들의 유형들의 조합을 구현하는 마이크로프로세서와 같은) 범용 프로세서, 또는 (예를 들어, ASIC(Application Specific Integrated Circuit), FPGA(Field Programmable Gate Array), DSP(Digital Signal Processor), 또는 네트워크 프로세서와 같은) 특수화된 프로세서와 같은 하나 이상의 프로세서에 의해 제공될 수 있다.
컴퓨터 시스템(800)은 (예를 들어, 네트워크(874)에 결합된) 네트워크 인터페이스 디바이스(822)를 더 포함할 수 있다. 컴퓨터 시스템(800)은 또한 비디오 디스플레이 유닛(810)(예를 들어, LCD), 영숫자 입력 디바이스(812)(예를 들어, 키보드), 커서 제어 디바이스(814)(예를 들어, 마우스), 및 신호 생성 디바이스(820)를 또한 포함할 수 있다.
일부 구현들에서, 데이터 저장 디바이스(816)는 비-일시적 컴퓨터 판독가능 저장 매체(824)를 포함할 수 있으며, 여기에는 도 1의 컴포넌트들(예를 들어, 수정 액션 컴포넌트(122), 예측 컴포넌트(114) 등)을 인코딩하는, 그리고 본 명세서에 설명된 방법들을 구현하기 위한 명령어들을 포함하여, 본 명세서에 설명된 방법들 또는 기능들 중 임의의 하나 이상을 인코딩하는 명령어들(826)을 저장할 수 있다.
명령어들(826)은 또한 컴퓨터 시스템(800)에 의한 실행 동안 휘발성 메모리(804) 및/또는 처리 디바이스(802) 내에 완전히 또는 부분적으로 상주할 수 있으며, 따라서 휘발성 메모리(804) 및 처리 디바이스(802)는 또한 머신 판독가능 저장 매체를 구성할 수 있다.
컴퓨터 판독가능 저장 매체(824)는 구체적인 예들에서 단일 매체로 도시되지만, "컴퓨터 판독가능 저장 매체"라는 용어는 실행가능한 명령어들의 하나 이상의 세트를 저장하는 단일 매체 또는 복수의 매체(예를 들어, 중앙 집중식 또는 분산형 데이터베이스, 및/또는 연관된 캐시들 및 서버들)를 포함할 것이다. "컴퓨터 판독가능 저장 매체"라는 용어는 또한 컴퓨터로 하여금 여기에 설명된 방법들 중 임의의 하나 이상을 수행하게 하는, 컴퓨터에 의한 실행을 위한 명령어들의 세트를 저장하거나 인코딩할 수 있는 임의의 실체있는 매체를 포함할 것이다. "컴퓨터 판독가능 저장 매체"라는 용어는 솔리드 스테이트 메모리, 광학 매체 및 자기 매체를 포함하지만 이에 제한되지 않을 것이다.
여기에 설명된 방법들, 컴포넌트들, 및 피처들은 별개의 하드웨어 컴포넌트들에 의해 구현될 수 있거나, ASIC, FPGA, DSP 또는 유사한 디바이스들과 같은 다른 하드웨어 컴포넌트들의 기능성에 통합될 수 있다. 추가로, 방법들, 컴포넌트들, 및 피처들은 하드웨어 디바이스들 내의 기능 회로부 또는 펌웨어 모듈들에 의해 구현될 수 있다. 또한, 방법들, 컴포넌트들, 및 피처들은 하드웨어 디바이스들 및 컴퓨터 프로그램 컴포넌트들의 임의의 조합으로, 또는 컴퓨터 프로그램들로 구현될 수 있다.
달리 명시적으로 언급되지 않는 한, "수신하는", "수행하는", "제공하는", 획득하는", "야기하는", "액세스하는", "결정하는", "추가하는", "사용하는", "훈련하는" 또는 그와 유사한 것과 같은 용어들은 컴퓨터 시스템 레지스터들 및 메모리들 내에서 물리적(전자적) 양들로서 표현된 데이터를, 컴퓨터 시스템 메모리들 또는 레지스터들 또는 다른 그러한 정보 저장, 전송 또는 디스플레이 디바이스들 내에서 물리적 양들로서 유사하게 표현되는 다른 데이터로 변환하고 조작하는 컴퓨터 시스템들에 의해 수행되거나 구현되는 액션들 및 프로세스들을 참조한다. 또한, 본 명세서에서 사용되는 "제1", "제2", "제3", "제4" 등의 용어들은 상이한 요소들을 구별하기 위한 레이블들로서 의도되며, 그들의 수치 지정에 따른 서수적 의미를 갖지 않을 수 있다.
본 명세서에 설명된 예들은 또한 본 명세서에 설명된 방법들을 수행하기 위한 장치에 관한 것이다. 이 장치는 여기에 설명된 방법들을 수행하기 위해 특별히 구성될 수 있거나, 컴퓨터 시스템에 저장된 컴퓨터 프로그램에 의해 선택적으로 프로그래밍되는 범용 컴퓨터 시스템을 포함할 수 있다. 이러한 컴퓨터 프로그램은 컴퓨터 판독가능한 실체있는 저장 매체에 저장될 수 있다.
본 명세서에 설명된 방법들 및 구체적인 예들은 본질적으로 임의의 특정 컴퓨터 또는 다른 장치에 관련되지 않는다. 다양한 범용 시스템들이 본 명세서에 설명된 교시들에 따라 사용될 수 있거나, 본 명세서에 설명된 방법들 및/또는 그들의 개별 기능들, 루틴들, 서브루틴들 또는 동작들 각각을 수행하기 위해 보다 특수화된 장치를 구성하는 것이 편리함을 입증할 수 있다. 이러한 다양한 시스템들에 대한 구조의 예들은 위의 설명에 제시된다.
위의 설명은 제한이 아니라 예시로 의도된다. 본 개시내용이 특정한 구체적인 예들 및 구현들을 참조하여 설명되었지만, 본 개시내용이 설명된 예들 및 구현들에 제한되지 않는다는 것이 인식될 것이다. 본 개시내용의 범위는 청구항들에 부여된 등가물들의 전체 범위와 함께 이하의 청구항들을 참조하여 결정되어야 한다.

Claims (22)

  1. 방법으로서,
    프로세스 레시피에 따라 기판 상에 퇴적 프로세스를 수행하는 프로세스 챔버 내에 위치된 계측 장비를 사용하여 생성된 계측 데이터를 획득하는 단계 - 상기 프로세스 레시피는 복수의 세팅 파라미터를 포함하고, 상기 퇴적 프로세스는 상기 기판의 표면 상에 복수의 필름 층들을 생성함 - ;
    상기 계측 데이터에 기초하여 수정 프로파일을 생성하는 단계;
    상기 수정 프로파일을 상기 프로세스 레시피에 적용함으로써 업데이트된 프로세스 레시피를 생성하는 단계; 및
    상기 업데이트된 프로세스 레시피에 따라 상기 기판 상에서 식각 프로세스가 수행되게 하는 단계
    를 포함하는, 방법.
  2. 제1항에 있어서, 상기 계측 데이터는 상기 필름 층들의 하나 이상의 두께를 나타내는, 방법.
  3. 제1항에 있어서, 상기 수정 프로파일은 상기 프로세스 레시피의 상기 복수의 세팅 파라미터에 적용될 하나 이상의 수정 액션을 포함하는, 방법.
  4. 제1항에 있어서, 상기 수정 프로파일을 생성하는 단계는:
    상기 프로세스 레시피에 연관된 예상 두께 프로파일과 상기 계측 장비에 의해 생성된 두께 프로파일 사이의 델타를 결정하는 단계; 및
    상기 델타에 알고리즘을 적용하는 단계
    를 포함하는, 방법.
  5. 제1항에 있어서, 상기 수정 프로파일을 생성하는 단계는:
    상기 프로세스 레시피에 연관된 예상 두께 프로파일과 상기 계측 장비에 의해 생성된 두께 프로파일 사이의 델타를 결정하는 단계; 및
    알려진 이상들(faults)의 라이브러리에서 상기 델타의 조회를 수행하는 단계 - 상기 라이브러리는 특정 수정 프로파일들에 상호관련된 델타 값들의 목록을 포함함 -
    를 포함하는, 방법.
  6. 제1항에 있어서, 상기 수정 프로파일을 생성하는 단계는:
    상기 계측 데이터를 훈련된 머신 러닝 모델에 입력하는 단계;
    상기 훈련된 머신 러닝 모델의 출력 값을 획득하는 단계 - 상기 출력 값은 상기 기판 상의 상기 필름의 예상 두께 프로파일과 상기 기판 상의 상기 필름의 실제 두께 프로파일 사이의 차이를 나타냄 - ; 및
    알려진 이상들의 라이브러리에서 델타의 조회를 수행하는 단계 - 상기 라이브러리는 특정 수정 프로파일들에 상호관련된 델타 값들의 목록을 포함함 -
    를 포함하는, 방법.
  7. 제1항에 있어서, 상기 수정 프로파일을 생성하는 단계는:
    상기 계측 데이터를 훈련된 머신 러닝 모델에 입력하는 단계; 및
    상기 훈련된 머신 러닝 모델의 출력 값을 획득하는 단계 - 상기 출력 값은 수정 프로파일을 나타냄 -
    를 포함하는, 방법.
  8. 제1항에 있어서,
    상기 수정 프로파일에 기초하여 다른 프로세스 챔버에 대해 챔버 매칭 동작이 수행되게 하는 단계
    를 추가로 포함하는, 방법.
  9. 전자 디바이스 제조 시스템으로서,
    이송 챔버;
    상기 이송 챔버에 결합된 프로세스 챔버; 및
    상기 이송 챔버 또는 상기 프로세스 챔버 중 적어도 하나 내에 놓이는 계측 장비
    를 포함하고, 상기 제조 시스템은:
    프로세스 레시피에 따라 기판 상에 퇴적 프로세스를 수행하는 상기 프로세스 챔버 내에 위치된 상기 계측 장비를 사용하여 생성된 계측 데이터를 획득하는 단계 - 상기 프로세스 레시피는 복수의 세팅 파라미터를 포함하고, 상기 퇴적 프로세스는 상기 기판의 표면 상에 복수의 필름 층들을 생성함 - ;
    상기 계측 데이터에 기초하여 수정 프로파일을 생성하는 단계;
    상기 수정 프로파일을 상기 프로세스 레시피에 적용함으로써 업데이트된 프로세스 레시피를 생성하는 단계; 및
    상기 업데이트된 프로세스 레시피에 따라 상기 기판 상에서 식각 프로세스가 수행되게 하는 단계
    를 위해 구성되는, 전자 디바이스 제조 시스템.
  10. 제9항에 있어서, 상기 계측 데이터는 상기 필름 층들의 하나 이상의 두께를 나타내는, 전자 디바이스 제조 시스템.
  11. 제9항에 있어서, 상기 수정 프로파일은 상기 프로세스 레시피의 상기 복수의 세팅 파라미터에 적용될 하나 이상의 수정 액션을 포함하는, 전자 디바이스 제조 시스템.
  12. 제9항에 있어서, 상기 수정 프로파일을 생성하는 단계는:
    상기 프로세스 레시피에 연관된 예상 두께 프로파일과 상기 계측 장비에 의해 생성된 두께 프로파일 사이의 델타를 결정하는 단계; 및
    상기 델타에 알고리즘을 적용하는 단계
    를 포함하는, 전자 디바이스 제조 시스템.
  13. 제9항에 있어서, 상기 수정 프로파일을 생성하는 단계는:
    상기 프로세스 레시피에 연관된 예상 두께 프로파일과 상기 계측 장비에 의해 생성된 두께 프로파일 사이의 델타를 결정하는 단계; 및
    알려진 이상들의 라이브러리에서 상기 델타의 조회를 수행하는 단계 - 상기 라이브러리는 특정 수정 프로파일들에 상호관련된 델타 값들의 목록을 포함함 -
    를 포함하는, 전자 디바이스 제조 시스템.
  14. 제9항에 있어서, 상기 수정 프로파일을 생성하는 단계는:
    상기 계측 데이터를 훈련된 머신 러닝 모델에 입력하는 단계;
    상기 훈련된 머신 러닝 모델의 출력 값을 획득하는 단계 - 상기 출력 값은 상기 기판 상의 상기 필름의 예상 두께 프로파일과 상기 기판 상의 상기 필름의 실제 두께 프로파일 사이의 차이를 나타냄 - ; 및
    알려진 이상들의 라이브러리에서 델타의 조회를 수행하는 단계 - 상기 라이브러리는 특정 수정 프로파일들에 상호관련된 델타 값들의 목록을 포함함 -
    를 포함하는, 전자 디바이스 제조 시스템.
  15. 제9항에 있어서, 상기 수정 프로파일을 생성하는 단계는:
    상기 계측 데이터를 훈련된 머신 러닝 모델에 입력하는 단계; 및
    상기 훈련된 머신 러닝 모델의 출력 값을 획득하는 단계 - 상기 출력 값은 수정 프로파일을 나타냄 -
    를 포함하는, 전자 디바이스 제조 시스템.
  16. 제9항에 있어서, 상기 제조 시스템은 추가로:
    상기 수정 프로파일에 기초하여 다른 프로세스 챔버에 대해 챔버 매칭 동작이 수행되게 하는 단계
    를 위해 구성되는, 전자 디바이스 제조 시스템.
  17. 비-일시적 컴퓨터 판독가능 저장 매체로서,
    메모리에 동작가능하게 결합된 처리 디바이스에 의해 실행될 때:
    프로세스 레시피에 따라 기판 상에 퇴적 프로세스를 수행하는 프로세스 챔버 내에 위치된 계측 장비를 사용하여 생성된 계측 데이터를 획득하는 동작 - 상기 프로세스 레시피는 복수의 세팅 파라미터를 포함하고, 상기 퇴적 프로세스는 상기 기판의 표면 상에 복수의 필름 층을 생성함 - ;
    상기 계측 데이터에 기초하여 수정 프로파일을 생성하는 동작;
    상기 수정 프로파일을 상기 프로세스 레시피에 적용함으로써 업데이트된 프로세스 레시피를 생성하는 동작; 및
    상기 업데이트된 프로세스 레시피에 따라 상기 기판 상에서 식각 프로세스가 수행되게 하는 동작
    을 포함하는 동작들을 수행하는 명령어들을 포함하는, 비-일시적 컴퓨터 판독가능 저장 매체.
  18. 제17항에 있어서, 상기 수정 프로파일을 생성하는 동작은:
    상기 프로세스 레시피에 연관된 예상 두께 프로파일과 상기 계측 장비에 의해 생성된 두께 프로파일 사이의 델타를 결정하는 동작; 및
    상기 델타에 알고리즘을 적용하는 동작
    을 포함하는, 비-일시적 컴퓨터 판독가능 저장 매체.
  19. 제17항에 있어서, 상기 수정 프로파일을 생성하는 동작은:
    상기 프로세스 레시피에 연관된 예상 두께 프로파일과 상기 계측 장비에 의해 생성된 두께 프로파일 사이의 델타를 결정하는 동작; 및
    알려진 이상들의 라이브러리에서 상기 델타의 조회를 수행하는 동작 - 상기 라이브러리는 특정 수정 프로파일들에 상호관련된 델타 값들의 목록을 포함함 -
    을 포함하는, 비-일시적 컴퓨터 판독가능 저장 매체.
  20. 제17항에 있어서, 상기 수정 프로파일을 생성하는 동작은:
    상기 계측 데이터를 훈련된 머신 러닝 모델에 입력하는 동작;
    상기 훈련된 머신 러닝 모델의 출력 값을 획득하는 동작 - 상기 출력 값은 상기 기판 상의 상기 필름의 예상 두께 프로파일과 상기 기판 상의 상기 필름의 실제 두께 프로파일 사이의 차이를 나타냄 - ; 및
    알려진 이상들의 라이브러리에서 델타의 조회를 수행하는 동작 - 상기 라이브러리는 특정 수정 프로파일들에 상호관련된 델타 값들의 목록을 포함함 -
    을 포함하는, 비-일시적 컴퓨터 판독가능 저장 매체.
  21. 제17항에 있어서, 상기 수정 프로파일을 생성하는 동작은:
    상기 계측 데이터를 훈련된 머신 러닝 모델에 입력하는 동작; 및
    상기 훈련된 머신 러닝 모델의 출력 값을 획득하는 동작 - 상기 출력 값은 수정 프로파일을 나타냄 -
    을 포함하는, 비-일시적 컴퓨터 판독가능 저장 매체.
  22. 제17항에 있어서, 상기 처리 디바이스는 추가로:
    상기 수정 프로파일에 기초하여 다른 프로세스 챔버에 대해 챔버 매칭 동작이 수행되게 하는 동작
    을 위해 구성되는, 비-일시적 컴퓨터 판독가능 저장 매체.
KR1020247024388A 2021-12-21 2022-11-29 기판 제조 동안 프로세스 챔버 파라미터들을 조절하기 위한 방법들 및 메커니즘들 KR20240128702A (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US17/557,816 2021-12-21
US17/557,816 US20230195078A1 (en) 2021-12-21 2021-12-21 Methods and mechanisms for adjusting process chamber parameters during substrate manufacturing
PCT/US2022/051288 WO2023121835A1 (en) 2021-12-21 2022-11-29 Methods and mechanisms for adjusting process chamber parameters during substrate manufacturing

Publications (1)

Publication Number Publication Date
KR20240128702A true KR20240128702A (ko) 2024-08-26

Family

ID=86767925

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020247024388A KR20240128702A (ko) 2021-12-21 2022-11-29 기판 제조 동안 프로세스 챔버 파라미터들을 조절하기 위한 방법들 및 메커니즘들

Country Status (5)

Country Link
US (1) US20230195078A1 (ko)
KR (1) KR20240128702A (ko)
CN (1) CN118435338A (ko)
TW (1) TW202345235A (ko)
WO (1) WO2023121835A1 (ko)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20220228265A1 (en) * 2021-01-15 2022-07-21 Taiwan Semiconductor Manufacturing Co., Ltd. System and method for dynamically adjusting thin-film deposition parameters

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6728591B1 (en) * 2001-08-01 2004-04-27 Advanced Micro Devices, Inc. Method and apparatus for run-to-run control of trench profiles
US7199416B1 (en) * 2004-11-10 2007-04-03 Spansion Llc Systems and methods for a memory and/or selection element formed within a recess in a metal line
DE102007030052B4 (de) * 2007-06-29 2015-10-01 Advanced Micro Devices, Inc. Automatische Abscheideprofilzielsteuerung
US7838308B2 (en) * 2008-05-12 2010-11-23 Advanced Micro Devices, Inc. Method of controlling embedded material/gate proximity
US9738977B1 (en) * 2016-06-17 2017-08-22 Lam Research Corporation Showerhead curtain gas method and system for film profile modulation
US10713534B2 (en) * 2017-09-01 2020-07-14 Kla-Tencor Corp. Training a learning based defect classifier
KR102038140B1 (ko) * 2017-11-24 2019-10-29 아주대학교산학협력단 코팅 물질의 도포 상태 모니터링 장치 및 방법
KR20210118472A (ko) * 2019-02-15 2021-09-30 램 리써치 코포레이션 멀티-패터닝 프로세스들을 위한 멀티-존 가열된 기판 지지부를 사용한 트리밍 및 증착 프로파일 제어
CN115135450B (zh) * 2020-05-14 2024-07-09 应用材料公司 训练神经网络用于抛光期间的原位监测的技术和抛光系统

Also Published As

Publication number Publication date
WO2023121835A1 (en) 2023-06-29
US20230195078A1 (en) 2023-06-22
CN118435338A (zh) 2024-08-02
TW202345235A (zh) 2023-11-16

Similar Documents

Publication Publication Date Title
US11989495B2 (en) Systems and methods for predicting film thickness using virtual metrology
JP2024532377A (ja) 半導体製造装置の適応トラブルシューティングのためのシステムおよび方法
US20230195071A1 (en) Methods and mechanisms for generating a data collection plan for a semiconductor manufacturing system
KR20230150368A (ko) 가상 모델을 사용한 프로세스 챔버 건강 모니터링 및 진단을 위한 시스템들 및 방법들
WO2022169611A1 (en) Systems and methods for predicting film thickness of individual layers using virtual metrology
KR20240128702A (ko) 기판 제조 동안 프로세스 챔버 파라미터들을 조절하기 위한 방법들 및 메커니즘들
US20230135102A1 (en) Methods and mechanisms for process recipe optimization
US20230359179A1 (en) Methods and mechanisms for adjusting film deposition parameters during substrate manufacturing
US20230306300A1 (en) Methods and mechanisms for measuring patterned substrate properties during substrate manufacturing
US20230008072A1 (en) Method and mechanism for contact-free process chamber characterization
US20240288779A1 (en) Methods and mechanisms for modifying machine-learning models for new semiconductor processing equipment
US20230384777A1 (en) Methods and mechanisms for preventing fluctuation in machine-learning model performance
US20230342016A1 (en) Methods and mechanisms for generating virtual knobs for model performance tuning
US20230089982A1 (en) Methods and mechanisms for coupling sensors to transfer chamber robot
KR20240133664A (ko) 새로운 반도체 처리 장비를 위한 머신 러닝 모델들을 수정하기 위한 방법들 및 메커니즘들