KR20240082266A - 반도체 기반 애플리케이션을 위한 비지도형 또는 자기 지도형 딥 러닝 - Google Patents

반도체 기반 애플리케이션을 위한 비지도형 또는 자기 지도형 딥 러닝 Download PDF

Info

Publication number
KR20240082266A
KR20240082266A KR1020237044184A KR20237044184A KR20240082266A KR 20240082266 A KR20240082266 A KR 20240082266A KR 1020237044184 A KR1020237044184 A KR 1020237044184A KR 20237044184 A KR20237044184 A KR 20237044184A KR 20240082266 A KR20240082266 A KR 20240082266A
Authority
KR
South Korea
Prior art keywords
specimen
image
sample
data generated
information
Prior art date
Application number
KR1020237044184A
Other languages
English (en)
Inventor
징 장
라즈쿠마르 테아가라잔
유지에 동
존 송 (치앙)
크리스 바스카
Original Assignee
케이엘에이 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 케이엘에이 코포레이션 filed Critical 케이엘에이 코포레이션
Publication of KR20240082266A publication Critical patent/KR20240082266A/ko

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T7/00Image analysis
    • G06T7/0002Inspection of images, e.g. flaw detection
    • G06T7/0004Industrial image inspection
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N3/00Computing arrangements based on biological models
    • G06N3/02Neural networks
    • G06N3/04Architecture, e.g. interconnection topology
    • G06N3/045Combinations of networks
    • G06N3/0455Auto-encoder networks; Encoder-decoder networks
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N3/00Computing arrangements based on biological models
    • G06N3/02Neural networks
    • G06N3/04Architecture, e.g. interconnection topology
    • G06N3/0464Convolutional networks [CNN, ConvNet]
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N3/00Computing arrangements based on biological models
    • G06N3/02Neural networks
    • G06N3/04Architecture, e.g. interconnection topology
    • G06N3/047Probabilistic or stochastic networks
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N3/00Computing arrangements based on biological models
    • G06N3/02Neural networks
    • G06N3/04Architecture, e.g. interconnection topology
    • G06N3/0475Generative networks
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N3/00Computing arrangements based on biological models
    • G06N3/02Neural networks
    • G06N3/08Learning methods
    • G06N3/088Non-supervised learning, e.g. competitive learning
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N3/00Computing arrangements based on biological models
    • G06N3/02Neural networks
    • G06N3/08Learning methods
    • G06N3/0895Weakly supervised learning, e.g. semi-supervised or self-supervised learning
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N3/00Computing arrangements based on biological models
    • G06N3/02Neural networks
    • G06N3/08Learning methods
    • G06N3/094Adversarial learning
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T7/00Image analysis
    • G06T7/0002Inspection of images, e.g. flaw detection
    • G06T7/0004Industrial image inspection
    • G06T7/001Industrial image inspection using an image reference approach
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T2207/00Indexing scheme for image analysis or image enhancement
    • G06T2207/10Image acquisition modality
    • G06T2207/10056Microscopic image
    • G06T2207/10061Microscopic image from scanning electron microscope
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T2207/00Indexing scheme for image analysis or image enhancement
    • G06T2207/10Image acquisition modality
    • G06T2207/10141Special mode during image acquisition
    • G06T2207/10152Varying illumination
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T2207/00Indexing scheme for image analysis or image enhancement
    • G06T2207/20Special algorithmic details
    • G06T2207/20081Training; Learning
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T2207/00Indexing scheme for image analysis or image enhancement
    • G06T2207/20Special algorithmic details
    • G06T2207/20084Artificial neural networks [ANN]
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T2207/00Indexing scheme for image analysis or image enhancement
    • G06T2207/30Subject of image; Context of image processing
    • G06T2207/30108Industrial image inspection
    • G06T2207/30148Semiconductor; IC; Wafer

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • General Health & Medical Sciences (AREA)
  • General Engineering & Computer Science (AREA)
  • Biophysics (AREA)
  • Computational Linguistics (AREA)
  • Data Mining & Analysis (AREA)
  • Evolutionary Computation (AREA)
  • Artificial Intelligence (AREA)
  • Molecular Biology (AREA)
  • Computing Systems (AREA)
  • Biomedical Technology (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Mathematical Physics (AREA)
  • Software Systems (AREA)
  • Health & Medical Sciences (AREA)
  • Quality & Reliability (AREA)
  • Computer Vision & Pattern Recognition (AREA)
  • Probability & Statistics with Applications (AREA)
  • Image Analysis (AREA)
  • Investigating Materials By The Use Of Optical Means Adapted For Particular Applications (AREA)

Abstract

표본에 대한 정보를 결정하기 위한 방법 및 시스템이 제공된다. 하나의 시스템은 컴퓨터 서브시스템 및 컴퓨터 서브시스템에 의해 실행되는 하나 이상의 컴포넌트를 포함하며, 하나 이상의 컴포넌트는 라벨링된 데이터 없이 트레이닝된(예컨대, 비지도형 또는 자기 지도형 방식으로) 딥 러닝(DL) 모델을 포함하며, 딥 러닝 모델은 적어도 표본 이미지나 표본 이미지로부터 생성된 데이터를 포함한 하나 이상의 입력으로부터 표본에 대한 참조를 생성하도록 구성된다. 컴퓨터 서브시스템은 참조 및 적어도 표본 이미지나 표본 이미지로부터 생성된 데이터로부터 표본에 대한 정보를 결정하도록 구성된다.

Description

반도체 기반 애플리케이션을 위한 비지도형 또는 자기 지도형 딥 러닝
본 발명은 일반적으로 표본(specimen)에 대한 정보를 결정하기 위한 방법 및 시스템에 관한 것이다. 특정 실시예는, 라벨링된 데이터 없이 트레이닝되고, 예컨대, 비지도형(unsupervised) 또는 자기 지도형(self-supervised) 방식으로 트레이닝되며, 적어도 표본 이미지나 표본 이미지로부터 생성된 데이터를 포함하는 하나 이상의 입력으로부터 표본에 대한 참조(reference)를 생성하도록 구성된 딥 러닝(deep learning) 모델에 관한 것이다.
다음의 설명 및 예는 이 부분에 포함되었다고 하여 종래 기술인 것으로 인정되는 것이 아니다.
로직 및 메모리 디바이스와 같은 반도체 디바이스를 제조하는 것은 통상적으로, 반도체 디바이스의 다양한 피처 및 다수의 레벨을 형성하기 위해 많은 수의 반도체 제조 프로세스를 사용하여 반도체 웨이퍼와 같은 기판을 처리하는 것을 포함한다. 예를 들어, 리소그래피는, 레티클로부터의 패턴을 반도체 웨이퍼 상에 배열된 레지스트에 전사하는 것을 수반하는 반도체 제조 프로세스이다. 반도체 제조 프로세스의 추가적인 예는, 화학 기계적 연마(CMP; chemical-mechanical polishing), 에칭, 퇴적 및 이온 주입을 포함하지만, 이에 한정되는 것은 아니다. 다수의 반도체 디바이스들은 단일 반도체 웨이퍼 상에 배열되어 제조된 다음, 개별 반도체 디바이스로 분리될 수 있다.
제조 프로세스에서의 더 높은 수율 및 그에 따른 보다 높은 이익을 추구하도록 표본 상의 결함을 검출하기 위해 반도체 제조 프로세스 중의 다양한 단계에서 검사(inspection) 프로세스가 사용된다. 검사는 항상 반도체 디바이스를 제조하는 것의 중요한 부분이었다. 그러나, 반도체 디바이스의 치수가 감소함에 따라, 더 작은 결함이 디바이스를 고장시킬 수 있기 때문에, 검사는 수락가능한 반도체 디바이스의 성공적인 제조에 훨씬 더 중요해졌다.
결함 검토(review)는 통상적으로, 검사 프로세스에 의해 그러한 것으로 검출된 결함을 재검출하고 고배율 광학 시스템이나 SEM(scanning electron microscope)을 사용하여 더 높은 분해능으로 결함에 관한 추가 정보를 생성하는 것을 수반한다. 따라서 결함 검토는 검사에 의해 결함이 검출되었던 곳의 표본 상의 개별 위치에서 수행된다. 결함 검토에 의해 생성된 결함에 대한 더 높은 해상도 데이터는 프로파일, 거칠기, 더 정확한 크기 정보 등과 같은 결함의 속성을 결정하는 데 더 적합하다. 결함은 일반적으로 검사와 비교하여 결함 검토에 의해 결정된 정보에 기초하여 결함 유형들로 더 정확하게 분류될 수 있다.
계측(metrology) 프로세스도 또한, 프로세스를 모니터링하고 제어하기 위해 반도체 제조 프로세스 중의 다양한 단계에서 사용된다. 결함이 표본 상에서 검출되는 검사 프로세스와는 달리, 계측 프로세스는 현재 사용된 검사 툴을 사용하여 결정될 수 없는 표본의 하나 이상의 특성을 측정하는 데 사용된다는 점에서, 계측 프로세스는 검사 프로세스와 상이하다. 예를 들어, 계측 프로세스는 프로세스의 성능이 하나 이상의 특성으로부터 결정될 수 있도록 프로세스 동안 표본 상에 형성된 피처의 치수(예컨대, 선폭, 두께 등)와 같은 표본의 하나 이상의 특성을 측정하는 데에 사용된다. 또한, 표본의 하나 이상의 특성이 수락 불가능한 경우(예컨대, 특성(들)에 대한 미리 결정된 범위를 벗어남), 프로세스에 의해 제조되는 추가 표본은 수락 가능한 특성(들)을 갖도록, 표본의 하나 이상의 특성의 측정이 프로세스의 하나 이상의 파라미터를 변경하는 데에 사용될 수 있다.
검사에 의해 검출되는 결함이 결함 검토에서 다시 방문되는 결함 검토 프로세스와는 달리, 계측 프로세스는 결함이 검출되지 않은 위치에서 수행될 수 있다는 점에서, 계측 프로세스는 또한 결함 검토 프로세스와도 상이하다. 다르게 말하자면, 결함 검토와는 달리, 계측 프로세스가 표본에 대해 수행되는 위치는 표본에 대해 수행된 검사 프로세스의 결과와는 독립적일 수 있다. 특히, 계측 프로세스가 수행되는 위치는 검사 결과와 독립적으로 선택될 수 있다. 또한, 계측이 수행되는 표본 상의 위치는 검사 결과와는 독립적으로 선택될 수 있기 때문에, 표본에 대한 검사 결과가 생성되어 사용을 위해 이용가능할 때까지 결함 검토가 수행될 표본 상의 위치가 결정될 수 없는 결함 검토와는 달리, 계측 프로세스가 수행되는 위치는, 표본에 대해 검사 프로세스가 수행되기 전에 결정될 수 있다.
많은 상이한 종류의 알고리즘이 위에 기재된 프로세스와 함께 현재 사용되고 있으며, 프로세스 자체, 표본, 및 그에 대해 결정되는 정보에 따라 달라진다. 상이한 종류의 이러한 알고리즘은 딥 러닝 기반인 알고리즘과 그렇지 않은 알고리즘과 같은 다양한 방식으로 상이한 카테고리로 분리될 수 있다. 검사 예에서, 일부 비(non)-딥 러닝 결함 검출 알고리즘은 비지도형이고, 주변 또는 결합 확률에 대한 빈도 측정을 사용한다. KLA Corp.(Milpitas, Calif.)로부터 상업적으로 입수가능한 일부 검사 툴에 의해 사용되는 비-딥 러닝 결함 검출 알고리즘의 하나의 예는 MDAT(multiple-die auto-thresholding) 알고리즘이다. 이러한 알고리즘과는 달리, 머신 러닝 또는 딥 러닝 기반 지도 검출은 컨볼루션 신경망(CNN; convolutional neural network) 또는 객체 검출 네트워크를 통해 수행될 수 있다.
위에 기재된 알고리즘 중 다수가 현장에서 다양한 수준으로 유용하다는 것이 입증되었지만, 이러한 방법에는 개선의 여지를 남기는 몇 가지 단점이 여전히 존재할 수 있다. 예를 들어, 비-딥 러닝 결함 검출 알고리즘 중 다수는 다중 모드 또는 다중 관점 데이터 입력에 적용되기가 어렵다. 툴이 단일 모드 데이터만을 사용하여 달성할 수 있는 최상의 성능을 뛰어넘도록 추진됨에 따라 다중 모드 또는 다중 관점 데이터 입력을 이용할 수 있는 능력을 갖는 것이 점점 더 중요해지고 있다. 다른 예에서, 위에 기재된 머신 러닝 또는 딥 러닝 결함 검출 방법은 상당히 큰 트레이닝 데이터세트를 필요로 할 수 있는데, 이는 현실적으로 항상 획득가능한 것은 아니거나, 또는 결과까지의 시간 및 물리적 비용(웨이퍼 또는 기타 표본과 같은)의 측면에서 상당히 높은 소유 비용을 초래할 수 있다.
따라서, 위에 기재된 단점 중의 하나 이상을 갖지 않는, 표본에 대한 정보를 결정하기 위한 시스템 및 방법을 개발하는 것이 유리할 것이다.
다양한 실시예의 다음 설명은 어떠한 방식으로든 첨부된 청구항의 내용을 한정하는 것으로서 해석되어서는 안된다.
하나의 실시예는 표본에 대한 정보를 결정하도록 구성된 시스템에 관한 것이다. 시스템은 컴퓨터 서브시스템 및 컴퓨터 서브시스템에 의해 실행되는 하나 이상의 컴포넌트를 포함하며, 하나 이상의 컴포넌트는 라벨링된 데이터 없이 트레이닝된 딥 러닝(DL) 모델을 포함하며, 딥 러닝 모델은 적어도 표본 이미지나 표본 이미지로부터 생성된 데이터를 포함한 하나 이상의 입력으로부터 표본에 대한 참조를 생성하도록 구성된다. 컴퓨터 서브시스템은 참조 및 적어도 표본 이미지나 표본 이미지로부터 생성된 데이터로부터 표본에 대한 정보를 결정하도록 구성된다. 시스템은 본원에 기재되는 바와 같이 더 구성될 수 있다.
또다른 실시예는 표본에 대한 정보를 결정하기 위한 컴퓨터 구현 방법에 관한 것이다. 방법은 라벨링된 데이터 없이 트레이닝된 DL 모델에 하나 이상의 입력을 입력함으로써 표본에 대한 참조를 생성하는 단계를 포함한다. 하나 이상의 입력은 적어도 표본 이미지나 표본 이미지로부터 생성된 데이터를 포함한다. 방법은 또한, 참조 및 적어도 표본 이미지나 표본 이미지로부터 생성된 데이터로부터 표본에 대한 정보를 결정하는 단계를 포함한다. 방법의 단계들 각각은 본원에 더 기재되는 바와 같이 수행될 수 있다. 방법은 본원에 기재된 임의의 다른 방법(들)의 임의의 다른 단계(들)를 포함할 수 있다. 방법은 본원에 기재된 임의의 시스템에 의해 수행될 수 있다.
또 다른 실시예는 표본에 대한 정보를 결정하기 위한 컴퓨터 구현 방법을 수행하기 위해 컴퓨터 시스템 상에서 실행가능한 프로그램 명령어를 저장한 비일시적 컴퓨터 판독가능 매체에 관한 것이다. 컴퓨터 구현 방법은 위에 기재된 방법의 단계들을 포함한다. 컴퓨터 판독가능 매체는 본원에 기재되는 바와 같이 더 구성될 수 있다. 컴퓨터 구현 방법의 단계들은 본원에 더 기재되는 바와 같이 수행될 수 있다. 또한, 프로그램 명령어가 실행가능한 컴퓨터 구현 방법은 본원에 기재된 임의의 다른 방법(들)의 임의의 다른 단계(들)를 포함할 수 있다.
바람직한 실시예의 다음의 상세한 설명 및 첨부 도면을 참조하여 본 발명의 부가의 이점들이 당해 기술 분야에서의 숙련자에게 명백하게 될 것이다.
도 1 및 도 1a는 본원에 기재된 바와 같이 구성된 시스템의 실시예의 측면도를 예시한 개략도이다.
도 2 및 도 3은 표본에 대한 정보를 결정하기 위해 수행될 수 있는 단계들의 실시예를 예시하는 흐름도이다.
도 4는 컴퓨터 시스템으로 하여금 본원에 기재된 컴퓨터 구현 방법을 수행하게 하는 프로그램 명령어를 저장한 비일시적 컴퓨터 판독가능 매체의 하나의 실시예를 예시한 블록도이다.
본 발명은 다양한 수정 및 대안적인 형태가 가능하지만, 그의 특정 실시예가 도면에 예로써 도시되며 본원에 상세하게 설명된다. 도면은 실축척대로 도시되지 않을 수 있다. 그러나, 도면 및 그에 대한 상세한 설명은 본 발명을 개시된 특정 형태에 한정하고자 하는 것이 아니며, 반대로 본 발명은 첨부된 청구항에 의해 정의되는 본 발명의 사상 및 범위 내에 속하는 모든 수정, 등가물 및 대안을 커버하고자 함을 이해하여야 한다.
이제 도면을 참조하면, 도면이 실축척대로 도시된 것은 아님을 유의하여야 한다. 특히, 도면의 구성요소들 중의 일부의 스케일은 그 구성요소의 특성을 강조하도록 크게 과장되어 있다. 또한 도면은 동일 스케일로 도시된 것이 아님을 유의하여야 한다. 하나보다 더 많은 도면에 도시된, 유사하게 구성될 수 있는 구성요소는 동일한 참조 번호를 사용하여 표시되었다. 여기에서 달리 명시되지 않는 한, 기재되고 도시된 구성요소들 중 임의의 구성요소는 임의의 적합한 상업적으로 입수가능한 구성요소를 포함할 수 있다.
일반적으로, 본원에 기재되는 실시예는, 표본에 대하여 참조 이미지 또는 구조적 노이즈와 같은 참조를 학습하는 것을 통해, 검사 애플리케이션, 예컨대 표본 상의 결함을 검출하는 것 및/또는 계측 및 결함 검토와 같은 기타 반도체 기반 애플리케이션을 위한, 표본에 대한 정보를 결정하도록 구성된다.
일부 실시예에서, 표본은 웨이퍼이다. 웨이퍼는 반도체 기술 분야에 공지된 임의의 웨이퍼를 포함할 수 있다. 일부 실시예가 웨이퍼 또는 웨이퍼들과 관련하여 본원에서 기재될 수 있지만, 실시예는 이들이 사용될 수 있는 표본에 한정되지 않는다. 예를 들어, 본원에 기재되는 실시예는 레티클, 평면 패널, PC(personal computer) 보드 및 기타 반도체 표본과 같은 표본에 사용될 수 있다.
표본에 대한 정보를 결정하도록 구성된 시스템의 하나의 실시예가 도 1에 도시된다. 일부 실시예에서, 시스템(10)은 이미징 서브시스템(100)과 같은 이미징 서브시스템을 포함한다. 이미징 서브시스템은 컴퓨터 서브시스템, 예컨대 컴퓨터 서브시스템(36) 및/또는 하나 이상의 컴퓨터 시스템(102)을 포함하고/하거나 이에 연결된다.
일반적으로, 본원에 기재되는 이미징 서브시스템은 적어도 에너지 소스, 검출기 및 스캐닝 서브시스템을 포함한다. 에너지 소스는, 이미징 서브시스템에 의해 표본으로 지향되는 에너지를 생성하도록 구성된다. 검출기는, 표본으로부터의 에너지를 검출하고 검출된 에너지에 응답하여 출력을 생성하도록 구성된다. 스캐닝 서브시스템은, 에너지가 지향되고 에너지가 검출되는 표본 상의 위치를 변경하도록 구성된다. 하나의 실시예에서, 도 1에 도시된 바와 같이, 이미징 서브시스템은 광 기반 이미징 서브시스템으로서 구성된다. 이 방식으로, 본원에서 기재되는 표본 이미지는 광 기반 이미징 서브시스템에 의해 생성될 수 있다.
본원에 기재된 광 기반 이미징 서브시스템에서, 표본으로 지향되는 에너지는 광을 포함하고, 표본으로부터 검출되는 에너지는 광을 포함한다. 예를 들어, 도 1에 도시된 시스템의 실시예에서, 이미징 서브시스템은 표본(14)으로 광을 지향시키도록 구성된 조명 서브시스템을 포함한다. 조명 서브시스템은 적어도 하나의 광원을 포함한다. 예를 들어, 도 1에 도시된 바와 같이, 조명 서브시스템은 광원(16)을 포함한다. 조명 서브시스템은, 하나 이상의 경사각 및/또는 하나 이상의 수직각을 포함할 수 있는 하나 이상의 입사각으로, 표본으로 광을 지향시키도록 구성된다. 예를 들어, 도 1에 도시된 바와 같이, 광원(16)으로부터의 광이 광학 소자(18) 그리고 그 다음 렌즈(20)를 통해 표본(14)으로 경사(oblique) 입사각으로 지향된다. 경사 입사각은 임의의 적합한 경사 입사각을 포함할 수 있으며, 이는 예를 들어, 표본의 특성 및 표본에 대해 수행되는 프로세스에 따라 달라질 수 있다.
조명 서브시스템은 상이한 시각에 상이한 입사각으로 표본으로 광을 지향시키도록 구성될 수 있다. 예를 들어, 이미징 서브시스템은, 광이 도 1에 도시된 바와는 상이한 입사각으로 표본으로 지향될 수 있도록, 조명 서브시스템의 하나 이상의 요소의 하나 이상의 특성을 변경하도록 구성될 수 있다. 하나의 이러한 예에서, 이미징 서브시스템은, 광이 상이한 경사 입사각 또는 수직(또는 거의 수직인) 입사각으로 표본으로 지향되도록, 광원(16), 광학 소자(18) 및 렌즈(20)를 이동시키도록 구성될 수 있다.
일부 경우에, 이미징 서브시스템은 동시에 하나보다 많은 입사각으로 표본으로 광을 지향시키도록 구성될 수 있다. 예를 들어, 조명 서브시스템은 하나보다 더 많은 조명 채널을 포함할 수 있고, 조명 채널 중의 하나는 도 1에 도시된 바와 같이 광원(16), 광학 소자(18) 및 렌즈(20)를 포함할 수 있으며, 조명 채널 중의 또다른 것(도시되지 않음)은 동일한 것이거나 상이하게 구성될 수 있는 유사한 요소를 포함할 수 있고, 또는 적어도 광원 그리고 어쩌면 여기에 더 기재되는 바와 같은 하나 이상의 다른 컴포넌트를 포함할 수 있다. 이러한 광이 동시에 다른 광으로서 표본으로 지향되면, 상이한 입사각으로 표본으로 지향된 광의 하나 이상의 특성(예컨대, 파장, 편광 등)이 상이할 수 있고, 그리하여 상이한 입사각으로 표본의 조명으로부터 나오는 광은 검출기(들)에서 서로 구별될 수 있다.
다른 경우에, 조명 서브시스템은 하나의 광원(예컨대, 도 1에 도시된 광원(16))만 포함할 수 있고, 광원으로부터의 광이 조명 서브시스템의 하나 이상의 광학 소자(도시되지 않음)에 의해 상이한 광학 경로로(예컨대, 파장, 편광 등에 기초하여) 분리될 수 있다. 그 다음, 상이한 광학 경로 각각에서의 광이 표본으로 지향될 수 있다. 다수의 조명 채널이, 동시에 또는 상이한 때에(예컨대, 상이한 조명 채널들이 표본을 순차적으로 조명하도록 사용될 때) 표본으로 광을 지향시키도록 구성될 수 있다. 다른 경우에, 동일 조명 채널이 상이한 때에 상이한 특성으로 표본으로 광을 지향시키도록 구성될 수 있다. 예를 들어, 광학 소자(18)는 스펙트럼 필터로서 구성될 수 있으며, 상이한 파장의 광이 상이한 때에 표본으로 지향될 수 있도록, 스펙트럼 필터의 특성이 다양한 상이한 방식으로(예컨대, 하나의 스펙트럼 필터를 다른 것으로 교체함으로써) 변경될 수 있다. 조명 서브시스템은 순차적으로 또는 동시에 상이하거나 동일한 입사각으로 상이하거나 동일한 특성을 갖는 광을 표본으로 지향시키기 위해 당해 기술 분야에 공지된 임의의 다른 적합한 구성을 가질 수 있다.
광원(16)은 광대역 플라즈마(BBP; broadband plasma) 광원을 포함할 수 있다. 이 방식에서, 광원에 의해 생성되어 표본으로 지향되는 광은 광대역 광을 포함할 수 있다. 그러나, 광원은 임의의 적합한 파장(들)에서 광을 생성하도록 구성된 당해 기술 분야에 공지된 임의의 적합한 레이저와 같은 임의의 다른 적합한 광원을 포함할 수 있다. 레이저는 단색 또는 거의 단색인 광을 생성하도록 구성될 수 있다. 이 방식에서, 레이저는 협대역 레이저일 수 있다. 광원은 또한, 다수의 이산 파장 또는 파장대에서의 광을 생성하는 다색성(polychromatic) 광원을 포함할 수 있다.
광학 소자(18)로부터의 광은 렌즈(20)에 의해 표본(14)으로 포커싱될 수 있다. 렌즈(20)는 도 1에서 단일 굴절 광학 소자로서 도시되어 있지만, 실제로, 렌즈(20)는 조합하여 광학 소자로부터의 광을 표본으로 포커싱하는 다수의 굴절 및/또는 반사 광학 소자들을 포함할 수 있다. 도 1에 도시되고 여기에 기재된 조명 서브시스템은 임의의 다른 적합한 광학 소자(도시되지 않음)를 포함할 수 있다. 이러한 광학 소자의 예는, 편광 컴포넌트(들), 스펙트럼 필터(들), 공간 필터(들), 반사 광학 소자(들), 아포다이저(apodizer)(들), 빔 스플리터(들), 애퍼처(들) 등을 포함하지만, 이에 한정되는 것은 아니며, 당해 기술 분야에 공지된 임의의 이러한 적합한 광학 소자를 포함할 수 있다. 또한, 시스템은 이미징에 사용될 조명의 유형에 기초하여 조명 서브시스템의 요소 중의 하나 이상을 변경하도록 구성될 수 있다.
이미징 서브시스템은 또한, 광이 지향되고 광이 검출되는 표본 상의 위치를 변경하고, 가능하게는 광이 표본에 대해 스캐닝되게 하도록 구성된 스캐닝 서브시스템을 포함할 수 있다. 예를 들어, 이미징 서브시스템은 이미징 동안 표본(14)이 그 위에 배치되는 스테이지(22)를 포함할 수 있다. 스캐닝 서브시스템은, 광이 표본 상의 상이한 위치로 지향되고 그로부터 검출될 수 있게끔, 표본을 이동시키도록 구성될 수 있는 임의의 적합한 기계적 및/또는 로봇 어셈블리(스테이지(22)를 포함함)를 포함할 수 있다. 추가로, 또는 대안적으로, 이미징 서브시스템은, 광이 표본 상의 상이한 위치로 지향되고 그로부터 검출될 수 있게끔, 이미징 서브시스템의 하나 이상의 광학 요소가 표본에 대한 광의 일부 스캐닝을 수행하도록 구성될 수 있다. 표본에 대해 광이 스캐닝되는 경우에, 광은 구불구불한(serpentine) 형상의 경로 또는 나선형 경로와 같은 임의의 적합한 방식으로 표본에 대해 스캔될 수 있다.
이미징 서브시스템은 하나 이상의 검출 채널을 더 포함한다. 검출 채널(들) 중의 적어도 하나는, 이미징 서브시스템에 의한 표본의 조명으로 인한 표본으로부터의 광을 검출하도록 그리고 검출된 광에 응답하는 출력을 생성하도록 구성된 검출기를 포함한다. 예를 들어, 도 1에 도시된 이미징 서브시스템은 2개의 검출 채널을 포함하며, 하나는 콜렉터(24), 요소(26) 및 검출기(28)에 의해 형성되고, 다른 하나는 콜렉터(30), 요소(32) 및 검출기(34)에 의해 형성된다. 도 1에 도시된 바와 같이, 2개의 검출 채널은 상이한 수렴각에서 광을 수렴 및 검출하도록 구성된다. 일부 경우에, 둘 다의 검출 채널은 산란된 광을 검출하도록 구성되고, 검출 채널은 표본으로부터 상이한 각도로 산란되는 광을 검출하도록 구성된다. 그러나, 검출 채널 중의 하나 이상은 표본으로부터 다른 유형의 광(예컨대, 반사된 광)을 검출하도록 구성될 수 있다.
도 1에 더 도시된 바와 같이, 둘 다의 검출 채널은 종이 평면 내에 위치된 것으로 도시되어 있고 조명 서브시스템도 또한 종이 평면 내에 위치된 것으로 도시되어 있다. 따라서, 이 실시예에서, 둘 다의 검출 채널은 입사 평면 내에 위치되어 있다(예컨대, 중심 위치되어 있음). 그러나, 검출 채널 중의 하나 이상은 입사 평면을 벗어나 위치될 수 있다. 예를 들어, 콜렉터(30), 요소(32) 및 검출기(34)에 의해 형성된 검출 채널은 입사 평면을 벗어나 산란되는 광을 수렴하고 검출하도록 구성될 수 있다. 따라서, 이러한 검출 채널은 일반적으로 "측부(side)" 채널로 지칭될 수 있고, 이러한 측부 채널은 입사 평면에 실질적으로 수직인 평면 내에 중심 위치될 수 있다.
도 1은 2개의 검출 채널을 포함하는 이미징 서브시스템의 실시예를 도시하지만, 이미징 서브시스템은 상이한 수의 검출 채널(예컨대, 하나의 검출 채널만 또는 둘 이상의 검출 채널)을 포함할 수 있다. 하나의 이러한 경우에, 콜렉터(30), 요소(32) 및 검출기(34)에 의해 형성된 검출 채널은 위에 기재된 바와 같이 하나의 측부 채널을 형성할 수 있고, 이미징 서브시스템은 입사 평면의 반대측에 위치되어 있는 또다른 측부 채널로서 형성된 추가의 검출 채널(도시되지 않음)을 포함할 수 있다. 따라서, 이미징 서브시스템은, 콜렉터(24), 요소(26) 및 검출기(28)를 포함하는 검출 채널로서, 입사 평면 내에 중심 위치되어 있고 표본 표면의 수직이거나 수직에 가까운 산란각(들)으로 광을 수렴 및 검출하도록 구성된 검출 채널을 포함할 수 있다. 그러므로 이 검출 채널은 일반적으로 "상부" 채널로 지칭될 수 있고, 이미징 서브시스템은 또한 위에 기재된 바와 같이 구성된 둘 이상의 측부 채널을 포함할 수 있다. 그리하여, 이미징 서브시스템은 적어도 3개의 채널(즉, 하나의 상부 채널 및 2개의 측부 채널)을 포함할 수 있고, 적어도 3개의 채널 각각은 그 각자의 콜렉터를 가지며, 이의 각각은 다른 콜렉터 각각과 상이한 산락각으로 광을 수렴하도록 구성된다.
위에 더 기재된 바와 같이, 이미징 서브시스템에 포함된 검출 채널의 각각은 산란된 광을 검출하도록 구성될 수 있다. 따라서, 도 1에 도시된 이미징 서브시스템은 표본의 암시야(DF; dark field) 이미징을 위해 구성될 수 있다. 그러나, 이미징 서브시스템은 또한 아니면 대안으로서 표본의 명시야(BF; bright field) 이미징을 위해 구성되는 검출 채널(들)을 포함할 수 있다. 다르게 말하자면, 이미징 서브시스템은 표본으로부터 경면 반사된 광을 검출하도록 구성되는 적어도 하나의 검출 채널을 포함할 수 있다. 따라서, 본원에 기재된 이미징 서브시스템은 DF 전용, BF 전용, 또는 DF 및 BF 이미징 둘 다를 위해 구성될 수 있다. 콜렉터의 각각이 도 1에서는 단일 굴절 광학 소자로서 도시되어 있지만, 콜렉터의 각각은 하나 이상의 굴절 광학 소자 및/또는 하나 이상의 반사 광학 소자를 포함할 수 있다.
하나 이상의 검출 채널은 PMT(photo-multiplier tube), CCD(charge coupled device) 및 TDI(time delay integration) 카메라와 같은 당업계에 공지된 임의의 적합한 검출기를 포함할 수 있다. 검출기는 또한, 비-이미징 검출기 또는 이미징 검출기를 포함할 수 있다. 검출기가 비-이미징 검출기인 경우, 검출기의 각각은 강도와 같은 산란된 광의 특정 특성을 검출하도록 구성될 수 있지만, 이미징 평면 내의 위치의 함수로서 이러한 특성을 검출하도록 구성되지 않을 수 있다. 그리하여, 이미징 서브시스템의 검출 채널 각각에 포함된 검출기 각각에 의해 생성되는 출력은 이미지 신호 또는 이미지 데이터가 아니라 신호 또는 데이터일 수 있다. 이러한 경우에, 컴퓨터 서브시스템(36)과 같은 컴퓨터 서브시스템은 검출기의 비-이미징 출력으로부터 표본의 이미지를 생성하도록 구성될 수 있다. 그러나, 다른 경우에, 검출기는 이미징 신호 또는 이미지 데이터를 생성하도록 구성되는 이미징 검출기로서 구성될 수 있다. 따라서, 이미징 서브시스템은 다수의 방식으로 이미지를 생성하도록 구성될 수 있다.
도 1은 본원에 기재된 시스템 실시예에 포함될 수 있는 이미징 서브시스템의 구성을 일반적으로 예시하도록 여기에 제공된 것임을 유의하여야 한다. 명백하게, 본원에 기재된 이미징 서브시스템 구성은 상업용 이미징 시스템을 설계할 때 보통 수행되는 대로 이미징 서브시스템의 성능을 최적화하도록 변경될 수 있다. 또한, 본원에 기재된 시스템은 KLA Corp.(Milpitas, Calif.)로부터 상업적으로 입수가능한 29xx/39xx 시리즈의 툴과 같은 기존의 시스템을 사용하여(예컨대, 기존의 검사 시스템에 여기에 기재된 기능을 추가함으로써) 구현될 수 있다. 일부 이러한 시스템에 대하여, 본원에 기재된 방법은 시스템의 선택적 기능으로서 제공될 수 있다(예컨대, 시스템의 다른 기능에 추가하여). 대안으로서, 본원에 기재된 시스템은 완전히 새로운 시스템을 제공하도록 "처음부터" 설계될 수 있다.
컴퓨터 서브시스템(36)은, 컴퓨터 서브시스템이 검출기에 의해 생성된 출력을 수신할 수 있도록, 임의의 적합한 방식으로(예컨대, "유선" 및/또는 "무선" 전송 매체를 포함할 수 있는 하나 이상의 전송 매체를 통해) 이미징 서브시스템의 검출기에 연결될 수 있다. 컴퓨터 서브시스템(36)은 검출기의 출력을 사용하여 다수의 기능을 수행하도록 구성될 수 있다. 예를 들어, 시스템이 검사 시스템으로서 구성되는 경우, 컴퓨터 서브시스템은 검출기의 출력을 사용하여 표본 상의 이벤트(예컨대, 결함 및 잠재적 결함)를 검출하도록 구성될 수 있다. 표본 상의 이벤트를 검출하는 것은 본원에서 더 기재되는 바와 같이 수행될 수 있다.
컴퓨터 서브시스템(36)은 본원에 기재된 바와 같이 더 구성될 수 있다. 예를 들어, 컴퓨터 서브시스템(36)은 본원에 기재된 단계를 수행하도록 구성될 수 있다. 이와 같이, 본원에 기재된 단계는, 이미징 서브시스템에 연결되거나 이미징 서브시스템의 일부인 컴퓨터 서브시스템에 의해, "온-툴(on-tool)"로 수행될 수 있다. 추가로, 또는 대안적으로, 컴퓨터 시스템(들)(102)은 본원에 기재된 단계들 중 하나 이상을 수행할 수 있다. 따라서, 본원에 기재된 단계들 중 하나 이상은, 이미징 서브시스템에 직접 연결되지 않은 컴퓨터 시스템에 의해, "오프 툴(off-tool)"로 수행될 수 있다.
컴퓨터 서브시스템(36)은(그 뿐만 아니라 본원에 기재된 다른 컴퓨터 서브시스템도) 본원에서 컴퓨터 시스템(들)으로도 지칭될 수 있다. 본원에 기재된 컴퓨터 서브시스템(들) 또는 시스템(들)의 각각은, 개인용 컴퓨터 시스템, 이미지 컴퓨터, 메인프레임 컴퓨터 시스템, 워크스테이션, 네트워크 어플라이언스, 인터넷 어플라이언스 또는 기타 디바이스를 포함한 다양한 형태를 취할 수 있다. 일반적으로, 용어 "컴퓨터 시스템"은 메모리 매체로부터의 명령어를 실행하는 하나 이상의 프로세서를 갖는 임의의 디바이스를 망라하도록 넓게 정의될 수 있다. 컴퓨터 서브시스템(들) 또는 시스템(들)은 또한, 병렬 프로세서와 같이 당업계에 공지된 임의의 적합한 프로세서를 포함할 수 있다. 또한, 컴퓨터 서브시스템(들) 또는 시스템(들)은 단독형으로든 아니면 네트워킹된 툴로서 고속 프로세싱 및 소프트웨어를 갖춘 컴퓨터 플랫폼을 포함할 수 있다.
시스템이 하나보다 많은 컴퓨터 서브시스템을 포함하는 경우, 상이한 컴퓨터 서브시스템들이 서로 연결될 수 있으며, 그리하여 이미지, 데이터, 정보, 명령어 등이 컴퓨터 서브시스템들 간에 보내질 수 있다. 예를 들어, 컴퓨터 서브시스템(36)은, 당업계에 공지된 임의의 적합한 유선 및/또는 무선 전송 매체를 포함할 수 있는 임의의 적합한 전송 매체에 의해, 도 1에서 점선으로 도시된 바와 같이, 컴퓨터 시스템(들)(102)에 연결될 수 있다. 이러한 컴퓨터 서브시스템의 둘 이상은 또한 공유하는 컴퓨터 판독가능 저장 매체(도시되지 않음)에 의해 효과적으로 연결될 수 있다.
이미징 서브시스템이 광학 기반의 또는 광 기반의 이미징 서브시스템인 것으로서 위에 기재되어 있지만, 다른 실시예에서, 이미징 서브시스템은 전자 빔 이미징 서브시스템으로서 구성된다. 이 방식으로, 본원에서 기재되는 표본 이미지는 전자 빔 이미징 서브시스템에 의해 생성될 수 있다. 전자 빔 이미징 서브시스템에서, 표본으로 지향되는 에너지는 전자를 포함하고, 표본으로부터 검출되는 에너지는 전자를 포함한다. 도 1a에 도시된 하나의 이러한 실시예에서, 이미징 서브시스템은 전자 컬럼(122)을 포함하고, 시스템은 이미징 서브시스템에 연결된 컴퓨터 서브시스템(124)을 포함한다. 컴퓨터 서브시스템(124)은 위에 기재된 바와 같이 구성될 수 있다. 또한, 이러한 이미징 서브시스템은, 위에 기재되고 도 1에 도시된 것과 동일한 방식으로 또다른 하나 이상의 컴퓨터 시스템에 연결될 수 있다.
도 1a에 또한 도시된 바와 같이, 전자 컬럼은 하나 이상의 요소(130)에 의해 표본(128)으로 포커싱되는 전자들을 생성하도록 구성된 전자 빔 소스(126)를 포함한다. 전자 빔 소스는 예를 들어 캐소드 소스 또는 이미터 팁을 포함할 수 있고, 하나 이상의 요소(130)는 예를 들어 건 렌즈, 애노드, 빔 한정 애퍼처, 게이트 밸브, 빔 전류 선택 애퍼처, 대물 렌즈 및 스캐닝 서브시스템을 포함할 수 있으며, 이들은 전부 당업계에 공지된 임의의 이러한 적합한 요소를 포함할 수 있다.
표본으로부터 돌아온 전자(예컨대, 이차 전자)는 하나 이상의 요소(132)에 의해 검출기(134)로 포커싱될 수 있다. 하나 이상의 요소(132)는 예를 들어 스캐닝 서브시스템을 포함할 수 있으며, 이는 요소(들)(130)에 포함된 동일한 스캐닝 서브시스템일 수 있다.
전자 컬럼은 당업계에 공지된 임의의 다른 적합한 요소를 포함할 수 있다. 또한, 전자 컬럼은 2014년 4월 4일 Jiang 등에게 허여된 미국 특허 번호 제8,664,594호, 2014년 4월 8일 Kojima 등에게 허여된 미국 특허 번호 제8,692,204호, 2014년 4월 15일 Gubbens 등에게 허여된 미국 특허 번호 제8,698,093호, 및 2014년 5월 6일 MacDonald 등에게 허여된 미국 특허 번호 제8,716,662호에 기재된 바와 같이 더 구성될 수 있으며, 이들은 본 명세서에서 완전히 서술된 것처럼 참조에 의해 포함된다.
전자 컬럼은 도 1a에서 전자들이 경사 입사각으로 표본에 지향되고 또다른 경사각으로 표본으로부터 산란되도록 구성된 것으로서 도시되어 있지만, 전자 빔은 임의의 적합한 각도로 표본에 지향되고 표본으로부터 산란될 수 있다. 또한, 전자 빔 이미징 서브시스템은 여기에 더 기재된 바와 같이 표본에 대한 출력을 생성하기 위해 다수의 모드를 사용하도록 구성될 수 있다(예컨대, 상이한 조명 각도, 수렴 각도 등으로). 전자 빔 이미징 서브시스템의 다수의 모드는 이미징 서브시스템의 임의의 출력 생성 파라미터가 상이할 수 있다.
컴퓨터 서브시스템(124)은 위에 기재된 바와 같이 검출기(134)에 연결될 수 있다. 검출기는 표본의 표면으로부터 돌아온 전자를 검출함으로써 표본의 전자 빔 이미지(또는 표본에 대한 기타 출력)를 형성할 수 있다. 전자 빔 이미지는 임의의 적합한 전자 빔 이미지를 포함할 수 있다. 컴퓨터 서브시스템(124)은 검출기(134)에 의해 생성된 출력을 사용하여 표본 상의 이벤트를 검출하도록 구성될 수 있으며, 이는 본원에 더 기재되는 바와 같이 수행될 수 있다. 컴퓨터 서브시스템(124)은 본원에 기재된 임의의 추가 단계(들)를 수행하도록 구성될 수 있다. 도 1a에 도시된 이미징 서브시스템을 포함하는 시스템은 본원에 기재된 바와 같이 더 구성될 수 있다.
도 1a는 본원에 기재된 실시예에 포함될 수 있는 전자 빔 이미징 서브시스템의 구성을 일반적으로 예시하고자 여기에 제공된 것임을 유의하여야 한다. 위에 기재된 광학 이미징 서브시스템 구성과 마찬가지로, 여기에 기재된 전자 빔 이미징 서브시스템 구성은 상업용 시스템을 설계할 때 보통 수행되는 대로 이미징 서브시스템의 성능을 최적화하도록 변경될 수 있다. 또한, 여기에 기재된 시스템은 KLA로부터 상업적으로 입수가능한 툴과 같은 기존의 시스템을 사용하여(예컨대, 기존의 시스템에 여기에 기재된 기능을 추가함으로써) 구현될 수 있다. 일부 이러한 시스템에 대하여, 여기에 기재된 방법은 시스템의 선택적 기능으로서 제공될 수 있다(예컨대, 시스템의 다른 기능에 추가하여). 대안으로서, 여기에 기재된 시스템은 완전히 새로운 시스템을 제공하도록 "처음부터" 설계될 수 있다.
이미징 서브시스템이 광 또는 전자 빔 이미징 서브시스템인 것으로서 위에 기재되어 있지만, 이미징 서브시스템은 이온 빔 이미징 서브시스템일 수 있다. 이러한 이미징 서브시스템은, 전자 빔 소스가 당업계에 공지된 임의의 적합한 이온 빔 소스로 교체될 수 있다는 것을 제외하고는 도 1a에 도시된 바와 같이 구성될 수 있다. 또한, 이미징 서브시스템은, 상업적으로 입수가능한 FIB(focused ion beam) 시스템, HIM(helium ion microscopy) 시스템 및 SIMS(secondary ion mass spectroscopy) 시스템에 포함된 것과 같은 임의의 다른 적합한 이온 빔 이미징 시스템을 포함할 수 있다.
위에 더 언급된 바와 같이, 이미징 서브시스템은 다수의 모드를 갖도록 구성될 수 있다. 일반적으로, "모드"는 표본에 대한 출력을 생성하는 데 사용되는 이미징 서브시스템의 파라미터의 값에 의해 정의된다. 따라서, 상이한 모드는 이미징 서브시스템의 이미징 파라미터 중 적어도 하나에 대한 값(출력이 생성되는 표본 상의 위치 이외의)이 상이할 수 있다. 예를 들어, 광 기반 이미징 서브시스템에 대하여, 상이한 모드는 상이한 파장의 광을 사용할 수 있다. 모드는 본원에 더 기재되는 바와 같이 표본에 지향되는 광의 파장이 상이할 수 있다(예컨대, 상이한 모드에 대하여 상이한 광원, 상이한 스펙트럼 필터 등을 사용함으로써). 또다른 실시예에서, 상이한 모드는 상이한 조명 채널을 사용할 수 있다. 예를 들어, 위에 언급한 바와 같이, 이미징 서브시스템은 하나보다 많은 조명 채널을 포함할 수 있다. 그리하여, 상이한 조명 채널이 상이한 모드에 대하여 사용될 수 있다.
다수의 모드들은 또한, 조명 및/또는 수집/검출이 상이할 수 있다. 예를 들어, 위에 더 기재된 바와 같이, 이미징 서브시스템은 다수의 검출기들을 포함할 수 있다. 따라서, 검출기 중 하나는 하나의 모드를 위해 사용될 수 있고, 검출기 중 또다른 검출기는 또다른 모드를 위해 사용될 수 있다. 또한, 모드는 본원에 기재되는 하나보다 많은 방식으로 서로 상이할 수 있다(예컨대, 상이한 모드는 하나 이상의 상이한 조명 파라미터 및 하나 이상의 상이한 검출 파라미터를 가질 수 있음). 또한, 다수의 모드들은 관점(perspective)이 상이할 수 있으며, 이는 위에 더 기재된 바와 같이 달성가능한 상이한 입사각과 수집각 중 하나 또는 둘 다를 갖는 것을 의미한다. 이미징 서브시스템은, 예컨대, 표본을 동시에 스캔하기 위해 다수의 모드를 사용하는 능력에 따라, 동일한 스캔 또는 상이한 스캔에서 상이한 모드로 표본을 스캔하도록 구성될 수 있다.
일부 경우에, 본원에 기재되는 시스템은 검사 시스템으로서 구성될 수 있다. 그러나, 본원에 기재되는 시스템은 결함 검토 시스템 및 계측 시스템과 같은 또다른 유형의 반도체 관련 품질 제어 유형 시스템으로서 구성될 수 있다. 예를 들어, 여기에 기재되고 도 1 및 도 1a에 도시된 이미징 서브시스템의 실시예는 그것들이 사용될 애플리케이션에 따라 상이한 이미징 능력을 제공하도록 하나 이상의 파라미터가 수정될 수 있다. 하나의 실시예에서, 이미징 서브시스템은 전자 빔 결함 검토 서브시스템으로서 구성된다. 예를 들어, 도 1a에 도시된 이미징 서브시스템은, 검사보다는 결함 검토 또는 계측을 위해 사용될 경우 더 높은 해상도를 갖도록 구성될 수 있다. 다르게 말하자면, 도 1 및 도 1a에 도시된 이미징 서브시스템의 실시예는 이미징 서브시스템에 대해 다소 일반적이고 다양한 구성을 기재한 것이며, 이는 상이한 애플리케이션에 더 적합하거나 덜 적합한 상이한 이미징 능력을 갖는 이미징 서브시스템을 생성하도록 당해 기술 분야에서의 숙련자에게 명백할 다수의 방식으로 맞춤화될 수 있다.
위에 언급된 바와 같이, 이미징 서브시스템은 표본의 물리적 버전으로 에너지(예컨대, 광, 전자)를 지향시키고 그리고/또는 이에 대해 에너지를 스캐닝함으로써 표본의 물리적 버전에 대한 실제 이미지를 생성하도록 구성될 수 있다. 이러한 방식으로, 이미징 서브시스템은 "가상" 시스템이 아니라 "실제" 이미징 시스템으로서 구성될 수 있다. 그러나, 저장 매체(도시되지 않음) 및 도 1에 도시된 컴퓨터 서브시스템(들)(102)은 "가상" 시스템으로서 구성될 수 있다. 특히, 저장 매체 및 컴퓨터 서브시스템(들)은 이미징 서브시스템(100)의 부분이 아니며, 표본의 물리적 버전을 처리하기 위한 어떠한 능력도 갖지 않지만, 저장된 검출기 출력을 사용하여, 검사와 유사한 기능을 수행하는 가상 검사기, 계측과 유사한 기능을 수행하는 가상 계측 시스템, 결함 검토와 유사한 기능을 수행하는 가상 결함 검토 툴 등으로서 구성될 수 있다. "가상" 시스템으로서 구성된 시스템 및 방법은, 2012년 2월 28일 Bhaskar 등에게 허여된 공통으로 양도된 미국 특허 번호 제8,126,255호, 2015년 12월 29일 Duffy 등에게 허여된 미국 특허 번호 제9,222,895호, 및 2017년 11월 14일 Duffy 등에게 허여된 미국 특허 번호 제9,816,939호에 기재되어 있으며, 이들은 본 명세서에서 완전히 서술된 것처럼 참조에 의해 포함된다. 본원에 기재된 실시예는 이들 특허에 기재된 바와 같이 더 구성될 수 있다. 예를 들어, 본원에 기재된 컴퓨터 서브시스템은 이들 특허에 기재된 바와 같이 더 구성될 수 있다.
시스템은, 위에 기재된 임의의 컴퓨터 서브시스템(들) 또는 시스템(들)의 임의의 구성을 포함할 수 있는 컴퓨터 서브시스템 및 컴퓨터 서브시스템에 의해 실행되는 하나 이상의 컴포넌트를 포함할 수 있다. 예를 들어, 도 1에 도시된 바와 같이, 시스템은 컴퓨터 서브시스템(36) 및 컴퓨터 서브시스템에 의해 실행되는 하나 이상의 컴포넌트(104)를 포함할 수 있다. 하나 이상의 컴포넌트는 본원에 더 기재된 바와 같이 또는 당업계에 공지된 임의의 다른 적합한 방식으로 컴퓨터 서브시스템에 의해 실행될 수 있다. 하나 이상의 컴포넌트를 실행하는 것의 적어도 일부는 이미지, 데이터 등과 같은 하나 이상의 입력을 하나 이상의 컴포넌트에 입력하는 것을 포함할 수 있다. 컴퓨터 서브시스템은 임의의 적합한 방식으로 임의의 이미지, 데이터 등을 하나 이상의 컴포넌트에 입력하도록 구성될 수 있다.
하나 이상의 컴포넌트는, 라벨링된 데이터 없이 트레이닝되고 적어도 표본 이미지나 표본 이미지로부터 생성된 데이터를 포함하는 하나 이상의 입력으로부터 표본에 대한 참조를 생성하도록 구성된 딥 러닝(DL) 모델을 포함한다. 본원에서 사용되는 문구 "라벨링된 데이터 없이 트레이닝됨"은, 적어도 초기에 또는 심지어 완전히 어떠한 방식으로든 라벨링되는 데이터 없이 트레이닝되는 것으로 정의된다. 예를 들어, 트레이닝의 제1 단계는 라벨링되지 않은 이미지에만 기초한 일종의 사전 트레이닝(pre-training)일 수 있으며, 이는 데이터 자체에 포함된 정보에만 기초하여 트레이닝이 수행된다는 것을 의미한다.
이 트레이닝의 제1 단계는 또한, DL 모델이 궁극적으로 사용될 태스크, 즉 그의 "다운스트림 태스크(downstream tasks)"와는 상이한, 프리텍스트(pretext) 또는 보조 태스크로도 지칭될 수 있다. 하나의 이러한 예에서, 프리텍스트 또는 보조 태스크는, 라벨링되지 않은 이미지를 취하고, 이미지로부터 2개 이상의 패치를 선택하고 잘라낸 다음, 원본 이미지에서의 이들 패치의 상대 위치(들)를 "학습하는 것"일 수 있다. 이 방식으로, 이 트레이닝 단계 동안 학습되는 라벨은, 사람이 생성한 라벨과 같은 데이터 외부의 소스가 아니라, 데이터 자체에서, 즉 이미지에서 잘라낸 패치가 위치되는 곳으로부터 비롯된다.
이어서, 이 단계 동안 학습된 피처는 객체 검출 또는 시맨틱 분할과 같이 DL 모델이 구성되는 태스크에 대해 DL 모델을 트레이닝하는 데 사용될 수 있다. 일종의 전이 학습 또는 미세 조정 단계인 이러한 제2 트레이닝 단계는 또한, 라벨링된 데이터 없이(즉, 비지도형 학습) 또는 DL 모델의 모든 트레이닝이 지도형이였다면 요구되었을 것보다는 상당히 더 작은(10X-100X 더 작은) 라벨링된 데이터세트에 기초하여(즉, 자기 지도형 학습) 수행될 수 있다. 상당히 더 작은 데이터세트로 트레이닝을 가능하게 하는 것은, 소비자 기반 애플리케이션(사람과 차를 구별하기 위한 학습과 같은)과는 달리, 상당히 큰 트레이닝 데이터세트는 종종 양호한 예시적인 이미지의 일반적인 부족으로 인해 생성하기가 어려울 수 있기 때문에(예를 들어, 관심 결함(DOI; defect of interest)이 적고 특히 검사 프로세스에 대한 셋업 단계 동안 멀리 떨어져 있을 때와 같이), 본원에서 기재되는 실시예에 특히 중요하다.
하나의 실시예에서, DL 모델은 비지도형 방식으로 트레이닝된다. 예를 들어, 위에 그리고 여기에 추가로 기재된 트레이닝은 모든 트레이닝 단계가 라벨링된 데이터 없이 수행될 때 비지도형이다. 다른 실시예에서, DL 모델은 자기 지도형 방식으로 트레이닝된다. 자기 지도형 트레이닝은 라벨링되지 않은 데이터를 사용하여 DL 모델을 트레이닝하는 머신 러닝(ML)의 한 분야이다. 예를 들어, 위에 그리고 여기에 추가로 기재된 트레이닝은 적어도 초기 트레이닝 단계가 라벨링된 데이터 없이 수행될 때 자기 지도형이다. 본원에 더 기재되고 도 2 및 도 3에 각각 도시된 알고리즘 X(및 알고리즘 Z)는 생성적 대립 네트워크(GAN; generative adversarial network), 픽셀 컨볼루션 신경망(PixelCNN), 생성적 모델 등으로서 선택될 수 있다. PixelCNN은 자기 지도형 방식으로 트레이닝될 수 있고, 자동 인코더 또는 생성적 모델은 자기 지도형 또는 비지도형 방식으로 트레이닝될 수 있다.
GAN은 일반적으로 서로 맞대어 피팅된 2개의 네트워크를 포함하는 심층 신경망 아키텍처로서 정의될 수 있다. GAN 및 조건부 GAN(cGAN; conditional GAN)의 일반적인 아키텍처 및 구성에 대한 추가적인 설명은, Brauer에 의한 2021년 9월 2일 공개된 미국 특허 출원 공보 제2021/0272273호, Brauer 등에 의한 2021년 5월 5일 출원된 미국 특허 출원 제17/308,878호, Goodfellow 등에 의한 arXiv:1406.2661, 2014년 6월 10일, 9페이지의 “Generative Adversarial Nets”, Kingma 등에 의한 NIPS 2014, 2014년 10월 31일, pp.1-9의 “Semi-supervised Learning with Deep Generative Models”, Mirza 등에 의한 arXiv:1411.1784, 2014년 11월 7일, 7페이지의 “Conditional Generative Adversarial Nets”, Makhzani 등에 의한 arXiv:1511.05644v2, 2016년 5월 25일, 16페이지의 “Adversarial Autoencoders”, 및 Isola 등에 의한 arXiv:1611.07004v2, 2017년 11월 22일, 17 페이지의 “Image-to-Image Translation with Conditional Adversarial Networks”에서 찾아볼 수 있으며, 이들은 본 명세서에서 완전히 서술된 것처럼 참조에 의해 포함된다. 본원에 기재된 실시예는 이들 문헌에 기재된 바와 같이 더 구성될 수 있다.
PixelCNN은, 레이어들 전반에 걸쳐 입력의 공간 해상도를 보존하고 각 위치에서 조건부 분포를 출력하는 레이어들의 완전 콘볼루션 네트워크인 아키텍처이다. 본원에 기재된 실시예에서 사용될 수 있는 PixelCNN의 예는, van den Oord 등에 의한 arXiv:1601.06759, 2016년 8월 19일, 11페이지의 “Pixel Recurrent Neural Networks”에 포함되어 있으며, 이는 본 명세서에서 완전히 서술된 것처럼 참조에 의해 포함된다. 본원에 기재된 실시예는 이 문헌에 기재된 바와 같이 더 구성될 수 있다.
"생성적" 모델은 일반적으로, 사실상 확률적인 모델로서 정의될 수 있다. 다르게 말하자면, "생성적" 모델은 정방향(forward) 시뮬레이션 또는 규칙 기반의 접근을 수행하는 것이 아니며, 그리하여 실제 이미지를 생성하는 데에 수반되는 프로세스의 물리학 모델이 필요하지 않다. 대신에, 여기에 더 기재되는 바와 같이, 생성적 모델은 데이터의 적합한 트레이닝 세트에 기초하여 학습될 수 있다(그의 파라미터가 학습될 수 있다는 점에서). 생성적 모델은, 다수의 알고리즘 또는 변환을 수행하는 다수의 레이어들을 포함할 수 있는 DL 아키텍처를 갖도록 구성될 수 있다. 생성적 모델에 포함되는 레이어의 수는 유즈 케이스(use case)에 따라 좌우될 수 있다. 사실상, 레이어의 적합한 범위는 2개의 레이어 내지 수십 개의 레이어이다. 본원에 기재되는 입력과 출력 사이의 공동 확률 분포(평균 및 분산)를 학습하는 심층 생성적 모델은 본원에 더 기재되는 바와 같이 그리고 2019년 8월 27일 Zhang 등에게 허여된 미국 특허 제10,395,356호에 기재된 바와 같이 구성될 수 있으며, 이는 본 명세서에서 완전히 서술된 것처럼 참조에 의해 포함된다. 본원에 기재된 실시예는 이 특허에 기재된 바와 같이 더 구성될 수 있다.
하나의 구성에서, DL 모델은 데이터의 저주파 구조를 학습하기 위해 독립형 방식으로 트레이닝된다. 입력 데이터 X, 잠재 공간 벡터 H(X), 및 출력 재구성된 데이터 XR가 주어지면, 생성기는 평균 제곱 오차(MSE; mean squared error) 손실, 샴(Siamese) 손실, 대비 손실 등과 같은 자기 지도형 손실 함수 L(X, XR)의 어느 하나 또는 조합을 사용하여 자기 지도형 또는 비지도형 방식으로 트레이닝될 수 있다. 예를 들어, 트레이닝 동안, 본원에 더 기재되는 DL 모델에 대한 가능한 입력들 중 임의의 하나 이상은 참조를 예측하기 위해 DL 모델을 학습하도록 DL 모델에 입력될 수 있다. 참조 또는 그의 파생물(들)은 입력 및 예상 출력 둘 다에 있을 수 있으며, 이는 자기 지도형 또는 비지도형 알고리즘에서 공통적이다. 하나의 이러한 예에서, 예측되고 있는 참조가 참조 이미지일 때, 트레이닝 입력은, 표본 테스트 이미지, 표본 테스트 이미지와 대응하는 참조 이미지, 및 표본 테스트 이미지 또는 참조 이미지를 갖는 표본에 대한 설계 정보 중, 임의의 것일 수 있다. 그 다음, 입력은 자기 지도형 또는 비지도형 방식으로 자신을 예측하는 데 사용될 수 있다. PCA(Principal Component Analysis)와 유사하게 학습된 피처가 전부 서로 직교함을 보장하기 위해 잠재 공간 벡터에 추가 제약이 추가될 수 있다. 이는, 잠재 공간 벡터와 그의 전치(transpose)를 입력으로서 항등 행렬(I)과 함께 MSE 손실에 곱함으로써 달성될 수 있다. LOrth = (H(X)T * H(X), I). N개의 에포크(epoch) 후에 손실 함수 및 기타 검증 메트릭이 개선되지 않는 경우 트레이닝이 중지될 수 있다(조기 중지).
위에 기재된 트레이닝 중 임의의 트레이닝은 본원에 기재된 실시예에 포함된 하나 이상의 컴퓨터 서브시스템에 의해 수행될 수 있다. 이 방식으로, 본원에 기재된 실시예는 DL 모델에 대한 하나 이상의 셋업 또는 트레이닝 기능을 수행하도록 구성될 수 있다. 그러나, 위에 기재된 트레이닝 중 임의의 트레이닝은 또다른 방법 또는 시스템(도시되지 않음)에 의해 수행될 수 있고, 그 다른 방법 또는 시스템은 트레이닝된 DL 모델을 본원에 기재된 실시예가 접근하게 할 수 있다. 이러한 방식으로, 본원에 기재된 실시예는, 본원에 더 기재되는 DL 모델을 트레이닝하도록 그리고 셋업 표본(들)과 동일하거나 상이할 수 있는 하나 이상의 런타임 표본에 대한 정보를 결정하기 위해 트레이닝된 DL 모델을 사용하는 것과 같은 런타임 기능을 수행하도록 구성될 수 있다.
하나의 실시예에서, 하나 이상의 입력이 표본 이미지를 포함할 때, 참조는 학습된 참조 이미지를 포함한다. 이 방식으로, DL 모델은 자기 지도형 또는 비지도형 학습을 통해 참조를 직접 학습할 수 있다. 특히, 본원에 기재되는 실시예는 웨이퍼 또는 레티클 이미지 상의 결함 검출(또는 본원에 기재된 또다른 애플리케이션)을 위해 비-결함 패턴을 직접 학습하도록 구성될 수 있다. 이러한 하나의 실시예가 도 2에 도시되어 있다. 예를 들어, 표본 이미지(본원에서 "데이터 1A"로도 지칭됨)(200)는 단계 202에서 자기 지도형 또는 비지도형 접근법(들)(본원에서 "알고리즘 X"로도 지칭됨)을 통해 참조 학습에 입력된다. 그러면 이 실시예에서, DL 모델은 "알고리즘 X"로도 지칭된다.
표본 이미지(200)는 웨이퍼 또는 레티클 이미지 또는 본원에 기재된 또다른 표본의 이미지일 수 있다. 이미지는 본원에 기재된 이미징 서브시스템 중 하나에 의해 생성될 수 있고 임의의 적합한 방식으로 컴퓨터 서브시스템에 의해 획득될 수 있다. 컴퓨터 서브시스템은 임의의 적합한 방식으로 참조 학습 단계 202에 표본 이미지를 입력할 수 있다. 이 이미지는 대부분의 검사 유즈 케이스에서 비교적 희소한(sparse) 결함 신호를 포함할 것이다. 다르게 말하자면, 표본 이미지가 생성된 영역에서 표본 상에 결함이 존재하는 경우, 표본 이미지는 이러한 결함에 대응하는 결함 신호를 포함할 것이다. 그리하여, 표본 이미지에서의 결함 신호는 표본 상에 존재하는 결함에 따라 달라질 것이다. 표본 이미지에서의 다른 신호는 또한, 표본 상에 형성된 임의의 패터닝된 피처, 표본 상의 임의의 뉴슨스 또는 노이즈 소스 등에 따라 달라질 수 있다.
알고리즘 X를 통해, 표본 학습된 참조(본원에서 "데이터 1B"로도 지칭됨)(204)가 데이터 1A로부터 학습되고 계산될 수 있다. 데이터 1A와 데이터 1B의 차이는, 적절하게 학습된 데이터 1B가 통계적 관점에서 1차 결함 신호를 포함하지 않는다는 것이다. 이어서, 데이터 1A 및 데이터 1B는 지도형 또는 비지도형 정보 결정 단계(206)(본원에서 "알고리즘 Y"로도 지칭됨)에 입력될 수 있으며, 이는 결정된 정보(208)(본원에서 "데이터 1C”로도 지칭됨)를 생성한다. 단계 206에서, 알고리즘 Y 및 데이터 1C가 본원에 기재된 바와 같이 더 구성될 수 있다.
또다른 실시예에서, 하나 이상의 입력이 표본 이미지로부터 생성된 데이터를 포함하고 표본 이미지로부터 생성된 데이터가 구조적 노이즈를 포함할 때, 참조는 학습된 구조적 노이즈를 포함한다. 이 방식으로, 본원에 기재된 실시예는 자기 지도형 학습을 통해 구조적 노이즈를 학습하도록 구성될 수 있다. 특히, 본원에 기재된 실시예는 웨이퍼 또는 레티클 이미지 상의 결함 검출과 같은 애플리케이션을 위해 비-결함 구조적 노이즈를 학습하도록 구성될 수 있다. 도 3에 도시된 하나의 이러한 실시예에서, 표본 이미지(300)(본원에서 "데이터 2A"로도 지칭됨) 및 표본 참조(302)(본원에서 "데이터 2B"로도 지칭됨)가 구조적 노이즈 계산 단계(304)에 입력될 수 있으며, 이는 데이터 2A 및 데이터 2B로부터 구조적 노이즈(306)(본원에서 "데이터 2C"로도 지칭됨)를 계산할 수 있다.
표본 이미지(300)는 웨이퍼 또는 레티클 이미지 또는 본원에 기재된 또다른 표본의 이미지일 수 있다. 이미지는 본원에 더 기재되는 바와 같이 생성되고 획득될 수 있다. 컴퓨터 서브시스템은 임의의 적합한 방식으로 표본 이미지를 구조적 노이즈 계산 단계(304)에 입력할 수 있다. 이 이미지는 대부분의 검사 유즈 케이스에서 비교적 희소한 결함 신호를 포함할 것이고, 이 이미지에서의 신호는 위에 기재된 바와 같이 달라질 수 있다.
표본 참조(302)는 도 2에 도시된 바와 같이 또는 당업계에 공지된 임의의 다른 적합한(DL 또는 비-DL) 방법에 의해 생성될 수 있는 임의의 적합한 참조 이미지일 수 있다. 예를 들어, 표본 참조(302)는 단순히 표본 이미지(300)가 생성된 영역에 대응하는 표본 상의 영역의 이미지일 수 있다. 표본 참조(302)는, 표본 이미지에 대응하는(그리고 가능하게는 표본 이미지를 포함함) 하나 이상의 이미지를 수정하거나 결합함으로써(예컨대, 필터링, 평균화 등에 의해) 생성될 수 있다. 다른 예에서, 표본 참조(302)는 도 2에 도시된 DL 참조 학습 단계에 의해 또는 당업계에 공지된 또다른 적합한 DL 또는 ML 방법에 의해 생성될 수 있다. 예를 들어, DL 또는 ML 방법은 표본에 대한 설계 정보로부터 참조 이미지를 생성하도록 구성될 수 있다. 표본 참조(302)가 도 2에 도시된 바와 같이 생성될 때, 도 3에 도시된 실시예는 기본적으로 그 단계 앞에 구조적 노이즈 계산을 추가한다. 컴퓨터 서브시스템은 임의의 적합한 방식으로 표본 참조 이미지를 구조적 노이즈 계산 단계(304)에 입력할 수 있다.
표본 참조를 생성하거나 획득하는 것은 일반적으로, 표본 참조로서 사용된 또는 표본 참조를 생성하는데 사용된 이미지(들)에서의 임의의 결함 신호를 최소화하기 위한 방식으로 수행된다. 예를 들어, 표본 참조는 2개 이상의 이웃 다이/셀의 이미지의 평균 또는 중간값(또는 다른 등가물)을 취함으로써 획득될 수 있으며, 이는 유리하게 이미지에서의 고주파 결함 성분의 강도를 억제할 수 있다(그러나 이를 제거하지는 않을 수 있음). 또다른 예에서, 계산된 참조와 같은 현재 사용되는 노이즈 억제 기술이 표본 참조를 생성하는데 사용될 수 있다.
이 단계에 의해 제거될 수 없는 고주파 결함 노이즈 성분은 아래에 더 기재된 알고리즘 Z에 의해 학습될 수 있는 로컬 구조적 노이즈를 나타낸다. 고주파 결함 노이즈 성분을 학습하는 것은 본원에 기재된 실시예에 대해 중요할 수 있다. 일반적으로, 광학계로부터의 임의의 측정된 강도는 신호와 노이즈 둘 다로부터의 가산 강도이다. 예를 들어, 동일한 위치에서 비교적 작은 로컬 신호 및 광범위/확산 노이즈를 고려한다. 노이즈가 비교적 작을 때, 관찰되는 것은 상대적으로 작은 배경 노이즈를 갖는 피크 신호이다. 그러나, 노이즈가 비교적 높을 때, 관찰되는 것은 상대적으로 높은 배경 노이즈를 갖는 상대적으로 작은 신호이다. 이는 또한 고주파 노이즈에도 적용된다. 따라서, 결함 위치에서/주변에서 노이즈 성분을 구성/학습함으로써, 조합된 강도로부터 이를 감산함으로써 더 높은 감도를 달성할 수 있다.
구조적 노이즈는 랜덤 노이즈와는 대조적으로, 공칭 광학 이미징에 대한 변형 광학 응답 또는 강도로서 본원에서 정의된다(다른 유형의 이미징에도 또한 적용됨). 참조 이미지가 공칭 광학 이미징에 대한 근사치라고 하면, 구조적 노이즈에 대한 하나의 근사치는 차이 이미지이다. 이 방식으로, 이 실시예는, 참조 이미지를 직접 학습하는 대신, 구조적 노이즈를 계산함으로써 생성되는 "차이" 이미지로부터 비-결함 이미지를 학습하는 것으로 생각될 수 있다. 이 실시예에서 알고리즘 Z 전에 "구조적 노이즈 계산" 단계를 포함함으로써, 도 2에 도시된 실시예에 의해 생성된 것과 비교하여, 생성하는 참조에서 고주파 성분을 더 잘 억제하는 데 도움이 될 수 있는 선험적 정보를 DL 모델에 제공하고 있다.
구조적 노이즈 계산 단계(304)는 다양한 방식으로 수행될 수 있다. 위에서 언급된 바와 같이, 데이터 2A는 테스트 다이/레티클의 웨이퍼/레티클 이미지일 수 있고, 데이터 2B는 도 2에 도시된 것을 포함하여, 이웃 다이/셀의 참조 이미지 또는 물리 모델링 또는 ML/DL 기반 모델링을 통한 시뮬레이션된 참조일 수 있다. 이어서, 단계 304에서 구조적 노이즈가 2개의 입력 사이의 감산으로서 결정될 수 있다. 또다른 옵션에서, 단계 304에서 구조적 노이즈는 2개의 입력 사이의 비율을 취함으로써 계산될 수 있다. 예를 들어, 구조적 노이즈 계산 단계(304)는, 표본 참조로부터 표본 이미지를 감산하거나(2B-2A) 또는 그 반대(2A-2B), 표본 이미지를 표본 참조로 나누거나(2A/2B) 또는 그 반대(2B/2A) 등을 포함할 수 있다. 이 단계의 출력은 구조적 노이즈(306)이다. 도 3에 도시된 구조적 노이즈(306)의 다양한 축을 따른 숫자는 본원에 기재된 실시예의 이해와 관련이 없으며, 이 도면에 도시된 계산된 구조적 노이즈의 시각적 표현의 본질을 전달하기 위해 도 3에만 도시된다.
계산된 구조적 노이즈는 임의의 적합한 방식으로 컴퓨터 서브시스템에 의해 자기 지도형 또는 비지도형 접근(들)을 통한 구조적 노이즈의 학습 단계(308)(본원에서 "알고리즘 Z"로도 지칭됨)에 입력될 수 있다. 따라서, 이 실시예에서, DL 모델은 "알고리즘 Z"로도 지칭된다. 본원에 기재된 다른 데이터도 또한, 계산된 구조적 노이즈를 이용해 알고리즘 Z에 입력될 수 있다. 예를 들어, 입력은 위에 기재된 바와 같이 계산된 임의의 구조적 노이즈(예컨대, 2A-2B, 2B-2A, 2A/2B, 2B/2A 등)을, 가능하게는 데이터 2B(표본 참조)와 조합하여 포함할 수 있다. 알고리즘 Z는 학습된 구조적 노이즈(310)(본원에서 "데이터 2D"로도 지칭됨)를 생성할 것이다. 이 방식으로, 계산된 구조적 노이즈(데이터 2C)에서의 비-결함 관련 구조적 노이즈(데이터 2D)는 알고리즘 Z를 통해 학습될 수 있고, 학습된 비-결함 구조적 노이즈는 데이터 2D로서 제시된다. 계산된 구조적 노이즈와 마찬가지로, 학습된 구조적 노이즈(310)의 다양한 축을 따른 숫자는 본원에 기재된 실시예의 이해와 관련이 없으며, 이 도면에 도시된 구조적 노이즈의 시각적 표현의 본질을 전달하기 위해 도 3에만 도시된다.
계산된 구조적 노이즈(데이터 2C)와 학습된 구조적 노이즈(데이터 2D)는, 중요하고 아마도 불명백한 방식으로 상이하다. 예를 들어, 웨이퍼 또는 레티클의 테스트 이미지(데이터 2A)는 테스트 이미지가 생성된 표본 상의 영역에 포함된 임의의 결함에 대한 결함 신호를 포함한다. 공칭 또는 참조 이미지인 데이터 2B는 이상적으로는 결함 신호를 포함하지 않는다. 따라서, 계산된 구조적 노이즈인 데이터 2C 자체는 프로세스 변동과 결함 둘 다로부터의 정보를 포함한다. 대조적으로, 알고리즘 Z에 의해 학습된 데이터 2D는, 프로세스 변동과는 관련되지만 결함과는 상관되지 않는 대부분의 정보/노이즈를 복원한다. 그리 함으로써, 원치 않는 프로세스 변동 신호로부터 더 깨끗한 결함 신호를 추출하기 위해 데이터 2C와 데이터 2D가 공동으로 사용될 수 있다. 다르게 말하자면, 본원에 기재된 실시예는 결함 신호를 개선하며, 이는 노이즈를 "세정(clean)"하는 방법에 주로 초점을 맞춘 많은 검사 프로세스와는 상이하다. 중요한 것은, DL 모델을 통해 비-결함 구조적 노이즈를 2C로부터 더 분리함으로써, 보다 나은 검출 감도가 달성될 수 있다. 본원에 기재된 다른 프로세스의 결과는 유사한 방식으로 향상될 수 있다.
수학적 관점에서 위의 내용을 다시 서술하기 위해, 상이한 다이의 감산/평균화/중간값 이미지와 같은 미리 결정된 비-예측 접근법을 통해 출력 2C가 획득된다. 2C를 입력으로서 취하는 알고리즘 Z에 의해 출력 2D가 예측된다. 또한, 위에 언급된 바와 같이, 데이터 2D는 학습가능한 비-결함 구조적 노이즈를 포함하고 결함 신호를 거의 또는 전혀 포함하지 않지만, 데이터 2C는 둘 모두를 포함한다.
이어서, 데이터 2C 및 데이터 2D는 지도형 또는 비지도형 정보 결정 단계(312)(본원에서 "알고리즘 Y"로도 지칭됨)에 입력될 수 있으며, 이는 결정된 정보(314)(본원에서 "데이터 2E"로도 지칭됨)를 생성한다. 데이터 2C 및 데이터 2D는 이 실시예에서 다수의 상이한 방식으로, 예컨대, 2C와 2D로서, 2C-2D로서, 2C/2D 등으로서, 알고리즘 Y에 입력될 수 있다. 이 실시예에서 알고리즘 Y에 대한 입력은 또한, 위에 기재된 입력 중 임의의 입력을, 설계 정보 및/또는 표본 참조 - 데이터 2B와 조합하여 포함할 수 있다. 단계 312, 알고리즘 Y 및 데이터 2E가 본원에 기재된 바와 같이 더 구성될 수 있다.
하나의 실시예에서, DL 모델(예컨대, 각각 도 2 또는 도 3에 도시된 알고리즘 X 또는 알고리즘 Z)에 대한 하나 이상의 입력은 또한, 표본에 대한 설계 정보 및 적어도 표본 이미지나 표본 이미지로부터 생성된 데이터를 포함한다. 예를 들어, 도 3에 도시된 실시예에서, 입력은 표본 이미지로부터 생성된 데이터, 즉, 위에 기재된 바와 같이 계산된 임의의 구조적 노이즈(예컨대, 2A-2B, 2B-2A, 2A/2B, 2B/2A 등)을, 가능하게는 데이터 2B(표본 참조)와 조합하여, 설계 정보에 추가적으로, 포함할 수 있다. 설계 또는 CAD(computer aided design) 정보는 참조 학습 또는 구조적 노이즈 학습에 중대할 수 있다. 이미징 서브시스템에 의해/이미징 서브시스템으로부터 수집된 이미지와 동일한 픽셀 크기로 또는 더 작은 픽셀 크기로(예컨대, 2X, 4X, 8X 줌 설계) 렌더링된 설계 이미지가 알고리즘 X 및 알고리즘 Z에 대한 입력으로서 이용될 수 있다. 둘 다의 경우에, 설계는 또한 알고리즘 Y에 입력될 수 있다. 다른 그러한 경우에, 설계는 알고리즘 Y에만 입력될 수 있다(그리고 경우에 따라 알고리즘 X 또는 알고리즘 Z에는 입력되지 않음).
본원에서 교환가능하게 사용되는 용어 "설계", "설계 데이터" 및 "설계 정보"는 일반적으로, IC 또는 다른 반도체 디바이스의 물리적 설계(레이아웃) 및 복잡한 시뮬레이션이나 단순한 기하학적 및 불(Boolean) 연산을 통해 물리적 설계로부터 도출된 데이터를 지칭한다. 설계는, 2009년 8월 4일 Zafar 등에게 허여된 공동 소유의 미국 특허 번호 제7,570,796호 및 2010년 3월 9일 Kulkarni 등에게 허여된 미국 특허 번호 제7,676,077호에 기재된 임의의 다른 설계 데이터 또는 설계 데이터 프록시를 포함할 수 있으며, 이들 특허는 둘 다 본 명세서에서 완전히 서술된 것처럼 참조에 의해 포함된다. 또한, 설계 데이터는 표준 셀 라이브러리 데이터, 통합된 레이아웃 데이터, 하나 이상의 레이어에 대한 설계 데이터, 설계 데이터의 유도체, 및 전체 또는 부분 칩 설계 데이터일 수 있다. 또한, 본원에 기재된 "설계", "설계 데이터" 및 "설계 정보"는 설계 프로세스에서 반도체 디바이스 설계자에 의해 생성되는 정보 및 데이터를 지칭하며, 따라서 레티클 및 웨이퍼와 같은 임의의 물리적 표본 상의 설계의 인쇄에 앞서, 본원에 기재된 실시예에서도 사용하는 것이 가능하다.
하나의 이러한 실시예에서, 하나 이상의 입력, 설계 정보, 및 표본 이미지로부터 생성된 데이터는 표본에 대한 케어 영역(care area) 정보를 포함하지 않는다. 예를 들어, 본원에 기재된 실시예는 설계로부터 케어 영역을 생성해야 할 필요 없이 (예컨대, 알고리즘 X, 알고리즘 Y, 및 알고리즘 Z 중 하나 이상에 대한 입력 중 하나로서) 정보 결정 프로세스에 설계 정보를 직접 통합할 수 있다. 본원에 기재된 많은 프로세스에 대하여, 이는 더 높은 감도(다른 입력 및/또는 결정된 정보가 설계 정보와 직접 정렬되고 상관될 수 있기 때문에) 및 보다 나은 결과까지의 시간(예컨대, 케어 영역 생성 프로세스를 제거함으로써)을 제공할 수 있다.
당해 기술 분야에서 일반적으로 지칭되는 바와 같은 "케어 영역"은 검사 목적으로 관심 대상인 표본 상의 영역이다. 때때로, 검사 프로세스에서 검사되는 표본 상의 영역을 검사되지 않은 표본 상의 영역과 구별하기 위해, 케어 영역이 사용된다. 또한, 케어 영역은 때때로 하나 이상의 상이한 파라미터로 검사될 표본 상의 영역을 구별하기 위해 사용된다. 예를 들어, 표본의 제1 영역이 표본 상의 제2 영역보다 더 중요한 경우, 제1 영역은 제2 영역보다 더 높은 감도로 검사될 수 있으며, 그리하여 제1 영역에서 더 높은 감도로 결함이 검출될 수 있다. 유사한 방식으로 검사 프로세스의 다른 파라미터가 케어 영역마다 변경될 수 있다.
또다른 실시예에서, 하나 이상의 입력은 또한, 표본에 대한 케어 영역 정보 및 적어도 표본 이미지나 표본 이미지로부터 생성된 데이터를 포함한다. 예를 들어, 설계 정보는 KLA로부터 상업적으로 입수가능한 일부 툴에 의해 사용되는 NanoPoint 또는 PixelPoint 케어 영역과 같은 케어 영역으로 임의의 적합한 방식으로 변환될 수 있다. 케어 영역 정보는 알고리즘 X(또는 알고리즘 Z) 및 알고리즘 Y 중 어느 하나 또는 둘 다에 대한 입력으로서 사용될 수 있다. 이 방식으로, 케어 영역 정보가 본원에 기재된 실시예에 이용가능할 때, 이 정보는 알고리즘에 대한 다른 입력과 조합하여 본원에 기재된 임의의 알고리즘에 입력될 수 있다.
본원에 기재된 실시예 중 임의의 실시예에서 DL 모델에 대한 데이터 입력은 단일 모드 데이터 또는 다중 모드 데이터일 수 있다. 예를 들어, 도 2에 도시된 데이터 1A는 단일 모드 또는 다중 모드 이미징 데이터일 수 있다. 또다른 예에서, 도 3에 도시된 데이터 2A 및 데이터 2B는 단일 모드 또는 다중 모드 이미징 데이터일 수 있다. 단일 또는 다중 모드는 다중 관점 모드를 포함하여 본원에 더 기재되는 것 중 임의의 것을 포함할 수 있고, 단일 또는 다중 모드 데이터는 본원에 더 기재되는 바와 같이 생성되고 획득될 수 있다. 아래에 기재된 바와 같이, DL 모델에 대한 데이터 입력이 다중 모드 데이터, 즉 멀티모드 데이터를 포함할 때, 상이한 모드에 대한 데이터가 DL 모델의 구성에 따라 다양한 방식으로 입력될 수 있다.
일부 실시예에서, 표본 이미지는 이미징 서브시스템의 제1 모드로 생성되고, DL 모델은 이미징 서브시스템의 제2 모드로 생성된 적어도 추가 표본 이미지 또는 추가 표본 이미지로부터 생성된 데이터를 포함하는 하나 이상의 추가 입력으로부터 표본에 대한 추가 참조를 생성하도록 구성되고, 컴퓨터 서브시스템은 추가 참조 및 적어도 추가 표본 이미지나 추가 표본 이미지로부터 생성된 데이터로부터 표본에 대한 추가 정보를 결정하도록 구성된다. 예를 들어, 표본 참조가 학습되는 실시예에서, 다중 모드 설정에서, 각 모드는 상이한 1A 및 1B를 가질 것이다. 또다른 예에서, 표본 참조가 학습된 구조적 노이즈인 실시예에서, 다중 모드 설정에서, 각 모드는 상이한 2C 및 2D를 가질 것이다. 따라서, 본질적으로, 도 2 및 도 3에 도시된 단계 각각은 모드별로 여러 번 수행될 수 있다. 이 방식으로, DL 모델은 N개의 관심 모드에 대해 모드 1 입력으로부터 출력 1을 생성하고, 모드 2 입력으로부터 출력 2를 생성하는 등을 할 수 있다.
하나의 이러한 실시예에서, 표본 이미지 및 표본 이미지로부터 생성된 데이터 또는 추가 표본 이미지 및 추가 표본 이미지로부터 생성된 데이터는 상이한 때에 DL 모델에 개별적으로 입력된다. 예를 들어, 이 경우, 학습은 다중 모드 설정에서의 각각의 사용에 대해 개별적으로 수행될 수 있다. 이 방식으로, DL 모델은 멀티 모드 설정에서 각각의 광학 모드에 대해 독립적으로 실행될 수 있다. 또다른 이러한 실시예에서, 표본 이미지 및 표본 이미지로부터 생성된 데이터 또는 추가 표본 이미지 및 추가 표본 이미지로부터 생성된 데이터는 DL 모델에 공동으로 입력된다. 이 방식으로, 학습은 단일 DL 모델에 의해 다중 모드 설정에서의 모든 모드에 대해 공동으로 수행될 수 있다. 그러면 런타임 동안, DL 모델은 다중 모드 데이터로 공동으로 실행될 수 있다. 이 경우, 상이한 2C 이미지가 입력으로서 함께 적층될 수 있다.
컴퓨터 서브시스템은 본원에 더 기재된 바와 같이 입력 다중 모드 이미지(200)(또는 다중 모드 표본 이미지(300) 및 다중 모드 참조 이미지(302)로부터 생성된 다중 모드 구조적 노이즈(306))를 획득하거나 생성할 수 있으며, 이는 컴퓨터 서브시스템에 의해 다중 모드 DL 모델에 입력된다. 입력 다중 모드 이미지(또는 입력 다중 모드 구조적 노이즈)는 본원에 더 기재되는 바와 같이 이미징 서브시스템 및/또는 컴퓨터 서브시스템에 의해 생성될 수 있다.
컴퓨터 서브시스템은 참조 및 적어도 표본 이미지나 표본 이미지로부터 생성된 데이터로부터 표본에 대한 정보를 결정하도록 구성된다. 이 방식으로, 컴퓨터 서브시스템은 학습된 참조 이미지 및 표본 이미지 또는 학습된 구조적 노이즈 및 계산된 구조적 노이즈로부터 정보를 결정하도록 구성된다. 결정된 정보와, 참조 및 적어도 표본 이미지나 표본 이미지로부터 생성된 데이터가 사용되는 방식은, 표본에 대해 수행되는 프로세스에 따라 달라질 수 있다. 도 2 및 도 3에 도시된 실시예에서, 정보 결정 단계는 알고리즘 Y를 사용하여 컴퓨터 서브시스템에 의해 수행될 수 있다. 이 알고리즘은 컴퓨터 서브시스템에 의해 실행되는 하나 이상의 컴포넌트의 일부일 수 있거나 또는 이들 컴포넌트와는 별개일 수 있다.
하나의 실시예에서, 컴퓨터 서브시스템은 임의의 다른 표본에 대한 참조로부터 정보를 결정하도록 구성되지 않는다. 예를 들어, 본원에 기재된 실시예는 정보가 결정되고 있는 임의의 하나 이상의 표본에 대하여 필요에 따라 참조를 생성하도록 구성될 수 있다. 이 방식으로, 검사, 측정, 결함 검토 등을 받는 임의의 표본에 대하여, 상이한 참조가 본원에 기재된 DL 모델 중 하나에 의해 생성되고 해당 표본에만 사용될 수 있다. 다르게 말하자면, 참조 1이 표본 1에 대해 생성되고 표본 1에 대해서만 정보를 결정하기 위해 사용될 수 있고, 참조 2가 표본 2에 대해 생성되고 표본 2에 대해서만 정보를 결정하기 위해 사용될 수 있는 식이다. 본원에 기재된 DL 모델 중 하나를 사용하여 상이한 표본에 대한 상이한 참조를 생성하는 것은 다중 모드에 관련하여 위에 기재된 것과 동일한 방식으로 수행될 수 있다. 상이한 표본에 대하여 상이한 예측 참조를 생성하고 사용하는 것은, 심지어 동일 프로세스에서 제조되고 동일 층이 위에 형성되어 있는 표본을 포함한 표본이, 상이하고 심지어 때로는 극적으로 상이한 노이즈 특성을 가질 수 있을 때, 유용하고 유리할 수 있다. 이러한 방식으로, 본원에 기재된 실시예는 다수의 표본에 대하여 동일 참조를 사용하는 것보다는 표본 및 프로세스 변동에 대해 더 안정적일 수 있다.
또다른 실시예에서, 컴퓨터 서브시스템은 참조 및 오로지 표본 이미지나 표본 이미지로부터 생성된 데이터로부터 표본에 대한 정보를 결정하도록 구성된다. 예를 들어, 본원에 기재된 실시예는 정보가 결정되고 있는 임의의 하나 이상의 표본 이미지에 대하여 필요에 따라 참조를 생성하도록 구성될 수 있다. 이 방식으로, 검사, 측정, 결함 검토 등을 받는 임의의 표본 이미지에 대하여, 상이한 참조가 본원에 기재된 DL 모델 중 하나에 의해 생성되고 해당 표본 이미지에만 사용될 수 있다. 다르게 말하자면, 참조 1이 표본 이미지 1에 대해 생성되고 표본 이미지 1에 대해서만 정보를 결정하기 위해 사용될 수 있고, 참조 2가 표본 이미지 2에 대해 생성되고 표본 이미지 2에 대해서만 정보를 결정하기 위해 사용될 수 있는 식이다. 본원에 기재된 DL 모델 중 하나를 사용하여 상이한 표본 이미지에 대한 상이한 참조를 생성하는 것은 다중 모드에 관련하여 위에 기재된 것과 동일한 방식으로 수행될 수 있다. 상이한 표본 이미지에 대해 상이한 예측 참조를 생성하고 사용하는 것은, 심지어 동일 표본 상의 상이한 영역으로부터 획득된 표본 이미지(각각의 영역은 동일한 설계 정보를 갖음) 및/또는 동일 프로세스에서 제조되고 동일 층이 위에 형성되어 있는 상이한 표본으로부터 획득된 표본 이미지를 포함한 표본 이미지가, 상이하고 심지어 때로는 극적으로 상이한 노이즈 특성을 가질 때, 유용하고 유리할 수 있다. 이러한 방식으로, 본원에 기재된 실시예는 다수의 표본 이미지에 대해 동일 참조를 사용하는 것보다는 표본 및 프로세스 변동 내에 더 안정적일 수 있다.
일부 실시예에서, 컴퓨터 서브시스템은 참조 및 적어도 표본 이미지나 표본 이미지로부터 생성된 데이터를 지도형 DL 모델에 입력함으로써 표본에 대한 정보를 결정하도록 구성된다. 예를 들어, 도 2에 도시된 바와 같이, 데이터 1A 및 데이터 1B는, 검사의 경우에 지도형 결함 검출을 수행하기 위해 알고리즘 Y에 입력될 수 있다. 유사한 방식으로, 도 3에 도시된 바와 같이, 데이터 2C 및 데이터 2D는, 검사의 경우에 지도형 결함 검출을 수행하기 위해 알고리즘 Y에 입력될 수 있다. 지도형 결함 검출은, 단일 모드 검사의 경우에 2020년 10월 15일 공개된 Zhang 등에 의한 미국 특허 출원 공보 제2020/0327654호에 기재된 바와 같이, 다중 모드 검사의 경우에 2021년 11월 25일 공개된 Zhang 등에 의한 미국 특허 출원 공보 제2021/0366103호에 기재된 바와 같이, 또는 당업계에 공지된 임의의 기타 적합한 방식으로 수행될 수 있다. 이들 공보 둘 다는 본 명세서에서 완전히 서술된 것처럼 참조에 의해 포함된다. 본원에 기재된 실시예는 이들 공보에 기재된 바와 같이 더 구성될 수 있다.
또다른 실시예에서, 컴퓨터 서브시스템은 참조 및 적어도 표본 이미지나 표본 이미지로부터 생성된 데이터를 비지도형 DL 모델에 입력함으로써 표본에 대한 정보를 결정하도록 구성된다. 예를 들어, 본원에 더 기재되는 임의의 정보를 결정하기 위해 비지도형 DL 모델이 이용가능한 경우, 컴퓨터 서브시스템은 정보를 결정하기 위해 비지도형 DL 모델에 참조 및 표본 이미지 또는 계산된 구조적 노이즈를 입력할 수 있다. 비지도형 DL 모델은 당업계에 공지된 임의의 적합한 그러한 모델을 포함할 수 있다.
추가의 실시예에서, 컴퓨터 서브시스템은 참조 및 적어도 표본 이미지나 표본 이미지로부터 생성된 데이터를 비지도형 알고리즘에 입력함으로써 표본에 대한 정보를 결정하도록 구성된다. 이 실시예에서, 비지도형 알고리즘은 비-DL 알고리즘일 수 있다. 예를 들어, 도 2에 도시된 바와 같이, 데이터 1A 및 데이터 1B는, 검사의 경우에 비지도형 결함 검출을 수행하기 위해 알고리즘 Y에 입력될 수 있다. 또다른 예에서, 도 3에 도시된 바와 같이, 데이터 2C 및 데이터 2D는, 검사의 경우에 비지도형 결함 검출을 수행하기 위해 알고리즘 Y에 입력될 수 있다. 이들 예 둘 다에서, 알고리즘 Y는 KLA로부터 상업적으로 입수가능한 일부 검사 툴에 의해 사용되는 MCAT 알고리즘과 같은 임의의 적합한 비지도형 결함 검출 알고리즘을 포함할 수 있다.
일부 실시예에서, 표본에 대하여 결정된 정보는 표본 상의 예측 결함 위치를 포함한다. 예를 들어, 본원에 기재된 실시예는, BBP 또는 기타 이미지 상의 결함의 위치를 예측하기 위해 DL 기반 CNN, 또다른 DL 모델 또는 비-DL 방법을 사용할 수 있다. 이들 모델 방법, 또는 알고리즘 각각은 지도형 또는 비지도형일 수 있다. 가장 일반적인 의미에서, 표본 상의 결함 위치를 예측하는 것은, 테스트 이미지 또는 데이터로부터 비-결함(또는 참조가 될 수 있는 비-결함) 이미지 또는 데이터를 감산한 다음, 이들 사이의 임의의 차이가 결함 가능성이 높은지 또는 그렇지 않을 가능성이 더 높은지 결정하는 것을 수반한다. 이러한 결정은, 가장 간단한 경우, 결함을 나타내는 차이를 그렇지 않은 차이와 분리하는, 차이에 대한 임계값을 적용하는 것을 수반할 수 있다. 명백하게, 위에 기재된 알고리즘은 단지 표본 상의 결함 위치를 예측하는 것의 본질을 전달하기 위해 여기에서 제공되는 이 간단한 예보다 훨씬 더 복잡하고 정교할 수 있다. 일반적으로 말하면, 본원에 기재된 바와 같이 생성된 참조 및 본원에 기재된 임의의 기타 입력은 결함 검출을 위해 임의의 다른 참조 이미지/데이터 및 테스트 이미지/데이터와 동일한 방식으로 사용될 수 있다. 이 방식으로, 참조 이미지/데이터 및 테스트 이미지/데이터는 임의의 특정 결함 검출 알고리즘 또는 방법에 특정되지 않는다.
예측 결함 위치는 검사 프로세스에서 결정될 수 있으며, 검사 프로세스에서 표본 상의 비교적 큰 영역이 이미징 서브시스템에 의해 스캐닝되고, 그 다음, 그러한 스캐닝에 의해 생성된 이미지가 잠재 결함에 대해 검사된다. 예측 결함 위치에 추가적으로, 알고리즘 Y(본원에 기재된 실시예 각각에서)는 결함 분류 및 가능하게는 결함 속성과 같이 예측 결함 위치에 대한 다른 정보를 결정하도록 구성될 수 있다. 일반적으로, 정보를 결정하는 것은 표본에 대한 하나 이상의 검사와 유사한 결과를 생성하는 것을 포함할 수 있다. 따라서, 본질적으로, 정보 결정 단계는, 각각이 상이한 유형의 정보에 대한 것인, 다수의 출력 채널을 가질 수 있다. 다수의 채널로부터의 출력은 그 다음, 표본에 대한 단일 검사 결과 파일(예컨대, 일부 KLA 검사 툴에 의해 생성된 KLARF 파일)로 결합될 수 있다. 이 방식으로, 표본 상의 임의의 하나의 위치에 대하여, 검사 결과 파일에 다수 유형의 정보가 있을 수 있다.
유사한 방식으로, 프로세스는 결함 검토 프로세스일 수 있다. 검사 프로세스와는 달리, 결함 검토 프로세스는 일반적으로 결함이 검출된 표본 상의 개별 위치를 재방문한다. 결함 검토를 위해 구성된 이미징 서브시스템은 본원에 기재된 바와 같은 표본 이미지를 생성할 수 있으며, 이는 본원에 기재된 바와 같은 DL 모델에 입력될 수 있다. DL 모델은, 검사에 의해 식별된 결함 위치에 결함이 실제로 존재하는지 여부를 결정하고 결함 형상, 치수, 거칠기, 배경 패턴 정보 등과 같은 결함의 하나 이상의 속성을 결정하기 위해 그리고/또는 결함 분류(예컨대, 브릿징 유형 결함, 누락 피처 결함 등)를 결정하기 위해, 표본 이미지와 함께 사용될 수 있는 표본 참조를 생성하도록 트레이닝되고 구성될 수 있다. 결함 검토 애플리케이션에 대하여, 알고리즘 Y는 임의의 적합한 결함 검토 방법 또는 임의의 적합한 결함 검토 툴에 사용되는 알고리즘일 수 있다. 알고리즘 Y와 다양한 입력 및 출력이 검사와 비교하여 결함 검토 유즈 케이스에 대해 상이할 수 있지만, 동일한 DL 모델이 결함 검토 및 검사 둘 다에 사용될 수 있다(애플리케이션에 적합한 트레이닝 후). 그렇지 않으면, DL 모델은 위에 기재된 바와 같이 트레이닝되고 구성될 수도 있다.
위에 기재된 바와 같이, 일부 실시예에서, 이미징 서브시스템은 표본의 계측을 위해 구성될 수 있다. 하나의 이러한 실시예에서, 정보를 결정하는 것은 입력 이미지에서 표본 구조의 하나 이상의 특성을 결정하는 것을 포함한다. 예를 들어, 본원에 기재된 DL 모델은 표본에 대한 계측 정보를 결정하기 위해 표본 이미지와 함께 사용될 수 있는 표본 참조를 생성하도록 구성될 수 있다. 계측 정보는 관심 있는 임의의 계측 정보를 포함할 수 있으며, 이는 표본 상의 구조에 따라 달라질 수 있다. 이러한 계측 정보의 예로는 표본 구조의 선폭 및 기타 치수와 같은 임계 치수(CD; critical dimension)를 포함하지만, 이에 한정되는 것은 아니다. 표본 이미지는, 본원에 기재된 것과 같은 구성 또는 당업계에 공지된 임의의 다른 적합한 구성을 가질 수 있는, 임의의 계측 툴에 의해 생성된 임의의 이미지를 포함할 수 있다. 이 방식으로, 본원에 기재된 실시예는, 계측 툴에 의해 생성된 표본 이미지를, 입력 이미지에 포함된 임의의 하나 이상의 표본 구조 및 표본에 대한 계측 정보를 예측하기 위해 본원에 기재된 바와 같이 생성된 표본 참조와 함께, 유리하게 사용할 수 있다. 계측 애플리케이션에 대하여, 알고리즘 Y는 임의의 적합한 계측 툴 상에서 사용되는 임의의 적합한 계측 방법 또는 알고리즘일 수 있다. 알고리즘 Y와 다양한 입력 및 출력이 검사와 비교하여 계측 유즈 케이스에 대해 상이할 수 있지만, 동일한 DL 모델이 계측 및 검사 둘 다에 사용될 수 있다(애플리케이션에 적합한 트레이닝 후). 그렇지 않으면, DL 모델은 위에 기재된 바와 같이 트레이닝되고 구성될 수도 있다.
컴퓨터 서브시스템은 또한, 결정된 정보를 포함하는 결과를 생성하도록 구성될 수 있으며, 이는 본원에 기재된 임의의 결과 또는 정보를 포함할 수 있다. 정보 결정의 결과는 임의의 적합한 방식으로 컴퓨터 서브시스템에 의해 생성될 수 있다. 본원에 기재된 모든 실시예는 컴퓨터 판독가능 저장 매체에 실시예의 하나 이상의 단계의 결과를 저장하도록 구성될 수 있다. 결과는 본원에 기재된 임의의 결과를 포함할 수 있고 당업계에 공지된 임의의 방식으로 저장될 수 있다. 결정된 정보를 포함하는 결과는 표준 파일 유형과 같은 임의의 적합한 형태 또는 포맷을 가질 수 있다. 저장 매체는 본원에 기재된 임의의 저장 매체 또는 당업계에 공지된 임의의 기타 적합한 저장 매체를 포함할 수 있다.
결과가 저장된 후에, 결과는 저장 매체에서 액세스되고 본원에 기재된 임의의 방법 또는 시스템 실시예에 의해 사용되며, 사용자에의 디스플레이를 위해 포맷되고, 또다른 소프트웨어 모듈, 방법 또는 시스템 등에 사용되어 표본 또는 동일 유형의 또다른 표본에 대하여 하나 이상의 기능을 수행할 수 있다. 예를 들어, 컴퓨터 서브시스템에 의해 생성된 결과는, 검출된 결함의 경계 박스의 위치 등과 같은 표본 상에서 검출된 임의의 결함에 대한 정보, 검출 점수, 결함 분류에 관한 정보, 예컨대 클래스 라벨 또는 ID, 임의의 이미지로부터 결정된 임의의 결함 속성 등, 예측된 표본 구조 측정, 치수, 형상 등, 또는 당업계에 공지된 임의의 그러한 적합한 정보를 포함할 수 있다. 이 정보는 결함 검토 또는 기타 분석을 위해 결함을 샘플링하고 결함의 근본 원인을 결정하는 것 등과 같이 표본 및/또는 검출된 결함에 대한 추가 기능을 수행하기 위해 컴퓨터 서브시스템 또는 또다른 시스템 또는 방법에 의해 사용될 수 있다.
이러한 기능은 또한, 피드백 또는 피드포워드 방식 등으로 표본에 대해 수행되었거나 수행될 제조 프로세스 또는 단계와 같은 프로세스를 변경하는 것을 포함하지만, 이에 한정되는 것은 아니다. 예를 들어, 컴퓨터 서브시스템은 결정된 정보에 기초하여 표본에 대해 수행된 프로세스 및/또는 표본에 대해 수행될 프로세스에 대한 하나 이상의 변경을 결정하도록 구성될 수 있다. 프로세스에 대한 변경은 프로세스의 하나 이상의 파라미터에 대한 임의의 적합한 변경을 포함할 수 있다. 하나의 이러한 예에서, 컴퓨터 서브시스템은 바람직하게, 수정된 프로세스가 수행되는 다른 표본 상의 결함이 감소되거나 방지될 수 있도록, 표본에 대해 수행되는 또다른 프로세스에서 표본 상의 결함이 정정되거나 제거될 수 있도록, 표본에 대해 수행되는 또다른 프로세스에서 결함이 보상될 수 있도록 등등으로, 이들 변경을 결정한다. 컴퓨터 서브시스템은 당업계에 공지된 임의의 적합한 방식으로 이러한 변경을 결정할 수 있다.
그 다음, 이들 변경은 반도체 제조 시스템(도시되지 않음) 또는 컴퓨터 서브시스템과 반도체 제조 시스템 둘 다가 액세스가능한 저장 매체(도시되지 않음)로 보내질 수 있다. 반도체 제조 시스템은 본원에 기재된 시스템 실시예의 일부일 수 있거나 그렇지 않을 수 있다. 예를 들어, 본원에 기재된 이미징 서브시스템 및/또는 컴퓨터 서브시스템은, 예컨대 하우징, 전원 공급장치, 표본 핸들링 디바이스 또는 메커니즘 등과 같은 하나 이상의 공통 요소를 통해, 반도체 제조 시스템에 연결될 수 있다. 반도체 제조 시스템은 리소그래피 툴, 에칭 툴, 화학 기계적 연마(CMP) 툴, 퇴적 툴 등과 같이 당업계에 공지된 임의의 반도체 제조 시스템을 포함할 수 있다.
본원에 기재된 실시예는 이미 기재된 것에 추가적으로 다수의 이점을 갖는다. 예를 들어, 실시예가 현재 사용되는 방법(예컨대, 주변 또는 결합 확률에 대한 빈도 측정을 사용하는 비지도형 결함 검출 알고리즘)에 비해 갖는 이점은 다중 모드 및 다중 관점 데이터를 직접 통합할 수 있는 능력을 갖는 것을 포함하며, 이는 더 높은 감도를 가능하게 한다. 다른 예에서, 실시예는 케어 영역을 생성하지 않고서 설계 데이터를 직접 통합할 수 있으며, 이는 더 높은 감도 및 보다 나은 결과까지의 시간을 가능하게 한다. 추가 실시예에서, 본원에 기재된 실시예는 학습된 비-결함 구조적 노이즈를 학습하고 제거할 수 있으며, 이는 더 높은 감도를 가능하게 한다.
실시예가 현재 사용되는 지도형 ML 또는 DL 모델에 비해 갖는 이점은 라벨링된 데이터 포인트의 필요한 수를 10배 내지 100배만큼 감소시키는 것을 포함하며, 이는 더 낮은 소유 비용 및 보다 나은 결과까지의 시간을 제공한다. 특히, 본원에 기재된 실시예는 다른 ML 및 DL 기반 검출기보다 라벨링된 데이터에 대해 상당히 더 낮은 요건을 갖기 때문에, 실시예는 셋업하기가 더 쉽고 더 저렴하며 더 빠를 것이다.
실시예가 일반적인 표본 검사, 계측, 결함 검토 등에 비해 갖는 추가 이점은, 모든 기존의 솔루션에 비교하여 더 높은 신호 대 잡음 및 감도를 포함한다. 또한, 본원에 기재된 실시예는 특히, 대량 제조(HVM; high volume manufacturing) 유즈 케이스 뿐만 아니라 많은 선행 에지 프로세스 제어 프로세스가 제한되는 연구 및 개발에도 적용가능하다. 예를 들어, 본원에 기재된 실시예는 HVM 유즈 케이스에 적용될 수 있는 유일한 ML/DL 검출 방법일 수 있다. 또한, 본원에 기재된 실시예는 다른 프로세스 제어 방법 및 시스템보다 프로세스 변동에 관련하여 잠재적으로 더 안정적인 감도를 가질 수 있다.
본원에 기재된 실시예는 또한, 표본 참조를 필요로 하는 임의의 프로세스 제어 방법에 광범위하게 적용가능하다. 예를 들어, 실시예는 현재 및 미래의 프로세스 노드에 대한 다중 모드 결함 검출 복잡성을 해결하기 위해 차세대 BBP 툴에 사용될 수 있다. 마찬가지로, 실시예는 이들 툴에 대해 더 나은 성능을 제공하기 위해 광 산란 검사 툴에서 사용될 수 있다. 본원에 기재된 실시예는 본원에 기재된 이들 및 기타 툴의 감도 상한을 현재 달성가능한 것보다 더 높게 추진하도록 사용될 수 있다.
위에 기재된 실시예 각각은 다같이 하나의 단일 실시예로 결합될 수 있다. 다르게 말하자면, 본원에서 달리 언급되지 않는 한, 실시예 중 어느 것도 다른 실시예와 상호 배타적이지 않다.
또다른 실시예는 표본에 대한 정보를 결정하기 위한 컴퓨터 구현 방법에 관한 것이다. 방법은 라벨링된 데이터 없이 트레이닝된 DL 모델에 하나 이상의 입력을 입력함으로써 표본에 대한 참조를 생성하는 것을 포함한다. 하나 이상의 입력은 적어도 표본 이미지 또는 표본 이미지로부터 생성된 데이터를 포함한다. 방법은 또한, 참조 및 적어도 표본 이미지나 표본 이미지로부터 생성된 데이터로부터 표본에 대한 정보를 결정하는 것을 포함한다. 입력 및 결정 단계는, 본원에 기재된 실시예 중 임의의 실시예에 따라 구성될 수 있는 컴퓨터 서브시스템에 의해 수행된다.
방법의 단계들 각각은 본원에 더 기재된 바와 같이 수행될 수 있다. 방법은 또한, 본원에 기재된 이미징 서브시스템 및/또는 컴퓨터 서브시스템에 의해 수행될 수 있는 임의의 기타 단계(들)를 포함할 수 있다. 또한, 방법은 여기에 기재된 임의의 시스템 실시예에 의해 수행될 수 있다.
추가 실시예는 표본에 대한 정보를 결정하기 위한 컴퓨터 구현 방법을 수행하기 위해 컴퓨터 시스템 상에서 실행가능한 프로그램 명령어를 저장한 비일시적 컴퓨터 판독가능 매체에 관한 것이다. 하나의 이러한 실시예가 도 4에 도시되어 있다. 구체적으로, 도 4에 도시된 바와 같이, 비일시적 컴퓨터 판독가능 매체(400)는 컴퓨터 시스템(404) 상에서 실행가능한 프로그램 명령어(402)를 포함한다. 컴퓨터 구현 방법은 여기에 기재된 임의의 방법(들)의 임의의 단계(들)를 포함할 수 있다.
본원에 기재된 바와 같은 방법을 구현하는 프로그램 명령어(402)는 컴퓨터 판독가능 매체(400) 상에 저장될 수 있다. 컴퓨터 판독가능 매체는 자기 또는 광학 디스크, 자기 테이프, 또는 당업계에 공지된 임의의 다른 적합한 비일시적 컴퓨터 판독가능 매체와 같은 저장 매체일 수 있다.
프로그램 명령어는 무엇보다도 프로시저 기반의 기술, 컴포넌트 기반의 기술 및/또는 객체 지향 기술을 포함하는 임의의 다양한 방식으로 구현될 수 있다. 예를 들어, 프로그램 명령어는 원하는 바에 따라 ActiveX controls, C++ objects, JavaBeans, MFC(Microsoft Foundation Classes), SSE(Streaming SIMD Extension), Python, Tensorflow, 또는 기타 기술 또는 방법을 사용하여 구현될 수 있다.
컴퓨터 시스템(404)은 본원에 기재된 임의의 실시예에 따라 구성될 수 있다.
본 명세서를 고려하여 본 발명의 다양한 양상의 부가의 수정 및 대안의 실시예가 당해 기술 분야에서의 숙련자에게 명백할 것이다. 예를 들어, 표본에 대한 정보를 결정하기 위한 방법 및 시스템이 제공된다. 따라서, 본 명세서는 단지 설명을 위한 것으로서 해석되어야 하고, 본 발명을 수행하는 일반적인 방식을 당해 기술 분야에서의 숙련자에게 교시할 목적인 것이다. 본원에 도시되고 기재된 발명의 형태는 본 바람직한 실시예로서 취해져야 할 것임을 이해하여야 한다. 본 발명의 설명의 이점을 얻은 후에 당해 기술 분야에서의 숙련자에게 전부 명백하듯이, 요소 및 재료는 본원에 예시되고 기재된 바에 대하여 교체될 수 있고, 부분 및 프로세스는 뒤바뀔 수 있으며, 본 발명의 특정 속성은 독립적으로 이용될 수 있다. 다음 청구항에 기재된 바와 같은 본 발명의 사상 및 범위에서 벗어나지 않고서 본원에 기재된 요소의 변경이 행해질 수 있다.

Claims (20)

  1. 표본(specimen)에 대한 정보를 결정하도록 구성된 시스템에 있어서,
    컴퓨터 서브시스템; 및
    상기 컴퓨터 서브시스템에 의해 실행되는 하나 이상의 컴포넌트
    를 포함하고,
    상기 하나 이상의 컴포넌트는 라벨링된 데이터(labeled data) 없이 트레이닝된 딥 러닝(deep learning) 모델을 포함하며, 상기 딥 러닝 모델은 적어도 표본 이미지나 상기 표본 이미지로부터 생성된 데이터를 포함한 하나 이상의 입력으로부터 표본에 대한 참조(reference)를 생성하도록 구성되고,
    상기 컴퓨터 서브시스템은 상기 참조 및 적어도 상기 표본 이미지나 상기 표본 이미지로부터 생성된 데이터로부터 상기 표본에 대한 정보를 결정하도록 구성되는 것인, 표본에 대한 정보를 결정하도록 구성된 시스템.
  2. 청구항 1에 있어서,
    상기 딥 러닝 모델은 또한 비지도형(unsupervised) 방식으로 트레이닝되는 것인, 시스템.
  3. 청구항 1에 있어서,
    상기 딥 러닝 모델은 또한 자기 지도형(self-supervised) 방식으로 트레이닝되는 것인, 시스템.
  4. 청구항 1에 있어서,
    상기 하나 이상의 입력이 상기 표본 이미지를 포함할 때, 상기 참조는 학습된 참조 이미지를 포함하는 것인, 시스템.
  5. 청구항 1에 있어서,
    상기 하나 이상의 입력이 상기 표본 이미지로부터 생성된 데이터를 포함하고 상기 표본 이미지로부터 생성된 데이터가 구조적 노이즈를 포함할 때, 상기 참조는 학습된 구조적 노이즈를 포함하는 것인, 시스템.
  6. 청구항 1에 있어서,
    상기 하나 이상의 입력은 상기 표본에 대한 설계 정보 및 적어도 상기 표본 이미지나 상기 표본 이미지로부터 생성된 데이터를 더 포함하는 것인, 시스템.
  7. 청구항 1에 있어서,
    상기 하나 이상의 입력은 상기 표본에 대한 설계 정보 및 적어도 상기 표본 이미지나 상기 표본 이미지로부터 생성된 데이터를 더 포함하고, 상기 하나 이상의 입력, 상기 설계 정보, 및 상기 표본 이미지로부터 생성된 데이터는 상기 표본에 대한 케어 영역 정보를 포함하지 않는 것인, 시스템.
  8. 청구항 1에 있어서,
    상기 하나 이상의 입력은 상기 표본에 대한 케어 영역 정보 및 적어도 상기 표본 이미지나 상기 표본 이미지로부터 생성된 데이터를 더 포함하는 것인, 시스템.
  9. 청구항 1에 있어서,
    상기 표본 이미지는 이미징 서브시스템의 제1 모드로 생성되고, 상기 딥 러닝 모델은 또한, 적어도 상기 이미징 서브시스템의 제2 모드로 생성된 추가 표본 이미지나 상기 추가 표본 이미지로부터 생성된 데이터를 포함한 하나 이상의 추가 입력으로부터 상기 표본에 대한 추가 참조를 생성하도록 구성되고, 상기 컴퓨터 서브시스템은 또한, 상기 추가 참조 및 적어도 상기 추가 표본 이미지나 상기 추가 표본 이미지로부터 생성된 데이터로부터 상기 표본에 대한 추가 정보를 결정하도록 구성되는 것인, 시스템.
  10. 청구항 9에 있어서,
    상기 표본 이미지 및 상기 추가 표본 이미지나 상기 표본 이미지로부터 생성된 데이터 및 상기 추가 표본 이미지로부터 생성된 데이터는 상이한 시간에 상기 딥 러닝 모델에 개별적으로 입력되는 것인, 시스템.
  11. 청구항 9에 있어서,
    상기 표본 이미지 및 상기 추가 표본 이미지나 상기 표본 이미지로부터 생성된 데이터 및 상기 추가 표본 이미지로부터 생성된 데이터는 상기 딥 러닝 모델에 공동으로 입력되는 것인, 시스템.
  12. 청구항 1에 있어서,
    상기 컴퓨터 서브시스템은 임의의 다른 표본에 대한 참조로부터 정보를 결정하도록 구성되지 않는 것인, 시스템.
  13. 청구항 1에 있어서,
    상기 컴퓨터 서브시스템은 또한, 상기 참조 및 오로지 상기 표본 이미지나 상기 표본 이미지로부터 생성된 데이터만으로부터 상기 표본에 대한 정보를 결정하도록 구성되는 것인, 시스템.
  14. 청구항 1에 있어서,
    상기 컴퓨터 서브시스템은 또한, 상기 참조 및 적어도 상기 표본 이미지나 상기 표본 이미지로부터 생성된 데이터를 지도형 딥 러닝 모델에 입력함으로써 상기 표본에 대한 정보를 결정하도록 구성되는 것인, 시스템.
  15. 청구항 1에 있어서,
    상기 컴퓨터 서브시스템은 또한, 상기 참조 및 적어도 상기 표본 이미지나 상기 표본 이미지로부터 생성된 데이터를 비지도형 딥 러닝 모델에 입력함으로써 상기 표본에 대한 정보를 결정하도록 구성되는 것인, 시스템.
  16. 청구항 1에 있어서,
    상기 컴퓨터 서브시스템은 또한, 상기 참조 및 적어도 상기 표본 이미지나 상기 표본 이미지로부터 생성된 데이터를 비지도형 알고리즘에 입력함으로써 상기 표본에 대한 정보를 결정하도록 구성되는 것인, 시스템.
  17. 청구항 1에 있어서,
    상기 표본에 대하여 결정되는 정보는 상기 표본 상의 예측 결함 위치를 포함하는 것인, 시스템.
  18. 청구항 1에 있어서,
    상기 표본 이미지는 광 기반 이미징 서브시스템에 의해 생성되는 것인, 시스템.
  19. 표본에 대한 정보를 결정하기 위한 컴퓨터 구현(computer-implemented) 방법을 수행하기 위해 컴퓨터 시스템 상에서 실행가능한 프로그램 명령어들을 저장한 비일시적 컴퓨터 판독가능 매체에 있어서, 상기 컴퓨터 구현 방법은:
    라벨링된 데이터 없이 트레이닝된 딥 러닝 모델에 하나 이상의 입력을 입력함으로써 표본에 대한 참조를 생성하는 단계 - 상기 하나 이상의 입력은 적어도 표본 이미지나 상기 표본 이미지로부터 생성된 데이터를 포함함 - ; 및
    상기 참조 및 적어도 상기 표본 이미지나 상기 표본 이미지로부터 생성된 데이터로부터 상기 표본에 대한 정보를 결정하는 단계
    를 포함하는 것인, 비일시적 컴퓨터 판독가능 매체.
  20. 표본에 대한 정보를 결정하기 위한 컴퓨터 구현 방법에 있어서,
    라벨링된 데이터 없이 트레이닝된 딥 러닝 모델에 하나 이상의 입력을 입력함으로써 표본에 대한 참조를 생성하는 단계 - 상기 하나 이상의 입력은 적어도 표본 이미지나 상기 표본 이미지로부터 생성된 데이터를 포함함 - ; 및
    상기 참조 및 적어도 상기 표본 이미지나 상기 표본 이미지로부터 생성된 데이터로부터 상기 표본에 대한 정보를 결정하는 단계
    를 포함하고,
    상기 입력하는 것과 상기 결정하는 단계는 컴퓨터 서브시스템에 의해 수행되는 것인, 컴퓨터 구현 방법.
KR1020237044184A 2021-10-04 2022-10-03 반도체 기반 애플리케이션을 위한 비지도형 또는 자기 지도형 딥 러닝 KR20240082266A (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US202163251705P 2021-10-04 2021-10-04
US63/251,705 2021-10-04
US17/671,519 US20240013365A9 (en) 2021-10-04 2022-02-14 Unsupervised or self-supervised deep learning for semiconductor-based applications
US17/671,519 2022-02-14
PCT/US2022/045481 WO2023059524A1 (en) 2021-10-04 2022-10-03 Unsupervised or self-supervised deep learning for semiconductor-based applications

Publications (1)

Publication Number Publication Date
KR20240082266A true KR20240082266A (ko) 2024-06-10

Family

ID=85804628

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020237044184A KR20240082266A (ko) 2021-10-04 2022-10-03 반도체 기반 애플리케이션을 위한 비지도형 또는 자기 지도형 딥 러닝

Country Status (5)

Country Link
US (1) US20240013365A9 (ko)
KR (1) KR20240082266A (ko)
IL (1) IL309132A (ko)
TW (1) TW202334641A (ko)
WO (1) WO2023059524A1 (ko)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN117494644B (zh) * 2023-11-07 2024-05-17 华南理工大学 一种自监督学习的dtco标准单元库版图布局方法

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10360477B2 (en) * 2016-01-11 2019-07-23 Kla-Tencor Corp. Accelerating semiconductor-related computations using learning based models
WO2017216123A1 (en) * 2016-06-13 2017-12-21 Nanolive Sa Method of characterizing and imaging microscopic objects
US10169852B1 (en) * 2018-07-03 2019-01-01 Nanotronics Imaging, Inc. Systems, devices, and methods for providing feedback on and improving the accuracy of super-resolution imaging
EP3736562A1 (en) * 2019-05-06 2020-11-11 FEI Company Method of examining a sample using a charged particle microscope
US11449711B2 (en) * 2020-01-02 2022-09-20 Applied Materials Isreal Ltd. Machine learning-based defect detection of a specimen

Also Published As

Publication number Publication date
US20230260100A1 (en) 2023-08-17
TW202334641A (zh) 2023-09-01
IL309132A (en) 2024-02-01
US20240013365A9 (en) 2024-01-11
WO2023059524A1 (en) 2023-04-13

Similar Documents

Publication Publication Date Title
KR102637409B1 (ko) 반도체 적용들을 위한 저해상도 이미지들로부터 고해상도 이미지들의 생성
KR102321953B1 (ko) 다양한 모댈리티들로 획득된 이미지들의 정렬을 위한 학습 기반 접근 방식
US10186026B2 (en) Single image detection
EP3465174B1 (en) Generating simulated images from input images for semiconductor applications
TWI710763B (zh) 經組態以偵測一樣品上之缺陷之系統及用於偵測一樣品上之缺陷之電腦實施方法
US9965901B2 (en) Generating simulated images from design information
US11551348B2 (en) Learnable defect detection for semiconductor applications
US11644756B2 (en) 3D structure inspection or metrology using deep learning
US11776108B2 (en) Deep learning based defect detection
US20210343001A1 (en) Training a machine learning model to generate higher resolution images from inspection images
WO2023121778A1 (en) Machine learning using a global texture characteristic for semiconductor-based applications
KR20240082266A (ko) 반도체 기반 애플리케이션을 위한 비지도형 또는 자기 지도형 딥 러닝
US10151706B1 (en) Inspection for specimens with extensive die to die process variation
CN117561539A (zh) 用于基于半导体应用的无监督或自我监督的深度学习
US20220318986A1 (en) Semantic image segmentation for semiconductor-based applications
US20230136110A1 (en) Knowledge distillation for semiconductor-based applications
KR20240015060A (ko) 반도체 기반 애플리케이션을 위한 딥 러닝 이미지 디노이징