KR20240082018A - Method for manufacturing the same - Google Patents

Method for manufacturing the same Download PDF

Info

Publication number
KR20240082018A
KR20240082018A KR1020220165885A KR20220165885A KR20240082018A KR 20240082018 A KR20240082018 A KR 20240082018A KR 1020220165885 A KR1020220165885 A KR 1020220165885A KR 20220165885 A KR20220165885 A KR 20220165885A KR 20240082018 A KR20240082018 A KR 20240082018A
Authority
KR
South Korea
Prior art keywords
pattern
width
forming
sacrificial
gate
Prior art date
Application number
KR1020220165885A
Other languages
Korean (ko)
Inventor
정연도
김철
김기철
박귀림
유해준
이채영
최경인
Original Assignee
삼성전자주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자주식회사 filed Critical 삼성전자주식회사
Priority to KR1020220165885A priority Critical patent/KR20240082018A/en
Publication of KR20240082018A publication Critical patent/KR20240082018A/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66636Lateral single gate silicon transistors with source or drain recessed by etching or first recessed by etching and then refilled

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)

Abstract

본 발명은 반도체 소자의 제조 방법에 관한 것으로, 더욱 상세하게는, 기판 상에 적층 패턴을 형성하는 것, 상기 적층 패턴은 서로 교번적으로 적층된 활성층들 및 희생층들을 포함하고; 상기 적층 패턴 상에 제1 방향으로 연장되는 희생 패턴을 형성하는 것; 상기 희생 패턴의 양 측벽들을 질화시켜 질화 패턴을 형성하는 것; 상기 희생 패턴을 마스크로 상기 적층 패턴을 식각하여 상기 적층 패턴 내에 리세스를 형성하는 것, 상기 활성층들은 상기 리세스에 의해 노출되는 복수개의 반도체 패턴들을 포함하고; 상기 리세스를 채우는 소스/드레인 패턴을 형성하는 것; 상기 희생 패턴, 상기 질화 패턴 및 상기 희생층들을 제거하여, 상기 복수개의 반도체 패턴들을 노출하는 것; 노출된 상기 복수개의 반도체 패턴들 상에 게이트 절연막을 형성하는 것; 및 상기 게이트 절연막 상에 게이트 전극을 형성하는 것을 포함하되, 상기 질화 패턴의 상부는 제2 방향으로 제1 폭을 갖고, 상기 질화 패턴의 하부는 상기 제2 방향으로 제2 폭을 갖고, 상기 제2 폭은 상기 제1 폭보다 크다.The present invention relates to a method of manufacturing a semiconductor device, and more specifically, to forming a stacked pattern on a substrate, wherein the stacked pattern includes active layers and sacrificial layers alternately stacked with each other; forming a sacrificial pattern extending in a first direction on the stacked pattern; forming a nitrided pattern by nitriding both side walls of the sacrificial pattern; forming a recess in the stacked pattern by etching the stacked pattern using the sacrificial pattern as a mask, wherein the active layers include a plurality of semiconductor patterns exposed by the recess; forming a source/drain pattern filling the recess; removing the sacrificial pattern, the nitride pattern, and the sacrificial layers to expose the plurality of semiconductor patterns; forming a gate insulating film on the exposed plurality of semiconductor patterns; and forming a gate electrode on the gate insulating layer, wherein an upper portion of the nitride pattern has a first width in a second direction, a lower portion of the nitride pattern has a second width in the second direction, and The second width is greater than the first width.

Description

반도체 소자의 제조 방법{Method for manufacturing the same}Method for manufacturing semiconductor devices {Method for manufacturing the same}

본 발명은 반도체 소자의 제조 방법에 관한 것으로, 더욱 상세하게는 전계 효과 트랜지스터를 포함하는 반도체 소자 및 그의 제조 방법에 관한 것이다.The present invention relates to a method of manufacturing a semiconductor device, and more particularly, to a semiconductor device including a field effect transistor and a method of manufacturing the same.

소형화, 다기능화 및/또는 낮은 제조 단가 등의 특성들로 인하여 반도체 소자는 전자 산업에서 중요한 요소로 각광 받고 있다. 반도체 소자들은 논리 데이터를 저장하는 반도체 기억 소자, 논리 데이터를 연산 처리하는 반도체 논리 소자, 및 기억 요소와 논리 요소를 포함하는 하이브리드(hybrid) 반도체 소자 등으로 구분될 수 있다. 전자 산업이 고도로 발전함에 따라, 반도체 소자의 특성들에 대한 요구가 점점 증가되고 있다. 예컨대, 반도체 소자에 대한 고신뢰성, 고속화 및/또는 다기능화 등에 대하여 요구가 점점 증가되고 있다. 이러한 요구 특성들을 충족시키기 위하여 반도체 소자 내 구조들은 점점 복잡해지고 있으며, 또한, 반도체 소자는 점점 고집적화 되고 있다.Semiconductor devices are attracting attention as important elements in the electronics industry due to characteristics such as miniaturization, multi-functionality, and/or low manufacturing cost. Semiconductor devices can be divided into semiconductor memory devices that store logical data, semiconductor logic devices that operate and process logical data, and hybrid semiconductor devices that include memory elements and logic elements. As the electronics industry develops highly, demands for the characteristics of semiconductor devices are increasing. For example, demands for high reliability, high speed, and/or multifunctionality for semiconductor devices are increasing. In order to meet these required characteristics, structures within semiconductor devices are becoming increasingly complex, and semiconductor devices are also becoming increasingly highly integrated.

본 발명이 해결하고자 하는 과제는, 신뢰성이 향상된 반도체 소자 및 그의 제조 방법을 제공하는데 있다.The problem to be solved by the present invention is to provide a semiconductor device with improved reliability and a method of manufacturing the same.

본 발명의 개념에 따른 반도체 소자의 제조 방법은, 기판 상에 적층 패턴을 형성하는 것, 상기 적층 패턴은 서로 교번적으로 적층된 활성층들 및 희생층들을 포함하고; 상기 적층 패턴 상에 제1 방향으로 연장되는 희생 패턴을 형성하는 것; 상기 희생 패턴의 양 측벽들을 질화시켜 질화 패턴을 형성하는 것; 상기 희생 패턴을 마스크로 상기 적층 패턴을 식각하여 상기 적층 패턴 내에 리세스를 형성하는 것, 상기 활성층들은 상기 리세스에 의해 노출되는 복수개의 반도체 패턴들을 포함하고; 상기 리세스를 채우는 소스/드레인 패턴을 형성하는 것; 상기 희생 패턴, 상기 질화 패턴 및 상기 희생층들을 제거하여, 상기 복수개의 반도체 패턴들을 노출하는 것; 노출된 상기 복수개의 반도체 패턴들 상에 게이트 절연막을 형성하는 것; 및 상기 게이트 절연막 상에 게이트 전극을 형성하는 것을 포함하되, 상기 질화 패턴의 상부는 제2 방향으로 제1 폭을 갖고, 상기 질화 패턴의 하부는 상기 제2 방향으로 제2 폭을 갖고, 상기 제2 폭은 상기 제1 폭보다 클 수 있다.A method of manufacturing a semiconductor device according to the concept of the present invention includes forming a stacking pattern on a substrate, the stacking pattern including active layers and sacrificial layers alternately stacked with each other; forming a sacrificial pattern extending in a first direction on the stacked pattern; forming a nitrided pattern by nitriding both side walls of the sacrificial pattern; forming a recess in the stacked pattern by etching the stacked pattern using the sacrificial pattern as a mask, wherein the active layers include a plurality of semiconductor patterns exposed by the recess; forming a source/drain pattern filling the recess; removing the sacrificial pattern, the nitride pattern, and the sacrificial layers to expose the plurality of semiconductor patterns; forming a gate insulating film on the exposed plurality of semiconductor patterns; and forming a gate electrode on the gate insulating layer, wherein an upper portion of the nitride pattern has a first width in a second direction, a lower portion of the nitride pattern has a second width in the second direction, and The second width may be larger than the first width.

본 발명에 따른 반도체 소자의 제조 방법은, 희생 패턴의 양 측벽들을 질화시켜 질화 패턴을 형성하되, 상기 질화 패턴의 하부의 폭이 상부의 폭보다 넓을 수 있다. 이로써, 여러 번의 식각 공정에 의해 형성되는 게이트 전극 및 게이트 커팅 패턴들의 하부의 폭과 상부의 폭을 일정하게 유지할 수 있다. 게이트 전극 및 게이트 커팅 패턴들의 폭을 일정하게 형성할 수 있으며, 게이트 전극의 빈 공간 형성을 방지할 수 있다. 결과적으로, 본 발명은 반도체 소자의 신뢰성을 향상시킬 수 있다.In the method of manufacturing a semiconductor device according to the present invention, a nitrided pattern is formed by nitriding both side walls of the sacrificial pattern, and the width of the lower part of the nitrided pattern may be wider than the width of the upper part. As a result, the lower and upper widths of the gate electrode and gate cutting patterns formed through multiple etching processes can be kept constant. The width of the gate electrode and gate cutting patterns can be formed consistently, and the formation of empty space in the gate electrode can be prevented. As a result, the present invention can improve the reliability of semiconductor devices.

도 1 내지 도 3는 본 발명의 실시예들에 따른 반도체 소자의 로직 셀들을 설명하기 위한 개념도들이다.
도 4는 본 발명의 실시예에 따른 반도체 소자를 설명하기 위한 평면도이다.
도 5a 내지 도 5e는 각각 도 4의 A-A'선, B-B'선, C-C'선, D-D'선 및 E-E'선에 따른 단면도들이다.
도 6 내지 도 21c는 본 발명의 실시예들에 따른 반도체 소자의 제조 방법을 설명하기 위한 도면들이다.
도 6, 도 8, 도 10, 도 14, 도 16 및 도 20은 본 발명의 실시예들에 따른 반도체 소자의 제조 방법을 설명하기 위한 평면도들이다.
도 7a, 도 9a, 도 11a, 도 15a, 도 17a 및 도 21a는 각각 도 6, 도 8, 도 10, 도 14, 도 16 및 도 20의 A-A'선에 따른 단면도들이다.
도 7b, 도 9b, 도 15b, 도 17b 및 도 21b는 각각 도 6, 도 8, 도 14, 도 16 및 도 20의 B-B'선에 따른 단면도들이다.
도 11b는 본 발명의 다른 실시예들에 따른 반도체 소자의 제조 방법을 설명하기 위한 도면이다.
도 12는 도 11a의 M 영역의 일 실시예를 나타낸 확대도이다.
도 13a 및 도 13b는 각각 도 12a 및 도 12b에 나타난 공정 이후 공정을 나타낸 도면들이다.
도 15c, 도 17c 및 도 21c는 각각 도 14, 도 16 및 도 20의 C-C'선에 따른 단면도들이다.
도 15d는 도 14의 D-D'선에 따른 단면도이다.
도 18a 및 도 18b 는 각각 도 17a 및 도 17b에 나타난 공정 이후 공정을 나타낸 도면들이다.
도 19a 및 도 19b는 도 18a의 N 영역의 일 실시예를 나타낸 확대도들이다.
1 to 3 are conceptual diagrams for explaining logic cells of a semiconductor device according to embodiments of the present invention.
Figure 4 is a plan view for explaining a semiconductor device according to an embodiment of the present invention.
FIGS. 5A to 5E are cross-sectional views taken along lines A-A', B-B', C-C', D-D', and E-E' of FIG. 4, respectively.
6 to 21C are diagrams for explaining a method of manufacturing a semiconductor device according to embodiments of the present invention.
FIGS. 6, 8, 10, 14, 16, and 20 are plan views for explaining a method of manufacturing a semiconductor device according to embodiments of the present invention.
FIGS. 7A, 9A, 11A, 15A, 17A, and 21A are cross-sectional views taken along line A-A' of FIGS. 6, 8, 10, 14, 16, and 20, respectively.
FIGS. 7B, 9B, 15B, 17B, and 21B are cross-sectional views taken along line B-B' of FIGS. 6, 8, 14, 16, and 20, respectively.
FIG. 11B is a diagram for explaining a method of manufacturing a semiconductor device according to other embodiments of the present invention.
FIG. 12 is an enlarged view showing an example of area M of FIG. 11A.
FIGS. 13A and 13B are diagrams showing processes after the processes shown in FIGS. 12A and 12B, respectively.
FIGS. 15C, 17C, and 21C are cross-sectional views taken along line C-C' of FIGS. 14, 16, and 20, respectively.
FIG. 15D is a cross-sectional view taken along line D-D' in FIG. 14.
FIGS. 18A and 18B are diagrams showing processes after the processes shown in FIGS. 17A and 17B, respectively.
FIGS. 19A and 19B are enlarged views showing an example of region N of FIG. 18A.

도 1 내지 도 3는 본 발명의 실시예들에 따른 반도체 소자의 로직 셀들을 설명하기 위한 개념도들이다. 1 to 3 are conceptual diagrams for explaining logic cells of a semiconductor device according to embodiments of the present invention.

도 1을 참조하면, 싱글 하이트 셀(Single Height Cell, SHC)이 제공될 수 있다. 구체적으로, 기판(100) 상에 제1 파워 배선(M1_R1) 및 제2 파워 배선(M1_R2)이 제공될 수 있다. 제1 파워 배선(M1_R1)은 드레인 전압(VDD), 일 예로 파워 전압이 제공되는 통로일 수 있다. 제2 파워 배선(M1_R2)은 소스 전압(VSS), 일 예로 접지 전압이 제공되는 통로일 수 있다. Referring to FIG. 1, a single height cell (SHC) may be provided. Specifically, a first power wire (M1_R1) and a second power wire (M1_R2) may be provided on the substrate 100. The first power wiring (M1_R1) may be a path through which the drain voltage (VDD), for example, a power voltage, is provided. The second power wire (M1_R2) may be a path through which the source voltage (VSS), for example, a ground voltage, is provided.

제1 파워 배선(M1_R1) 및 제2 파워 배선(M1_R2) 사이에 싱글 하이트 셀(SHC)이 정의될 수 있다. 싱글 하이트 셀(SHC)은 하나의 PMOSFET 영역(PR) 및 하나의 NMOSFET 영역(NR)을 포함할 수 있다. 다시 말하면, 싱글 하이트 셀(SHC)은 제1 파워 배선(M1_R1) 및 제2 파워 배선(M1_R2) 사이에 제공된 CMOS 구조를 가질 수 있다. A single height cell (SHC) may be defined between the first power wire (M1_R1) and the second power wire (M1_R2). A single height cell (SHC) may include one PMOSFET region (PR) and one NMOSFET region (NR). In other words, the single height cell (SHC) may have a CMOS structure provided between the first power wire (M1_R1) and the second power wire (M1_R2).

PMOSFET 영역(PR) 및 NMOSFET 영역(NR) 각각은 제1 방향(D1)으로 제1 폭(W1)을 가질 수 있다. 싱글 하이트 셀(SHC)의 제1 방향(D1)으로의 길이는 제1 높이(HE1)로 정의될 수 있다. 제1 높이(HE1)는, 제1 파워 배선(M1_R1)과 제2 파워 배선(M1_R2) 사이의 거리(예를 들어, 피치)와 실질적으로 동일할 수 있다. Each of the PMOSFET region PR and the NMOSFET region NR may have a first width W1 in the first direction D1. The length of the single height cell (SHC) in the first direction (D1) may be defined as the first height (HE1). The first height HE1 may be substantially equal to the distance (eg, pitch) between the first power wire M1_R1 and the second power wire M1_R2.

싱글 하이트 셀(SHC)은 하나의 로직 셀을 구성할 수 있다. 본 명세서에서 로직 셀은 특정 기능을 수행하는 논리 소자(예를 들어, AND, OR, XOR, XNOR, inverter 등)를 의미할 수 있다. 즉, 로직 셀은 논리 소자를 구성하기 위한 트랜지스터들 및 상기 트랜지스터들을 서로 연결하는 배선들을 포함할 수 있다.A single height cell (SHC) can constitute one logic cell. In this specification, a logic cell may refer to a logic element (eg, AND, OR, XOR, XNOR, inverter, etc.) that performs a specific function. That is, a logic cell may include transistors for configuring a logic element and wires connecting the transistors to each other.

도 2를 참조하면, 더블 하이트 셀(Double Height Cell, DHC)이 제공될 수 있다. 구체적으로, 기판(100) 상에 제1 파워 배선(M1_R1), 제2 파워 배선(M1_R2) 및 제3 파워 배선(M1_R3)이 제공될 수 있다. 제1 파워 배선(M1_R1)은, 제2 파워 배선(M1_R2)과 제3 파워 배선(M1_R3) 사이에 배치될 수 있다. 제3 파워 배선(M1_R3)은 드레인 전압(VDD)이 제공되는 통로일 수 있다.Referring to FIG. 2, a double height cell (DHC) may be provided. Specifically, a first power wire (M1_R1), a second power wire (M1_R2), and a third power wire (M1_R3) may be provided on the substrate 100. The first power wire (M1_R1) may be disposed between the second power wire (M1_R2) and the third power wire (M1_R3). The third power wiring (M1_R3) may be a path through which the drain voltage (VDD) is provided.

제2 파워 배선(M1_R2)과 제3 파워 배선(M1_R3) 사이에 더블 하이트 셀(DHC)이 정의될 수 있다. 더블 하이트 셀(DHC)은 제1 PMOSFET 영역(PR1), 제2 PMOSFET 영역(PR2), 제1 NMOSFET 영역(NR1) 및 제2 NMOSFET 영역(NR2)을 포함할 수 있다.A double height cell (DHC) may be defined between the second power wire (M1_R2) and the third power wire (M1_R3). The double height cell DHC may include a first PMOSFET region PR1, a second PMOSFET region PR2, a first NMOSFET region NR1, and a second NMOSFET region NR2.

제1 NMOSFET 영역(NR1)은 제2 파워 배선(M1_R2)에 인접할 수 있다. 제2 NMOSFET 영역(NR2)은 제3 파워 배선(M1_R3)에 인접할 수 있다. 제1 및 제2 PMOSFET 영역들(PR1, PR2)은 제1 파워 배선(M1_R1)에 인접할 수 있다. 평면적 관점에서, 제1 파워 배선(M1_R1)은 제1 및 제2 PMOSFET 영역들(PR1, PR2) 사이에 배치될 수 있다.The first NMOSFET region NR1 may be adjacent to the second power wire M1_R2. The second NMOSFET region NR2 may be adjacent to the third power wire M1_R3. The first and second PMOSFET regions PR1 and PR2 may be adjacent to the first power wire M1_R1. From a plan view, the first power line M1_R1 may be disposed between the first and second PMOSFET regions PR1 and PR2.

더블 하이트 셀(DHC)의 제1 방향(D1)으로의 길이는 제2 높이(HE2)로 정의될 수 있다. 제2 높이(HE2)는 도 1의 제1 높이(HE1)의 약 두 배일 수 있다. 더블 하이트 셀(DHC)의 제1 및 제2 PMOSFET 영역들(PR1, PR2)은 묶여서 하나의 PMOSFET 영역으로 동작할 수 있다. The length of the double height cell (DHC) in the first direction (D1) may be defined as the second height (HE2). The second height HE2 may be approximately twice the first height HE1 of FIG. 1 . The first and second PMOSFET regions PR1 and PR2 of the double height cell (DHC) may be bundled to operate as one PMOSFET region.

따라서, 더블 하이트 셀(DHC)의 PMOS 트랜지스터의 채널의 크기는, 앞서 도 1의 싱글 하이트 셀(SHC)의 PMOS 트랜지스터의 채널의 크기보다 클 수 있다. 예를 들어, 더블 하이트 셀(DHC)의 PMOS 트랜지스터의 채널의 크기는 싱글 하이트 셀(SHC)의 PMOS 트랜지스터의 채널의 크기의 약 두 배일 수 있다. 결과적으로, 더블 하이트 셀(DHC)은 싱글 하이트 셀(SHC)에 비해 더 고속으로 동작할 수 있다. 본 발명에 있어서, 도 2에 나타난 더블 하이트 셀(DHC)은 멀티 하이트 셀로 정의될 수 있다. 도시되진 않았지만, 멀티 하이트 셀은, 셀 높이가 싱글 하이트 셀(SHC)의 약 세 배인 트리플 하이트 셀을 포함할 수 있다.Accordingly, the channel size of the PMOS transistor of the double height cell (DHC) may be larger than the channel size of the PMOS transistor of the single height cell (SHC) of FIG. 1. For example, the channel size of the PMOS transistor of a double height cell (DHC) may be approximately twice that of the PMOS transistor of a single height cell (SHC). As a result, double height cells (DHC) can operate at higher speeds compared to single height cells (SHC). In the present invention, the double height cell (DHC) shown in FIG. 2 may be defined as a multi-height cell. Although not shown, a multi-height cell may include a triple-height cell whose cell height is approximately three times that of a single-height cell (SHC).

도 3을 참조하면, 기판(100) 상에 제1 싱글 하이트 셀(SHC1), 제2 싱글 하이트 셀(SHC2) 및 더블 하이트 셀(DHC)이 이차원 적으로 배치될 수 있다. 제1 싱글 하이트 셀(SHC1)은 제1 및 제2 파워 배선들(M1_R1, M1_R2) 사이에 배치될 수 있다. 제2 싱글 하이트 셀(SHC2)은 제1 및 제3 파워 배선들(M1_R1, M1_R3) 사이에 배치될 수 있다. 제2 싱글 하이트 셀(SHC2)은 제1 싱글 하이트 셀(SHC1)과 제1 방향(D1)으로 인접할 수 있다.Referring to FIG. 3, a first single height cell (SHC1), a second single height cell (SHC2), and a double height cell (DHC) may be two-dimensionally arranged on the substrate 100. The first single height cell SHC1 may be disposed between the first and second power wires M1_R1 and M1_R2. The second single height cell SHC2 may be disposed between the first and third power wires M1_R1 and M1_R3. The second single height cell SHC2 may be adjacent to the first single height cell SHC1 in the first direction D1.

더블 하이트 셀(DHC)은 제2 및 제3 파워 배선들(M1_R2, M1_R3) 사이에 배치될 수 있다. 더블 하이트 셀(DHC)은 제1 및 제2 싱글 하이트 셀들(SHC1, SHC2)과 제2 방향(D2)으로 인접할 수 있다. The double height cell (DHC) may be disposed between the second and third power wires (M1_R2 and M1_R3). The double height cell (DHC) may be adjacent to the first and second single height cells (SHC1 and SHC2) in the second direction (D2).

제1 싱글 하이트 셀(SHC1)과 더블 하이트 셀(DHC) 사이, 및 제2 싱글 하이트 셀(SHC2)과 더블 하이트 셀(DHC) 사이에 분리 구조체(DB)가 제공될 수 있다. 분리 구조체(DB)에 의해, 더블 하이트 셀(DHC)의 활성 영역은, 제1 및 제2 싱글 하이트 셀들(SHC1, SHC2) 각각의 활성 영역으로부터 전기적으로 분리될 수 있다.A separation structure DB may be provided between the first single height cell SHC1 and the double height cell DHC, and between the second single height cell SHC2 and the double height cell DHC. By the separation structure DB, the active area of the double height cell DHC may be electrically separated from the active areas of each of the first and second single height cells SHC1 and SHC2.

도 4는 본 발명의 실시예들에 따른 반도체 소자를 설명하기 위한 평면도이다. 도 5a 내지 도 5e는 각각 도 4의 A-A'선, B-B'선, C-C'선, D-D'선 및 E-E'선에 따른 단면도들이다.Figure 4 is a plan view for explaining a semiconductor device according to embodiments of the present invention. FIGS. 5A to 5E are cross-sectional views taken along lines A-A', B-B', C-C', D-D', and E-E' of FIG. 4, respectively.

도 4 및 도 5a 내지 도 5e에 도시된 반도체 소자는, 도 3의 제1 및 제2 싱글 하이트 셀들(SHC1, SHC2)을 보다 구체적으로 나타낸 일 예이다.The semiconductor device shown in FIGS. 4 and 5A to 5E is an example that represents the first and second single height cells SHC1 and SHC2 of FIG. 3 in more detail.

도 4 및 도 5a 내지 도 5e를 참조하면, 기판(100) 상에 제1 및 제2 싱글 하이트 셀들(SHC1, SHC2)이 제공될 수 있다. 각각의 제1 및 제2 싱글 하이트 셀들(SHC1, SHC2) 상에는 로직 회로를 구성하는 로직 트랜지스터들이 배치될 수 있다. 기판(100)은 실리콘, 게르마늄, 실리콘-게르마늄 등을 포함하는 반도체 기판이거나 화합물 반도체 기판일 수 있다. 일 예로, 기판(100)은 실리콘 기판일 수 있다.Referring to FIGS. 4 and 5A to 5E , first and second single height cells SHC1 and SHC2 may be provided on the substrate 100 . Logic transistors constituting a logic circuit may be disposed on each of the first and second single height cells SHC1 and SHC2. The substrate 100 may be a semiconductor substrate containing silicon, germanium, silicon-germanium, etc., or a compound semiconductor substrate. As an example, the substrate 100 may be a silicon substrate.

기판(100)은 제1 PMOSFET 영역(PR1), 제2 PMOSFET 영역(PR2), 제1 NMOSFET 영역(NR1) 및 제2 NMOSFET 영역(NR2)을 가질 수 있다. 제1 PMOSFET 영역(PR1), 제2 PMOSFET 영역(PR2), 제1 NMOSFET 영역(NR1) 및 제2 NMOSFET 영역(NR2) 각각은 활성 영역일 수 있다. 제1 PMOSFET 영역(PR1), 제2 PMOSFET 영역(PR2), 제1 NMOSFET 영역(NR1) 및 제2 NMOSFET 영역(NR2) 각각은, 제2 방향(D2)으로 연장될 수 있다. The substrate 100 may have a first PMOSFET region PR1, a second PMOSFET region PR2, a first NMOSFET region NR1, and a second NMOSFET region NR2. Each of the first PMOSFET region PR1, the second PMOSFET region PR2, the first NMOSFET region NR1, and the second NMOSFET region NR2 may be an active region. Each of the first PMOSFET region PR1, the second PMOSFET region PR2, the first NMOSFET region NR1, and the second NMOSFET region NR2 may extend in the second direction D2.

기판(100)의 상부에 형성된 트렌치(TR)에 의해 제1 활성 패턴(AP1) 및 제2 활성 패턴(AP2)이 정의될 수 있다. 제1 활성 패턴(AP1)은 각각의 제1 및 제2 PMOSFET 영역들(PR1, PR2) 상에 제공될 수 있다. 제2 활성 패턴(AP2)은 각각의 제1 및 제2 NMOSFET 영역들(NR1, NR2) 상에 제공될 수 있다. 제1 및 제2 활성 패턴들(AP1, AP2)은 제2 방향(D2)으로 연장될 수 있다. 제1 및 제2 활성 패턴들(AP1, AP2)은 기판(100)의 일부로써, 수직하게 돌출된 부분들일 수 있다.The first active pattern AP1 and the second active pattern AP2 may be defined by the trench TR formed on the upper part of the substrate 100 . The first active pattern AP1 may be provided on each of the first and second PMOSFET regions PR1 and PR2. The second active pattern AP2 may be provided on each of the first and second NMOSFET regions NR1 and NR2. The first and second active patterns AP1 and AP2 may extend in the second direction D2. The first and second active patterns AP1 and AP2 are part of the substrate 100 and may be vertically protruding parts.

소자 분리막(ST)이 트렌치(TR)를 채울 수 있다. 소자 분리막(ST)은 실리콘 산화막을 포함할 수 있다. 소자 분리막(ST)은 후술할 제1 및 제2 채널 패턴들(CH1, CH2)을 덮지 않을 수 있다.The device isolation layer (ST) may fill the trench (TR). The device isolation layer (ST) may include a silicon oxide layer. The device isolation layer ST may not cover the first and second channel patterns CH1 and CH2, which will be described later.

제1 활성 패턴(AP1) 상에 제1 채널 패턴(CH1)이 제공될 수 있다. 제2 활성 패턴(AP2) 상에 제2 채널 패턴(CH2)이 제공될 수 있다. 제1 채널 패턴(CH1) 및 제2 채널 패턴(CH2) 각각은, 순차적으로 적층된 제1 반도체 패턴(SP1), 제2 반도체 패턴(SP2) 및 제3 반도체 패턴(SP3)을 포함할 수 있다. 제1 내지 제3 반도체 패턴들(SP1, SP2, SP3)은 수직적 방향(즉, 제3 방향(D3))으로 서로 이격될 수 있다. A first channel pattern (CH1) may be provided on the first active pattern (AP1). A second channel pattern (CH2) may be provided on the second active pattern (AP2). Each of the first channel pattern (CH1) and the second channel pattern (CH2) may include a first semiconductor pattern (SP1), a second semiconductor pattern (SP2), and a third semiconductor pattern (SP3) sequentially stacked. . The first to third semiconductor patterns SP1, SP2, and SP3 may be spaced apart from each other in the vertical direction (ie, the third direction D3).

제1 내지 제3 반도체 패턴들(SP1, SP2, SP3) 각각은 실리콘(Si), 저마늄(Ge) 또는 실리콘-저마늄(SiGe)을 포함할 수 있다. 바람직하기로, 제1 내지 제3 반도체 패턴들(SP1, SP2, SP3) 각각은 결정질 실리콘(crystalline silicon)을 포함할 수 있다.Each of the first to third semiconductor patterns SP1, SP2, and SP3 may include silicon (Si), germanium (Ge), or silicon-germanium (SiGe). Preferably, each of the first to third semiconductor patterns SP1, SP2, and SP3 may include crystalline silicon.

제1 활성 패턴(AP1) 상에 복수개의 제1 소스/드레인 패턴들(SD1)이 제공될 수 있다. 제1 활성 패턴(AP1)의 상부에 복수개의 제1 리세스들(RS1)이 형성될 수 있다. 제1 소스/드레인 패턴들(SD1)이 제1 리세스들(RS1) 내에 각각 제공될 수 있다. 제1 소스/드레인 패턴들(SD1)은 제1 도전형(예를 들어, p형)의 불순물 영역들일 수 있다. 한 쌍의 제1 소스/드레인 패턴들(SD1) 사이에 제1 채널 패턴(CH1)이 개재될 수 있다. 다시 말하면, 적층된 제1 내지 제3 반도체 패턴들(SP1, SP2, SP3)이 한 쌍의 제1 소스/드레인 패턴들(SD1)을 서로 연결할 수 있다.A plurality of first source/drain patterns SD1 may be provided on the first active pattern AP1. A plurality of first recesses RS1 may be formed on the first active pattern AP1. First source/drain patterns SD1 may be provided in each of the first recesses RS1. The first source/drain patterns SD1 may be impurity regions of a first conductivity type (eg, p-type). A first channel pattern (CH1) may be interposed between a pair of first source/drain patterns (SD1). In other words, the stacked first to third semiconductor patterns SP1, SP2, and SP3 may connect a pair of first source/drain patterns SD1 to each other.

제2 활성 패턴(AP2) 상에 복수개의 제2 소스/드레인 패턴들(SD2)이 제공될 수 있다. 제2 활성 패턴(AP2)의 상부에 복수개의 제2 리세스들(RS2)이 형성될 수 있다. 제2 소스/드레인 패턴들(SD2)이 제2 리세스들(RS2) 내에 각각 제공될 수 있다. 제2 소스/드레인 패턴들(SD2)은 제2 도전형(예를 들어, n형)의 불순물 영역들일 수 있다. 한 쌍의 제2 소스/드레인 패턴들(SD2) 사이에 제2 채널 패턴(CH2)이 개재될 수 있다. 다시 말하면, 적층된 제1 내지 제3 반도체 패턴들(SP1, SP2, SP3)이 한 쌍의 제2 소스/드레인 패턴들(SD2)을 서로 연결할 수 있다.A plurality of second source/drain patterns SD2 may be provided on the second active pattern AP2. A plurality of second recesses RS2 may be formed on the second active pattern AP2. Second source/drain patterns SD2 may be provided in each of the second recesses RS2. The second source/drain patterns SD2 may be impurity regions of a second conductivity type (eg, n-type). A second channel pattern (CH2) may be interposed between a pair of second source/drain patterns (SD2). In other words, the stacked first to third semiconductor patterns SP1, SP2, and SP3 may connect a pair of second source/drain patterns SD2 to each other.

제1 및 제2 소스/드레인 패턴들(SD1, SD2)은 선택적 에피택시얼 성장(SEG) 공정으로 형성된 에피택시얼 패턴들일 수 있다. 일 예로, 제1 및 제2 소스/드레인 패턴들(SD1, SD2) 각각의 상면은, 제3 반도체 패턴(SP3)의 상면과 실질적으로 동일한 레벨에 위치할 수 있다. 다른 예로, 제1 및 제2 소스/드레인 패턴들(SD1, SD2) 각각의 상면은, 제3 반도체 패턴(SP3)의 상면보다 높을 수 있다.The first and second source/drain patterns SD1 and SD2 may be epitaxial patterns formed through a selective epitaxial growth (SEG) process. For example, the top surface of each of the first and second source/drain patterns SD1 and SD2 may be located at substantially the same level as the top surface of the third semiconductor pattern SP3. As another example, the top surface of each of the first and second source/drain patterns SD1 and SD2 may be higher than the top surface of the third semiconductor pattern SP3.

제1 소스/드레인 패턴들(SD1)은 기판(100)의 반도체 원소의 격자 상수보다 큰 격자 상수를 갖는 반도체 원소(예를 들어, SiGe)를 포함할 수 있다. 이로써, 한 쌍의 제1 소스/드레인 패턴들(SD1)은, 그들 사이의 제1 채널 패턴(CH1)에 압축 응력(compressive stress)을 제공할 수 있다. 제2 소스/드레인 패턴들(SD2)은 기판(100)과 동일한 반도체 원소(예를 들어, Si)를 포함할 수 있다.The first source/drain patterns SD1 may include a semiconductor element (eg, SiGe) having a lattice constant greater than the lattice constant of the semiconductor element of the substrate 100 . Accordingly, the pair of first source/drain patterns SD1 may provide compressive stress to the first channel pattern CH1 between them. The second source/drain patterns SD2 may include the same semiconductor element (eg, Si) as that of the substrate 100 .

제1 및 제2 채널 패턴들(CH1, CH2)을 가로지르며 제1 방향(D1)으로 연장되는 게이트 전극들(GE)이 제공될 수 있다. 게이트 전극들(GE)은 제1 피치에 따라 제2 방향(D2)으로 배열될 수 있다. 각각의 게이트 전극들(GE)은 제1 및 제2 채널 패턴들(CH1, CH2)과 수직적으로 중첩될 수 있다. 게이트 전극들(GE)은 제2 방향(D2)으로 순차적으로 배열된 제1 내지 제4 게이트 전극들(GE1-GE4)을 포함할 수 있다.Gate electrodes GE may be provided crossing the first and second channel patterns CH1 and CH2 and extending in the first direction D1. The gate electrodes GE may be arranged in the second direction D2 according to the first pitch. Each of the gate electrodes GE may vertically overlap the first and second channel patterns CH1 and CH2. The gate electrodes GE may include first to fourth gate electrodes GE1 to GE4 sequentially arranged in the second direction D2.

게이트 전극(GE)은, 기판(100)과 제1 반도체 패턴(SP1) 사이에 개재된 제1 부분(PO1), 제1 반도체 패턴(SP1)과 제2 반도체 패턴(SP2) 사이에 개재된 제2 부분(PO2), 제2 반도체 패턴(SP2)과 제3 반도체 패턴(SP3) 사이에 개재된 제3 부분(PO3), 및 제3 반도체 패턴(SP3) 위의 제4 부분(PO4)을 포함할 수 있다.The gate electrode GE includes a first portion PO1 interposed between the substrate 100 and the first semiconductor pattern SP1, and a first portion PO1 interposed between the first semiconductor pattern SP1 and the second semiconductor pattern SP2. Includes two parts (PO2), a third part (PO3) sandwiched between the second semiconductor pattern (SP2) and the third semiconductor pattern (SP3), and a fourth part (PO4) on the third semiconductor pattern (SP3) can do.

도 5e를 다시 참조하면, 게이트 전극(GE)은 제1 내지 제3 반도체 패턴들(SP1, SP2, SP3) 각각의 상면(TS), 바닥면(BS) 및 양 측면들(SW1, SW2) 상에 제공될 수 있다. 다시 말하면, 본 실시예에 따른 로직 셀(LC)의 트랜지스터는, 게이트 전극(GE)이 채널을 3차원적으로 둘러싸는 3차원 전계 효과 트랜지스터(예를 들어, MBCFET 또는 GAAFET)일 수 있다.Referring again to FIG. 5E, the gate electrode GE is formed on the top surface TS, bottom surface BS, and both side surfaces SW1, SW2 of each of the first to third semiconductor patterns SP1, SP2, and SP3. can be provided. In other words, the transistor of the logic cell LC according to this embodiment may be a three-dimensional field effect transistor (eg, MBCFET or GAAFET) in which the gate electrode GE three-dimensionally surrounds the channel.

도 4 및 도 5a 내지 도 5e를 다시 참조하면, 제1 싱글 하이트 셀(SHC1)은 제2 방향(D2)으로 서로 대향하는 제1 경계(BD1) 및 제2 경계(BD2)를 가질 수 있다. 제1 및 제2 경계들(BD1, BD2)은 제1 방향(D1)으로 연장될 수 있다. 제1 싱글 하이트 셀(SHC1)은 제1 방향(D1)으로 서로 대향하는 제3 경계(BD3) 및 제4 경계(BD4)를 가질 수 있다. 제3 및 제4 경계들(BD3, BD4)은 제2 방향(D2)으로 연장될 수 있다.Referring again to FIGS. 4 and 5A to 5E , the first single height cell SHC1 may have a first border BD1 and a second border BD2 facing each other in the second direction D2. The first and second boundaries BD1 and BD2 may extend in the first direction D1. The first single height cell SHC1 may have a third border BD3 and a fourth border BD4 facing each other in the first direction D1. The third and fourth boundaries BD3 and BD4 may extend in the second direction D2.

게이트 커팅 패턴들(CT)이 제1 및 제2 싱글 하이트 셀들(SHC1, SHC2) 각각의 제2 방향(D2)에 평행한 경계 상에 배치될 수 있다. 예를 들어, 게이트 커팅 패턴들(CT)이 제1 싱글 하이트 셀(SHC1)의 제3 및 제4 경계들(BD3, BD4) 상에 배치될 수 있다. 게이트 커팅 패턴들(CT)은 제3 경계(BD3)를 따라 상기 제1 피치로 배열될 수 있다. 게이트 커팅 패턴들(CT)은 제4 경계(BD4)를 따라 상기 제1 피치로 배열될 수 있다. 평면적 관점에서, 제3 및 제4 경계들(BD3, BD4) 상의 게이트 커팅 패턴들(CT)은 게이트 전극들(GE) 상에 각각 중첩되게 배치될 수 있다. Gate cutting patterns CT may be disposed on boundaries parallel to the second direction D2 of each of the first and second single height cells SHC1 and SHC2. For example, gate cutting patterns CT may be disposed on the third and fourth boundaries BD3 and BD4 of the first single height cell SHC1. The gate cutting patterns CT may be arranged at the first pitch along the third boundary BD3. The gate cutting patterns CT may be arranged at the first pitch along the fourth boundary BD4. From a plan view, the gate cutting patterns CT on the third and fourth boundaries BD3 and BD4 may be arranged to overlap each other on the gate electrodes GE.

도 5e를 참조하면, 게이트 커팅 패턴(CT)은 소자 분리막(ST)으로부터 제2 층간 절연막(120)까지 제3 방향(D3)으로 연장될 수 있다. 게이트 커팅 패턴(CT)의 상면은 게이트 전극(GE)의 상면보다 높을 수 있다. 게이트 커팅 패턴(CT)의 상면은 게이트 캐핑 패턴(GP)의 상면과 실질적으로 공면을 이룰 수 있다. 게이트 커팅 패턴(CT)은 실리콘 질화막, 실리콘 산화막 또는 이들의 조합과 같은 절연 물질을 포함할 수 있다.Referring to FIG. 5E , the gate cutting pattern CT may extend from the device isolation layer ST to the second interlayer insulating layer 120 in the third direction D3. The top surface of the gate cutting pattern (CT) may be higher than the top surface of the gate electrode (GE). The top surface of the gate cutting pattern (CT) may be substantially coplanar with the top surface of the gate capping pattern (GP). The gate cutting pattern (CT) may include an insulating material such as a silicon nitride film, a silicon oxide film, or a combination thereof.

제1 싱글 하이트 셀(SHC1) 상의 게이트 전극(GE)은, 제2 싱글 하이트 셀(SHC2) 상의 게이트 전극(GE)과 게이트 커팅 패턴(CT)에 의해 서로 분리될 수 있다. 제1 싱글 하이트 셀(SHC1) 상의 게이트 전극(GE)과 그와 제1 방향(D1)으로 정렬된 제2 싱글 하이트 셀(SHC2) 상의 게이트 전극(GE) 사이에 게이트 커팅 패턴(CT)이 개재될 수 있다. 다시 말하면, 제1 방향(D1)으로 연장되는 게이트 전극(GE)이 게이트 커팅 패턴들(CT)에 의해 복수개의 게이트 전극들(GE)로 분리될 수 있다.The gate electrode GE on the first single height cell SHC1 may be separated from the gate electrode GE on the second single height cell SHC2 by a gate cutting pattern CT. A gate cutting pattern (CT) is interposed between the gate electrode (GE) on the first single height cell (SHC1) and the gate electrode (GE) on the second single height cell (SHC2) aligned in the first direction (D1). It can be. In other words, the gate electrode GE extending in the first direction D1 may be separated into a plurality of gate electrodes GE by the gate cutting patterns CT.

도 4 및 도 5a 내지 도 5e를 다시 참조하면, 게이트 전극(GE)의 제4 부분(PO4)의 양 측벽들 상에 한 쌍의 게이트 스페이서들(GS)이 각각 배치될 수 있다. 게이트 스페이서들(GS)은 게이트 전극(GE)을 따라 제1 방향(D1)으로 연장될 수 있다. 게이트 스페이서들(GS)의 상면들은 게이트 전극(GE)의 상면보다 높을 수 있다. 게이트 스페이서들(GS)의 상면들은 후술할 제1 층간 절연막(110)의 상면과 공면을 이룰 수 있다. 게이트 스페이서들(GS)은 SiCN, SiCON 및 SiN 중 적어도 하나를 포함할 수 있다. 다른 예로, 게이트 스페이서들(GS)은 SiCN, SiCON 및 SiN 중 적어도 두 개로 이루어진 다중 막(multi-layer)을 포함할 수 있다.Referring again to FIGS. 4 and 5A to 5E , a pair of gate spacers GS may be disposed on both side walls of the fourth portion PO4 of the gate electrode GE. The gate spacers GS may extend in the first direction D1 along the gate electrode GE. The top surfaces of the gate spacers GS may be higher than the top surfaces of the gate electrode GE. The top surfaces of the gate spacers GS may be coplanar with the top surface of the first interlayer insulating film 110, which will be described later. Gate spacers GS may include at least one of SiCN, SiCON, and SiN. As another example, the gate spacers GS may include a multi-layer made of at least two of SiCN, SiCON, and SiN.

게이트 전극(GE) 상에 게이트 캐핑 패턴(GP)이 제공될 수 있다. 게이트 캐핑 패턴(GP)은 게이트 전극(GE)을 따라 제1 방향(D1)으로 연장될 수 있다. 게이트 캐핑 패턴(GP)은 후술하는 제1 및 제2 층간 절연막들(110, 120)에 대하여 식각 선택성이 있는 물질을 포함할 수 있다. 구체적으로, 게이트 캐핑 패턴들(GP)은 SiON, SiCN, SiCON 및 SiN 중 적어도 하나를 포함할 수 있다.A gate capping pattern (GP) may be provided on the gate electrode (GE). The gate capping pattern GP may extend in the first direction D1 along the gate electrode GE. The gate capping pattern GP may include a material that has etch selectivity with respect to the first and second interlayer insulating films 110 and 120, which will be described later. Specifically, the gate capping patterns GP may include at least one of SiON, SiCN, SiCON, and SiN.

게이트 전극(GE)과 제1 채널 패턴(CH1) 사이 및 게이트 전극(GE)과 제2 채널 패턴(CH2) 사이에 게이트 절연막(GI)이 개재될 수 있다. 게이트 절연막(GI)은, 제1 내지 제3 반도체 패턴들(SP1, SP2, SP3) 각각의 상면(TS), 바닥면(BS) 및 양 측면들(SW1,SW2)을 덮을 수 있다. 게이트 절연막(GI)은, 게이트 전극(GE) 아래의 소자 분리막(ST)의 상면을 덮을 수 있다 (도 5e 참조).A gate insulating layer GI may be interposed between the gate electrode GE and the first channel pattern CH1 and between the gate electrode GE and the second channel pattern CH2. The gate insulating layer GI may cover the top surface TS, bottom surface BS, and both side surfaces SW1 and SW2 of each of the first to third semiconductor patterns SP1, SP2, and SP3. The gate insulating layer GI may cover the top surface of the device isolation layer ST below the gate electrode GE (see FIG. 5E).

본 발명의 일 실시예로, 게이트 절연막(GI)은 실리콘 산화막, 실리콘 산화질화막 및/또는 고유전막을 포함할 수 있다. 상기 고유전막은, 실리콘 산화막보다 유전상수가 높은 고유전율 물질을 포함할 수 있다. 일 예로, 상기 고유전율 물질은 하프늄 산화물, 하프늄 실리콘 산화물, 하프늄 지르코늄 산화물, 하프늄 탄탈 산화물, 란탄 산화물, 지르코늄 산화물, 지르코늄 실리콘 산화물, 탄탈 산화물, 티타늄 산화물, 바륨 스트론튬 티타늄 산화물, 바륨 티타늄 산화물, 스트론튬 티타늄 산화물, 리튬 산화물, 알루미늄 산화물, 납 스칸듐 탄탈 산화물, 및 납 아연 니오브산염 중 적어도 하나를 포함할 수 있다.In one embodiment of the present invention, the gate insulating film GI may include a silicon oxide film, a silicon oxynitride film, and/or a high-k dielectric film. The high dielectric film may include a high dielectric constant material that has a higher dielectric constant than the silicon oxide film. As an example, the high dielectric constant material includes hafnium oxide, hafnium silicon oxide, hafnium zirconium oxide, hafnium tantalum oxide, lanthanum oxide, zirconium oxide, zirconium silicon oxide, tantalum oxide, titanium oxide, barium strontium titanium oxide, barium titanium oxide, and strontium titanium. oxide, lithium oxide, aluminum oxide, lead scandium tantalum oxide, and lead zinc niobate.

다른 실시예로, 본 발명의 반도체 소자는 네거티브 커패시터(Negative Capacitor)를 이용한 NC(Negative Capacitance) FET을 포함할 수 있다. 예를 들어, 게이트 절연막(GI)은 강유전체 특성을 갖는 강유전체 물질막과, 상유전체 특성을 갖는 상유전체 물질막을 포함할 수 있다. In another embodiment, the semiconductor device of the present invention may include a negative capacitance (NC) FET using a negative capacitor. For example, the gate insulating layer GI may include a ferroelectric material layer with ferroelectric properties and a paraelectric material layer with paraelectric properties.

강유전체 물질막은 음의 커패시턴스를 가질 수 있고, 상유전체 물질막은 양의 커패시턴스를 가질 수 있다. 예를 들어, 두 개 이상의 커패시터가 직렬 연결되고, 각각의 커패시터의 커패시턴스가 양의 값을 가질 경우, 전체 커패시턴스는 각각의 개별 커패시터의 커패시턴스보다 감소하게 된다. 반면, 직렬 연결된 두 개 이상의 커패시터의 커패시턴스 중 적어도 하나가 음의 값을 가질 경우, 전체 커패시턴스는 양의 값을 가지면서 각각의 개별 커패시턴스의 절대값보다 클 수 있다. The ferroelectric material film may have a negative capacitance, and the paraelectric material film may have a positive capacitance. For example, if two or more capacitors are connected in series and the capacitance of each capacitor has a positive value, the total capacitance will be less than the capacitance of each individual capacitor. On the other hand, when at least one of the capacitances of two or more capacitors connected in series has a negative value, the total capacitance may have a positive value and be greater than the absolute value of each individual capacitance.

음의 커패시턴스를 갖는 강유전체 물질막과, 양의 커패시턴스를 갖는 상유전체 물질막이 직렬로 연결될 경우, 직렬로 연결된 강유전체 물질막 및 상유전체 물질막의 전체적인 커패시턴스 값은 증가할 수 있다. 전체적인 커패시턴스 값이 증가하는 것을 이용하여, 강유전체 물질막을 포함하는 트랜지스터는 상온에서 60 mV/decade 미만의 문턱전압이하 스윙(subthreshold swing(SS))을 가질 수 있다. When a ferroelectric material film with a negative capacitance and a paraelectric material film with a positive capacitance are connected in series, the overall capacitance value of the ferroelectric material film and the paraelectric material film connected in series may increase. By taking advantage of the increase in overall capacitance value, a transistor including a ferroelectric material film can have a subthreshold swing (SS) of less than 60 mV/decade at room temperature.

강유전체 물질막은 강유전체 특성을 가질 수 있다. 강유전체 물질막은 예를 들어, 하프늄 산화물(hafnium oxide), 하프늄 지르코늄 산화물(hafnium zirconium oxide), 바륨 스트론튬 티타늄 산화물(barium strontium titanium oxide), 바륨 티타늄 산화물(barium titanium oxide) 및 납 지르코늄 티타늄 산화물(lead zirconium titanium oxide) 중 적어도 하나를 포함할 수 있다. 여기에서, 일 예로, 하프늄 지르코늄 산화물(hafnium zirconium oxide)은 하프늄 산화물(hafnium oxide)에 지르코늄(Zr)이 도핑된 물질일 수 있다. 다른 예로, 하프늄 지르코늄 산화물(hafnium zirconium oxide)은 하프늄(Hf)과 지르코늄(Zr)과 산소(O)의 화합물일 수도 있다.A ferroelectric material film may have ferroelectric properties. Ferroelectric material films include, for example, hafnium oxide, hafnium zirconium oxide, barium strontium titanium oxide, barium titanium oxide, and lead zirconium oxide. titanium oxide). Here, as an example, hafnium zirconium oxide may be a material in which zirconium (Zr) is doped into hafnium oxide. As another example, hafnium zirconium oxide may be a compound of hafnium (Hf), zirconium (Zr), and oxygen (O).

강유전체 물질막은 도핑된 도펀트를 더 포함할 수 있다. 예를 들어, 도펀트는 알루미늄(Al), 티타늄(Ti), 니오븀(Nb), 란타넘(La), 이트륨(Y), 마그네슘(Mg), 실리콘(Si), 칼슘(Ca), 세륨(Ce), 디스프로슘(Dy), 어븀(Er), 가돌리늄(Gd), 저마늄(Ge), 스칸듐(Sc), 스트론튬(Sr) 및 주석(Sn) 중 적어도 하나를 포함할 수 있다. 강유전체 물질막이 어떤 강유전체 물질을 포함하냐에 따라, 강유전체 물질막에 포함된 도펀트의 종류는 달라질 수 있다. The ferroelectric material film may further include a doped dopant. For example, dopants include aluminum (Al), titanium (Ti), niobium (Nb), lanthanum (La), yttrium (Y), magnesium (Mg), silicon (Si), calcium (Ca), and cerium (Ce). ), dysprosium (Dy), erbium (Er), gadolinium (Gd), germanium (Ge), scandium (Sc), strontium (Sr), and tin (Sn). Depending on what kind of ferroelectric material the ferroelectric material film contains, the type of dopant included in the ferroelectric material film may vary.

강유전체 물질막이 하프늄 산화물을 포함할 경우, 강유전체 물질막에 포함된 도펀트는 예를 들어, 가돌리늄(Gd), 실리콘(Si), 지르코늄(Zr), 알루미늄(Al) 및 이트륨(Y) 중 적어도 하나를 포함할 수 있다. When the ferroelectric material film includes hafnium oxide, the dopant included in the ferroelectric material film is, for example, at least one of gadolinium (Gd), silicon (Si), zirconium (Zr), aluminum (Al), and yttrium (Y). It can be included.

도펀트가 알루미늄(Al)일 경우, 강유전체 물질막은 3 내지 8 at%(atomic %)의 알루미늄을 포함할 수 있다. 여기에서, 도펀트의 비율은 하프늄 및 알루미늄의 합에 대한 알루미늄의 비율일 수 있다. When the dopant is aluminum (Al), the ferroelectric material film may contain 3 to 8 at% (atomic %) of aluminum. Here, the ratio of the dopant may be the ratio of aluminum to the sum of hafnium and aluminum.

도펀트가 실리콘(Si)일 경우, 강유전체 물질막은 2 내지 10 at%의 실리콘을 포함할 수 있다. 도펀트가 이트륨(Y)일 경우, 강유전체 물질막은 2 내지 10 at%의 이트륨을 포함할 수 있다. 도펀트가 가돌리늄(Gd)일 경우, 강유전체 물질막은 1 내지 7 at%의 가돌리늄을 포함할 수 있다. 도펀트가 지르코늄(Zr)일 경우, 강유전체 물질막은 50 내지 80 at%의 지르코늄을 포함할 수 있다. When the dopant is silicon (Si), the ferroelectric material film may contain 2 to 10 at% of silicon. When the dopant is yttrium (Y), the ferroelectric material film may contain 2 to 10 at% of yttrium. When the dopant is gadolinium (Gd), the ferroelectric material film may contain 1 to 7 at% of gadolinium. When the dopant is zirconium (Zr), the ferroelectric material film may contain 50 to 80 at% of zirconium.

상유전체 물질막은 상유전체 특성을 가질 수 있다. 상유전체 물질막은 예를 들어, 실리콘 산화물(silicon oxide) 및 고유전율을 갖는 금속 산화물 중 적어도 하나를 포함할 수 있다. 상유전체 물질막에 포함된 금속 산화물은 예를 들어, 하프늄 산화물(hafnium oxide), 지르코늄 산화물(zirconium oxide) 및 알루미늄 산화물(aluminum oxide) 중 적어도 하나를 포함할 수 있지만, 이에 제한되는 것은 아니다. A paradielectric material film may have paradielectric properties. For example, the paradielectric material film may include at least one of silicon oxide and a metal oxide having a high dielectric constant. The metal oxide included in the paradielectric material film may include, but is not limited to, at least one of, for example, hafnium oxide, zirconium oxide, and aluminum oxide.

강유전체 물질막 및 상유전체 물질막은 동일한 물질을 포함할 수 있다. 강유전체 물질막은 강유전체 특성을 갖지만, 상유전체 물질막은 강유전체 특성을 갖지 않을 수 있다. 예를 들어, 강유전체 물질막 및 상유전체 물질막이 하프늄 산화물을 포함할 경우, 강유전체 물질막에 포함된 하프늄 산화물의 결정 구조는 상유전체 물질막에 포함된 하프늄 산화물의 결정 구조와 다르다. The ferroelectric material film and the paraelectric material film may include the same material. A ferroelectric material film may have ferroelectric properties, but a paraelectric material film may not have ferroelectric properties. For example, when the ferroelectric material film and the paraelectric material film include hafnium oxide, the crystal structure of the hafnium oxide included in the ferroelectric material film is different from the crystal structure of the hafnium oxide included in the paraelectric material film.

강유전체 물질막은 강유전체 특성을 갖는 두께를 가질 수 있다. 강유전체 물질막의 두께는 예를 들어, 0.5 내지 10nm 일 수 있지만, 이에 제한되는 것은 아니다. 각각의 강유전체 물질마다 강유전체 특성을 나타내는 임계 두께가 달라질 수 있으므로, 강유전체 물질막의 두께는 강유전체 물질에 따라 달라질 수 있다.The ferroelectric material film may have a thickness having ferroelectric properties. The thickness of the ferroelectric material film may be, for example, 0.5 to 10 nm, but is not limited thereto. Since the critical thickness representing ferroelectric properties may vary for each ferroelectric material, the thickness of the ferroelectric material film may vary depending on the ferroelectric material.

일 예로, 게이트 절연막(GI)은 하나의 강유전체 물질막을 포함할 수 있다. 다른 예로, 게이트 절연막(GI)은 서로 간에 이격된 복수의 강유전체 물질막을 포함할 수 있다. 게이트 절연막(GI)은 복수의 강유전체 물질막과, 복수의 상유전체 물질막이 교대로 적층된 적층막 구조를 가질 수 있다.As an example, the gate insulating layer GI may include one ferroelectric material layer. As another example, the gate insulating layer GI may include a plurality of ferroelectric material layers spaced apart from each other. The gate insulating film GI may have a stacked structure in which a plurality of ferroelectric material films and a plurality of paraelectric material films are alternately stacked.

제1 게이트 전극(GE1)은, 제1 금속 패턴, 및 상기 제1 금속 패턴 상의 제2 금속 패턴을 포함할 수 있다. 제1 금속 패턴은 게이트 절연막(GI) 상에 제공되어, 제1 내지 제3 반도체 패턴들(SP1, SP2, SP3)에 인접할 수 있다. 제1 금속 패턴은 트랜지스터의 문턱 전압을 조절하는 일함수 금속을 포함할 수 있다. 제1 금속 패턴의 두께 및 조성을 조절하여, 트랜지스터의 목적하는 문턱 전압을 달성할 수 있다. 예를 들어, 제1 게이트 전극(GE1)의 제1 내지 제3 부분들(PO1, PO2, PO3)은 일함수 금속인 제1 금속 패턴으로 구성될 수 있다. The first gate electrode GE1 may include a first metal pattern and a second metal pattern on the first metal pattern. The first metal pattern may be provided on the gate insulating layer GI and adjacent to the first to third semiconductor patterns SP1, SP2, and SP3. The first metal pattern may include a work function metal that adjusts the threshold voltage of the transistor. By adjusting the thickness and composition of the first metal pattern, the desired threshold voltage of the transistor can be achieved. For example, the first to third portions PO1, PO2, and PO3 of the first gate electrode GE1 may be composed of a first metal pattern that is a work function metal.

제1 금속 패턴은 금속 질화막을 포함할 수 있다. 예를 들어, 제1 금속 패턴은 티타늄(Ti), 탄탈(Ta), 알루미늄(Al), 텅스텐(W) 및 몰리브덴(Mo)으로 이루어진 군에서 선택된 적어도 하나의 금속 및 질소(N)를 포함할 수 있다. 나아가, 제1 금속 패턴은 탄소(C)를 더 포함할 수도 있다. 제1 금속 패턴은, 적층된 복수개의 일함수 금속막들을 포함할 수 있다.The first metal pattern may include a metal nitride film. For example, the first metal pattern may include nitrogen (N) and at least one metal selected from the group consisting of titanium (Ti), tantalum (Ta), aluminum (Al), tungsten (W), and molybdenum (Mo). You can. Furthermore, the first metal pattern may further include carbon (C). The first metal pattern may include a plurality of stacked work function metal films.

제2 금속 패턴은 제1 금속 패턴에 비해 저항이 낮은 금속을 포함할 수 있다. 예를 들어, 제2 금속 패턴은 텅스텐(W), 알루미늄(Al), 티타늄(Ti) 및 탄탈(Ta)로 이루어진 군에서 선택된 적어도 하나의 금속을 포함할 수 있다. 예를 들어, 제1 게이트 전극(GE1)의 제4 부분(PO4)은 제1 금속 패턴 및 제1 금속 패턴 상의 제2 금속 패턴을 포함할 수 있다. The second metal pattern may include a metal with lower resistance than the first metal pattern. For example, the second metal pattern may include at least one metal selected from the group consisting of tungsten (W), aluminum (Al), titanium (Ti), and tantalum (Ta). For example, the fourth portion PO4 of the first gate electrode GE1 may include a first metal pattern and a second metal pattern on the first metal pattern.

도 5b를 다시 참조하면, 제1 및 제2 NMOSFET 영역들(NR1, NR2) 상에 내측 스페이서들(IP)이 제공될 수 있다. 내측 스페이서들(IP)은, 제1 게이트 전극(GE1)의 제1 내지 제3 부분들(PO1, PO2, PO3)과 제2 소스/드레인 패턴(SD2) 사이에 각각 개재될 수 있다. 내측 스페이서들(IP)은 제2 소스/드레인 패턴(SD2)과 직접 접촉할 수 있다. 제1 게이트 전극(GE1)의 제1 내지 제3 부분들(PO1, PO2, PO3) 각각은, 내측 스페이서(IP)에 의해 제2 소스/드레인 패턴(SD2)과 이격될 수 있다.Referring again to FIG. 5B, inner spacers IP may be provided on the first and second NMOSFET regions NR1 and NR2. The inner spacers IP may be interposed between the first to third portions PO1, PO2, and PO3 of the first gate electrode GE1 and the second source/drain pattern SD2, respectively. The inner spacers IP may directly contact the second source/drain pattern SD2. Each of the first to third portions PO1, PO2, and PO3 of the first gate electrode GE1 may be spaced apart from the second source/drain pattern SD2 by the inner spacer IP.

기판(100) 상에 제1 층간 절연막(110)이 제공될 수 있다. 제1 층간 절연막(110)은 게이트 스페이서들(GS) 및 제1 및 제2 소스/드레인 패턴들(SD1, SD2)을 덮을 수 있다. 제1 층간 절연막(110)의 상면은, 게이트 캐핑 패턴(GP)의 상면 및 게이트 스페이서(GS)의 상면과 실질적으로 공면을 이룰 수 있다. 제1 층간 절연막(110) 상에, 게이트 캐핑 패턴(GP)을 덮는 제2 층간 절연막(120)이 배치될 수 있다. 제2 층간 절연막(120) 상에 제3 층간 절연막(130)이 제공될 수 있다. 제3 층간 절연막(130) 상에 제4 층간 절연막(140)이 제공될 수 있다. 일 예로, 제1 내지 제4 층간 절연막들(110-140)은 실리콘 산화막을 포함할 수 있다.A first interlayer insulating film 110 may be provided on the substrate 100. The first interlayer insulating film 110 may cover the gate spacers GS and the first and second source/drain patterns SD1 and SD2. The top surface of the first interlayer insulating film 110 may be substantially coplanar with the top surface of the gate capping pattern GP and the top surface of the gate spacer GS. A second interlayer insulating film 120 may be disposed on the first interlayer insulating film 110 to cover the gate capping pattern GP. A third interlayer insulating film 130 may be provided on the second interlayer insulating film 120. A fourth interlayer insulating film 140 may be provided on the third interlayer insulating film 130. As an example, the first to fourth interlayer insulating films 110 - 140 may include a silicon oxide film.

제1 및 제2 싱글 하이트 셀들(SHC1, SHC2) 각각의 양 측에 제2 방향(D2)으로 서로 대향하는 한 쌍의 분리 구조체들(DB)이 제공될 수 있다. 예를 들어, 한 쌍의 분리 구조체들(DB)은 제1 싱글 하이트 셀(SHC1)의 제1 및 제2 경계들(BD1, BD2) 상에 각각 제공될 수 있다. 분리 구조체(DB)는 제1 방향(D1)으로 제1 게이트 전극들(GE1)과 평행하게 연장될 수 있다. 분리 구조체(DB)와 그에 인접하는 제1 게이트 전극(GE1)간의 피치는 상기 제1 피치와 동일할 수 있다.A pair of separation structures DB facing each other in the second direction D2 may be provided on both sides of each of the first and second single height cells SHC1 and SHC2. For example, a pair of separation structures DB may be provided on the first and second boundaries BD1 and BD2 of the first single height cell SHC1, respectively. The separation structure DB may extend parallel to the first gate electrodes GE1 in the first direction D1. The pitch between the separation structure DB and the first gate electrode GE1 adjacent thereto may be the same as the first pitch.

분리 구조체(DB)는 제1 및 제2 층간 절연막들(110, 120)을 관통하여, 제1 및 제2 활성 패턴들(AP1, AP2) 내부로 연장될 수 있다. 분리 구조체(DB)는 제1 및 제2 활성 패턴들(AP1, AP2) 각각의 상부를 관통할 수 있다. 분리 구조체(DB)는, 제1 및 제2 싱글 하이트 셀들(SHC1, SHC2) 각각의 활성 영역을 인접하는 다른 셀의 활성 영역으로부터 전기적으로 분리시킬 수 있다.The separation structure DB may extend through the first and second interlayer insulating films 110 and 120 and into the first and second active patterns AP1 and AP2. The separation structure DB may penetrate the upper portion of each of the first and second active patterns AP1 and AP2. The separation structure DB may electrically separate the active area of each of the first and second single height cells SHC1 and SHC2 from the active area of another adjacent cell.

제1 및 제2 층간 절연막들(110, 120)을 관통하여 제1 및 제2 소스/드레인 패턴들(SD1, SD2)과 각각 전기적으로 연결되는 활성 콘택들(AC)이 제공될 수 있다. 한 쌍의 활성 콘택들(AC)이, 제1 게이트 전극(GE1)의 양 측에 각각 제공될 수 있다. 평면적 관점에서, 활성 콘택(AC)은 제1 방향(D1)으로 연장되는 바 형태를 가질 수 있다. Active contacts AC may be provided through the first and second interlayer insulating films 110 and 120 and electrically connected to the first and second source/drain patterns SD1 and SD2, respectively. A pair of active contacts AC may be provided on both sides of the first gate electrode GE1, respectively. From a plan view, the active contact AC may have a bar shape extending in the first direction D1.

활성 콘택(AC)은 자기 정렬된 콘택(self-aligned conatact)일 수 있다. 다시 말하면, 활성 콘택(AC)은 게이트 캐핑 패턴(GP) 및 게이트 스페이서(GS)를 이용하여 자기 정렬적으로 형성될 수 있다. 예를 들어, 활성 콘택(AC)은 게이트 스페이서(GS)의 측벽의 적어도 일부를 덮을 수 있다. 도시되진 않았지만, 활성 콘택(AC)은, 게이트 캐핑 패턴(GP)의 상면의 일부를 덮을 수 있다. The active contact (AC) may be a self-aligned contact. In other words, the active contact AC can be formed in a self-aligned manner using the gate capping pattern GP and the gate spacer GS. For example, the active contact AC may cover at least a portion of the sidewall of the gate spacer GS. Although not shown, the active contact AC may cover a portion of the top surface of the gate capping pattern GP.

활성 콘택(AC)과 제1 소스/드레인 패턴(SD1) 사이, 및 활성 콘택(AC)과 제2 소스/드레인 패턴(SD2) 사이에 실리사이드 패턴들(SC)이 각각 개재될 수 있다. 활성 콘택(AC)은, 실리사이드 패턴(SC)을 통해 소스/드레인 패턴(SD1, SD2)과 전기적으로 연결될 수 있다. 실리사이드 패턴(SC)은 금속-실리사이드(Metal-Silicide)를 포함할 수 있으며, 일 예로 티타늄-실리사이드, 탄탈륨-실리사이드, 텅스텐-실리사이드, 니켈-실리사이드, 및 코발트-실리사이드 중 적어도 하나를 포함할 수 있다.Silicide patterns SC may be interposed between the active contact AC and the first source/drain pattern SD1 and between the active contact AC and the second source/drain pattern SD2, respectively. The active contact (AC) may be electrically connected to the source/drain patterns (SD1 and SD2) through the silicide pattern (SC). The silicide pattern (SC) may include metal-silicide, for example, at least one of titanium-silicide, tantalum-silicide, tungsten-silicide, nickel-silicide, and cobalt-silicide. .

도 5d를 참조하면, 제1 싱글 하이트 셀(SHC1) 상의 적어도 하나의 활성 콘택(AC)은, 제1 PMOSFET 영역(PR1)의 제1 소스/드레인 패턴(SD1)과 제1 NMOSFET 영역(NR1)의 제2 소스/드레인 패턴(SD2)을 서로 전기적으로 연결할 수 있다. 활성 콘택(AC)은, 제1 NMOSFET 영역(NR1)의 제2 소스/드레인 패턴(SD2)으로부터 제1 PMOSFET 영역(PR1)의 제1 소스/드레인 패턴(SD1)까지 제1 방향(D1)으로 연장될 수 있다. 활성 콘택(AC)은, 제1 소스/드레인 패턴(SD1) 상의 제1 몸체부(BP1) 및 제2 소스/드레인 패턴(SD2) 상의 제2 몸체부(BP2)를 포함할 수 있다. 제1 몸체부(BP1)는 실리사이드 패턴(SC)을 통해 제1 소스/드레인 패턴(SD1)의 상면과 연결될 수 있고, 제2 몸체부(BP2)는 실리사이드 패턴(SC)을 통해 제2 소스/드레인 패턴(SD2)의 상면과 연결될 수 있다. 제1 활성 콘택(AC1)은, 제1 몸체부(BP1) 및 제2 몸체부(BP2) 사이에 개재된 돌출부(PRP)를 더 포함할 수 있다. 돌출부(PRP)는 제1 PMOSFET 영역(PR1) 및 제1 NMOSFET 영역(NR1) 사이의 소자 분리막(ST) 위에 제공될 수 있다.Referring to FIG. 5D, at least one active contact (AC) on the first single height cell (SHC1) is connected to the first source/drain pattern (SD1) of the first PMOSFET region (PR1) and the first NMOSFET region (NR1). The second source/drain patterns SD2 may be electrically connected to each other. The active contact AC extends in a first direction D1 from the second source/drain pattern SD2 of the first NMOSFET region NR1 to the first source/drain pattern SD1 of the first PMOSFET region PR1. It may be extended. The active contact AC may include a first body part BP1 on the first source/drain pattern SD1 and a second body part BP2 on the second source/drain pattern SD2. The first body BP1 may be connected to the top surface of the first source/drain pattern SD1 through the silicide pattern SC, and the second body BP2 may be connected to the second source/drain pattern SD1 through the silicide pattern SC. It may be connected to the top surface of the drain pattern (SD2). The first active contact AC1 may further include a protrusion PRP interposed between the first body BP1 and the second body BP2. The protrusion PRP may be provided on the device isolation layer ST between the first PMOSFET region PR1 and the first NMOSFET region NR1.

돌출부(PRP)는, 제1 몸체부(BP1)로부터 제1 소스/드레인 패턴(SD1)의 경사진 측벽을 타고 소자 분리막(ST)을 향해 연장될 수 있다. 돌출부(PRP)는, 제2 몸체부(BP2)로부터 제2 소스/드레인 패턴(SD2)의 경사진 측벽을 타고 소자 분리막(ST)을 향해 연장될 수 있다. 돌출부(PRP)의 바닥면은 제1 몸체부(BP1) 및 제2 몸체부(BP2) 각각의 바닥면보다 더 낮을 수 있다. 돌출부(PRP)의 바닥면은 소자 분리막(ST)보다 더 위에 위치할 수 있다. 다시 말하면, 돌출부(PRP)는 제1 층간 절연막(110)을 사이에 두고 소자 분리막(ST)으로부터 이격될 수 있다. The protrusion PRP may extend from the first body BP1 along the inclined sidewall of the first source/drain pattern SD1 toward the device isolation layer ST. The protrusion PRP may extend from the second body BP2 along the inclined sidewall of the second source/drain pattern SD2 toward the device isolation layer ST. The bottom surface of the protrusion PRP may be lower than the bottom surfaces of each of the first body BP1 and the second body BP2. The bottom surface of the protrusion (PRP) may be located higher than the device isolation layer (ST). In other words, the protrusion PRP may be spaced apart from the device isolation layer ST with the first interlayer insulating layer 110 interposed therebetween.

본 발명의 일 실시예에 따르면, 활성 콘택(AC)은, 제1 몸체부(BP1)를 통해 제1 소스/드레인 패턴(SD1)의 상면과 연결될 뿐만 아니라 돌출부(PRP)를 통해 제1 소스/드레인 패턴(SD1)의 경사진 측벽과도 연결될 수 있다. 다시 말하면, 돌출부(PRP)는 활성 콘택(AC)과 제1 소스/드레인 패턴(SD1)간의 접촉 면적을 증가시킬 수 있다. 따라서 활성 콘택(AC)과 제1 소스/드레인 패턴(SD1)간의 저항이 감소될 수 있다. 마찬가지로, 돌출부(PRP)는 활성 콘택(AC)과 제2 소스/드레인 패턴(SD2)간의 저항을 감소시킬 수 있다. 결과적으로 본 발명의 실시예들에 따른 반도체 소자의 동작 속도가 향상될 수 있다.According to an embodiment of the present invention, the active contact AC is not only connected to the top surface of the first source/drain pattern SD1 through the first body BP1, but also connected to the first source/drain pattern SD1 through the protrusion PRP. It can also be connected to the inclined sidewall of the drain pattern (SD1). In other words, the protrusion PRP may increase the contact area between the active contact AC and the first source/drain pattern SD1. Accordingly, the resistance between the active contact (AC) and the first source/drain pattern (SD1) may be reduced. Likewise, the protrusion PRP may reduce resistance between the active contact AC and the second source/drain pattern SD2. As a result, the operating speed of semiconductor devices according to embodiments of the present invention can be improved.

제2 층간 절연막(120) 및 게이트 캐핑 패턴(GP)을 관통하여 제1 게이트 전극들(GE1)과 각각 전기적으로 연결되는 게이트 콘택들(GC)이 제공될 수 있다. 평면적 관점에서, 제1 싱글 하이트 셀(SHC1) 상의 게이트 콘택들(GC)은 제1 PMOSFET 영역(PR1) 상에 중첩되게 배치될 수 있다. 다시 말하면, 제1 싱글 하이트 셀(SHC1) 상의 게이트 콘택들(GC)은 제1 활성 패턴(AP1) 상에 제공될 수 있다 (도 5a 참조).Gate contacts GC may be provided through the second interlayer insulating layer 120 and the gate capping pattern GP and electrically connected to the first gate electrodes GE1, respectively. From a plan view, the gate contacts GC on the first single height cell SHC1 may be arranged to overlap the first PMOSFET region PR1. In other words, the gate contacts GC on the first single height cell SHC1 may be provided on the first active pattern AP1 (see FIG. 5A).

게이트 콘택(GC)은, 제1 게이트 전극(GE1) 상에서 위치의 제한 없이 자유롭게 배치될 수 있다. 예를 들어, 제2 싱글 하이트 셀(SHC2) 상의 게이트 콘택들(GC)은, 제2 PMOSFET 영역(PR2), 제2 NMOSFET 영역(NR2) 및 트렌치(TR)를 채우는 소자 분리막(ST) 상에 각각 배치될 수 있다 (도 4 참조). The gate contact GC may be freely disposed on the first gate electrode GE1 without location restrictions. For example, the gate contacts GC on the second single height cell SHC2 are on the device isolation layer ST filling the second PMOSFET region PR2, the second NMOSFET region NR2, and the trench TR. Each can be arranged (see Figure 4).

본 발명의 일 실시예로, 도 5a 및 도 5c를 참조하면, 게이트 콘택(GC)에 인접하는 활성 콘택(AC)의 상부는 상부 절연 패턴(UIP)으로 채워질 수 있다. 상부 절연 패턴(UIP)의 바닥면은 게이트 콘택(GC)의 바닥면보다 더 낮을 수 있다. 다시 말하면, 게이트 콘택(GC)에 인접하는 활성 콘택(AC)의 상면은, 상부 절연 패턴(UIP)에 의해 게이트 콘택(GC)의 바닥면보다 더 낮게 내려올 수 있다. 이로써, 게이트 콘택(GC)이 그와 인접하는 활성 콘택(AC)과 접촉하여 쇼트가 발생하는 문제를 방지할 수 있다.In one embodiment of the present invention, referring to FIGS. 5A and 5C, the upper portion of the active contact (AC) adjacent to the gate contact (GC) may be filled with the upper insulating pattern (UIP). The bottom surface of the upper insulating pattern (UIP) may be lower than the bottom surface of the gate contact (GC). In other words, the top surface of the active contact (AC) adjacent to the gate contact (GC) may be lowered than the bottom surface of the gate contact (GC) by the upper insulating pattern (UIP). As a result, it is possible to prevent a short circuit occurring when the gate contact (GC) contacts the adjacent active contact (AC).

활성 콘택(AC) 및 게이트 콘택(GC) 각각은, 도전 패턴(FM) 및 도전 패턴(FM)을 감싸는 배리어 패턴(BM)을 포함할 수 있다. 예를 들어, 도전 패턴(FM)은 알루미늄, 구리, 텅스텐, 몰리브데늄 및 코발트 중 적어도 하나의 금속을 포함할 수 있다. 배리어 패턴(BM)은 도전 패턴(FM)의 측벽들 및 바닥면을 덮을 수 있다. 배리어 패턴(BM)은 금속막/금속 질화막을 포함할 수 있다. 상기 금속막은 티타늄, 탄탈륨, 텅스텐, 니켈, 코발트 및 백금 중 적어도 하나를 포함할 수 있다. 상기 금속 질화막은 티타늄 질화막(TiN), 탄탈륨 질화막(TaN), 텅스텐 질화막(WN), 니켈 질화막(NiN), 코발트 질화막(CoN) 및 백금 질화막(PtN) 중 적어도 하나를 포함할 수 있다.Each of the active contact (AC) and the gate contact (GC) may include a conductive pattern (FM) and a barrier pattern (BM) surrounding the conductive pattern (FM). For example, the conductive pattern FM may include at least one metal selected from aluminum, copper, tungsten, molybdenum, and cobalt. The barrier pattern BM may cover the sidewalls and bottom surface of the conductive pattern FM. The barrier pattern BM may include a metal film/metal nitride film. The metal film may include at least one of titanium, tantalum, tungsten, nickel, cobalt, and platinum. The metal nitride film may include at least one of titanium nitride (TiN), tantalum nitride (TaN), tungsten nitride (WN), nickel nitride (NiN), cobalt nitride (CoN), and platinum nitride (PtN).

제3 층간 절연막(130) 내에 제1 금속 층(M1)이 제공될 수 있다. 예를 들어, 제1 금속 층(M1)은 제1 파워 배선(M1_R1), 제2 파워 배선(M1_R2), 제3 파워 배선(M1_R3) 및 제1 배선들(M1_I)을 포함할 수 있다. 제1 금속 층(M1)의 배선들(M1_R1, M1_R2, M1_R3, M1_I) 각각은 제2 방향(D2)으로 서로 평행하게 연장될 수 있다. A first metal layer M1 may be provided in the third interlayer insulating film 130. For example, the first metal layer M1 may include a first power wire M1_R1, a second power wire M1_R2, a third power wire M1_R3, and first wires M1_I. Each of the wires M1_R1, M1_R2, M1_R3, and M1_I of the first metal layer M1 may extend parallel to each other in the second direction D2.

구체적으로, 제1 및 제2 파워 배선들(M1_R1, M1_R2)은 제1 싱글 하이트 셀(SHC1)의 제3 및 제4 경계들(BD3, BD4) 상에 각각 제공될 수 있다. 제1 파워 배선(M1_R1)은 제3 경계(BD3)를 따라 제2 방향(D2)으로 연장될 수 있다. 제2 파워 배선(M1_R2)은 제4 경계(BD4)를 따라 제2 방향(D2)으로 연장될 수 있다.Specifically, the first and second power wires M1_R1 and M1_R2 may be provided on the third and fourth boundaries BD3 and BD4 of the first single height cell SHC1, respectively. The first power wire M1_R1 may extend in the second direction D2 along the third boundary BD3. The second power wire M1_R2 may extend in the second direction D2 along the fourth boundary BD4.

제1 금속 층(M1)의 제1 배선들(M1_I)은 제2 피치로 제1 방향(D1)을 따라 배열될 수 있다. 상기 제2 피치는 상기 제1 피치보다 작을 수 있다. 제1 배선들(M1_I) 각각의 선폭은, 제1 내지 제3 파워 배선들(M1_R1, M1_R2, M1_R3) 각각의 선폭보다 작을 수 있다. The first wires M1_I of the first metal layer M1 may be arranged along the first direction D1 at a second pitch. The second pitch may be smaller than the first pitch. The line width of each of the first wires (M1_I) may be smaller than the line width of each of the first to third power wires (M1_R1, M1_R2, and M1_R3).

제1 금속 층(M1)은, 제1 비아들(VI1)을 더 포함할 수 있다. 제1 비아들(VI1)은 제1 금속 층(M1)의 배선들(M1_R1, M1_R2, M1_R3, M1_I) 아래에 각각 제공될 수 있다. 제1 비아(VI1)를 통해 활성 콘택(AC)과 제1 금속 층(M1)의 배선이 서로 전기적으로 연결될 수 있다. 제1 비아(VI1)를 통해 게이트 콘택(GC)과 제1 금속 층(M1)의 배선이 서로 전기적으로 연결될 수 있다. The first metal layer M1 may further include first vias VI1. The first vias VI1 may be provided under the wires M1_R1, M1_R2, M1_R3, and M1_I of the first metal layer M1, respectively. The wiring of the active contact AC and the first metal layer M1 may be electrically connected to each other through the first via VI1. The gate contact GC and the wiring of the first metal layer M1 may be electrically connected to each other through the first via VI1.

제1 금속 층(M1)의 배선과 그 아래의 제1 비아(VI1)는 서로 각각 별도의 공정으로 형성될 수 있다. 다시 말하면, 제1 금속 층(M1)의 배선 및 제1 비아(VI1) 각각은 싱글 다마신 공정으로 형성될 수 있다. 본 실시예에 따른 반도체 소자는, 20 nm 미만의 공정을 이용하여 형성된 것일 수 있다. The wiring of the first metal layer M1 and the first via VI1 below it may be formed through separate processes. In other words, each of the wiring of the first metal layer M1 and the first via VI1 may be formed through a single damascene process. The semiconductor device according to this embodiment may be formed using a process of less than 20 nm.

제4 층간 절연막(140) 내에 제2 금속 층(M2)이 제공될 수 있다. 제2 금속 층(M2)은 복수개의 제2 배선들(M2_I)을 포함할 수 있다. 제2 금속 층(M2)의 제2 배선들(M2_I) 각각은 제1 방향(D1)으로 연장되는 라인 형태 또는 바 형태를 가질 수 있다. 다시 말하면, 제2 배선들(M2_I)은 제1 방향(D1)으로 서로 평행하게 연장될 수 있다.A second metal layer M2 may be provided in the fourth interlayer insulating film 140. The second metal layer M2 may include a plurality of second wires M2_I. Each of the second wires M2_I of the second metal layer M2 may have a line shape or a bar shape extending in the first direction D1. In other words, the second wires M2_I may extend parallel to each other in the first direction D1.

제2 금속 층(M2)은, 제2 배선들(M2_I) 아래에 각각 제공된 제2 비아들(VI2)을 더 포함할 수 있다. 제2 비아(VI2)를 통해 제1 금속 층(M1)의 배선과 제2 금속 층(M2)의 배선이 서로 전기적으로 연결될 수 있다. 제2 금속 층(M2)의 배선과 그 아래의 제2 비아(VI2)는 듀얼 다마신 공정으로 함께 형성될 수 있다.The second metal layer M2 may further include second vias VI2 respectively provided below the second wires M2_I. The wiring of the first metal layer M1 and the wiring of the second metal layer M2 may be electrically connected to each other through the second via VI2. The wiring of the second metal layer (M2) and the second via (VI2) below it may be formed together through a dual damascene process.

제1 금속 층(M1)의 배선과 제2 금속 층(M2)의 배선은 서로 동일하거나 다른 도전 물질을 포함할 수 있다. 예를 들어, 제1 금속 층(M1)의 배선과 제2 금속 층(M2)의 배선은, 알루미늄, 구리, 텅스텐, 몰리브데늄 및 코발트 중에서 선택된 적어도 하나의 금속 물질을 포함할 수 있다. 도시되진 않았지만, 제4 층간 절연막(140) 상에 적층된 금속 층들(예를 들어, M3, M4, M5...)이 추가로 배치될 수 있다. 상기 적층된 금속 층들 각각은 셀들간의 라우팅을 위한 배선들을 포함할 수 있다.The wiring of the first metal layer M1 and the wiring of the second metal layer M2 may include the same or different conductive materials. For example, the wiring of the first metal layer M1 and the wiring of the second metal layer M2 may include at least one metal material selected from aluminum, copper, tungsten, molybdenum, and cobalt. Although not shown, metal layers (eg, M3, M4, M5...) stacked on the fourth interlayer insulating film 140 may be additionally disposed. Each of the stacked metal layers may include wires for routing between cells.

도 6 내지 도 21c를 참조하여, 본 발명에 따른 반도체 소자의 제조 방법에 대해 보다 상세히 설명한다.6 to 21C, the method for manufacturing a semiconductor device according to the present invention will be described in more detail.

도 6 내지 21c는 본 발명의 실시예들에 따른 반도체 소자의 제조 방법을 설명하기 위한 도면들이다. 도 6, 도 8, 도 10, 도 14, 도 16 및 도 20은 본 발명의 실시예들에 따른 반도체 소자의 제조 방법을 설명하기 위한 평면도들이다.6 to 21C are diagrams for explaining a method of manufacturing a semiconductor device according to embodiments of the present invention. FIGS. 6, 8, 10, 14, 16, and 20 are plan views for explaining a method of manufacturing a semiconductor device according to embodiments of the present invention.

도 6을 참조하면, 제1 PMOSFET 영역(PR1), 제2 PMOSFET 영역(PR2), 제1 NMOSFET 영역(NR1) 및 제2 NMOSFET 영역(NR2)을 갖는 기판(100)이 제공될 수 있다. 제1 NMOSFET 영역(NR1) 및 제1 PMOSFET 영역(PR1)은 제1 싱글 하이트 셀(SHC1)을 정의할 수 있고, 및 제2 NMOSFET 영역(NR2) 및 제2 PMOSFET 영역(PR2)은 제2 싱글 하이트 셀(SHC2)을 정의할 수 있다.Referring to FIG. 6 , a substrate 100 having a first PMOSFET region PR1, a second PMOSFET region PR2, a first NMOSFET region NR1, and a second NMOSFET region NR2 may be provided. The first NMOSFET region (NR1) and the first PMOSFET region (PR1) may define a first single height cell (SHC1), and the second NMOSFET region (NR2) and the second PMOSFET region (PR2) may define a second single height cell (SHC1). A height cell (SHC2) can be defined.

기판(100) 상에 서로 교번적으로 적층된 희생층들(SAL) 및 활성층들(ACL)이 형성될 수 있다. 희생층들(SAL)은 실리콘(Si), 저마늄(Ge) 및 실리콘-저마늄(SiGe) 중 하나를 포함할 수 있고, 활성층들(ACL)은 실리콘(Si), 저마늄(Ge) 및 실리콘-저마늄(SiGe) 중 다른 하나를 포함할 수 있다.Sacrificial layers (SAL) and active layers (ACL) may be formed on the substrate 100 to be alternately stacked. The sacrificial layers (SAL) may include one of silicon (Si), germanium (Ge), and silicon-germanium (SiGe), and the active layers (ACL) may include silicon (Si), germanium (Ge), and It may include another one of silicon-germanium (SiGe).

예를 들어, 희생층들(SAL)은 실리콘-저마늄(SiGe)을 포함할 수 있고, 활성층들(ACL)은 실리콘(Si)을 포함할 수 있다. 희생층들(SAL) 각각의 저마늄(Ge)의 농도는 10 at% 내지 30 at%일 수 있다.For example, the sacrificial layers SAL may include silicon-germanium (SiGe), and the active layers ACL may include silicon (Si). The concentration of germanium (Ge) in each of the sacrificial layers (SAL) may be 10 at% to 30 at%.

기판(100)의 제1 및 제2 활성 영역들(AR1, AR2) 상에 마스크 패턴들이 각각 형성될 수 있다. 상기 마스크 패턴은 제1 방향(D1)으로 연장되는 라인 형태 또는 바(bar) 형태를 가질 수 있다. Mask patterns may be formed on the first and second active regions AR1 and AR2 of the substrate 100, respectively. The mask pattern may have a line shape or a bar shape extending in the first direction D1.

상기 마스크 패턴들을 식각 마스크로 패터닝 공정을 수행하여, 제1 활성 패턴(AP1) 및 제2 활성 패턴(AP2)을 정의하는 트렌치(TR)가 형성될 수 있다. 각각의 제1 및 제2 PMOSFET 영역들(PR1, PR2) 상에 제1 활성 패턴들(AP1)이 형성될 수 있다. 각각의 제1 및 제2 NMOSFET 영역들(NR1, NR2) 상에 제2 활성 패턴들(AP2)이 형성될 수 있다.By performing a patterning process using the mask patterns as an etch mask, a trench TR defining the first active pattern AP1 and the second active pattern AP2 may be formed. First active patterns AP1 may be formed on each of the first and second PMOSFET regions PR1 and PR2. Second active patterns AP2 may be formed on each of the first and second NMOSFET regions NR1 and NR2.

트렌치(TR)를 채우는 소자 분리막(ST)이 형성될 수 있다. 구체적으로, 기판(100)의 전면 상에 제1 내지 제3 활성 패턴들(AP1-AP3) 및 적층 패턴들(STP)을 덮는 절연막이 형성될 수 있다. 적층 패턴들(STP)이 노출될 때까지 상기 절연막을 리세스하여, 소자 분리막(ST)이 형성될 수 있다. 소자 분리막(ST)은, 실리콘 산화막 같은 절연 물질을 포함할 수 있다. 적층 패턴들(STP)은 소자 분리막(ST) 위로 노출될 수 있다. 다시 말하면, 적층 패턴들(STP)은 소자 분리막(ST) 위로 수직하게 돌출될 수 있다.A device isolation layer (ST) may be formed to fill the trench (TR). Specifically, an insulating film may be formed on the entire surface of the substrate 100 to cover the first to third active patterns AP1 - AP3 and the stacked patterns STP. A device isolation layer (ST) may be formed by recessing the insulating layer until the stacking patterns (STP) are exposed. The device isolation film (ST) may include an insulating material such as a silicon oxide film. The stacking patterns (STP) may be exposed on the device isolation layer (ST). In other words, the stacked patterns STP may protrude vertically above the device isolation layer ST.

도 6, 도 7a 및 도 7b는 희생 패턴들(PP)을 형성하는 방법을 설명하기 위한 도면들이다. 도 7a 및 도 7b 각각은 도 6의 A-A'선 및 B-B'선에 따른 단면도들이다.FIGS. 6, 7A, and 7B are diagrams for explaining a method of forming sacrificial patterns PP. FIGS. 7A and 7B are cross-sectional views taken along lines A-A' and B-B' of FIG. 6, respectively.

도 6을 참조하면, 기판(100)의 전면 상에 제1 희생막(PPL)을 형성할 수 있다. 도 7a 및 도 7b를 참조하여, 상기 제1 희생막(PPL)은 소자 분리막(ST) 및 적층 패턴들(STP) 상에 형성될 수 있다. 상기 제1 희생막 상에 마스크 패턴들(MP)을 형성할 수 있다.Referring to FIG. 6, a first sacrificial layer (PPL) may be formed on the entire surface of the substrate 100. Referring to FIGS. 7A and 7B , the first sacrificial layer (PPL) may be formed on the device isolation layer (ST) and the stacking patterns (STP). Mask patterns MP may be formed on the first sacrificial layer.

도 9a 및 도 9b 각각은 도 8의 A-A'선 및 B-B'선에 따른 단면도들이다9A and 9B are cross-sectional views taken along lines A-A' and B-B' of FIG. 8, respectively.

도 8, 도 9a 및 도 9b를 참조하면, 상기 마스크 패턴들(MP)을 식각 마스크로 상기 제1 희생막(PPL)을 패터닝하여 복수 개의 희생 패턴들(PP)을 형성할 수 있다. 상기 제1 희생막(PPL)은 폴리실리콘을 포함할 수 있다. 희생 패턴들(PP)은 제1 방향(D1)으로 연장되는 라인 형태(line shape) 또는 바 형태(bar shape)로 형성될 수 있다.Referring to FIGS. 8, 9A, and 9B, the first sacrificial layer PPL may be patterned using the mask patterns MP as an etch mask to form a plurality of sacrificial patterns PP. The first sacrificial layer (PPL) may include polysilicon. The sacrificial patterns PP may be formed in a line shape or a bar shape extending in the first direction D1.

도 11a 및 도 11b는 도 10의 A-A'선에 따른 단면도들이다. 도 11b는 본 발명의 다른 실시예들에 따른 단면도이다. 도 12는 도 11a의 M영역에 따른 확대도이다.FIGS. 11A and 11B are cross-sectional views taken along line A-A' of FIG. 10. Figure 11b is a cross-sectional view according to other embodiments of the present invention. Figure 12 is an enlarged view of area M of Figure 11a.

도 11a 및 도 12를 참조하면, 상기 희생 패턴(PP)의 양 측벽들(PSW1, PSW2)을 질화시켜 질화 패턴(NP)을 형성할 수 있다. 상기 양 측벽들(PSW1, PSW2)은 제2 방향(D2)으로 서로 대향할 수 있다. 상기 질화 패턴(NP)의 상부는, 상기 제2 방향(D2)으로 제1 폭(W1)을 가질 수 있다. 상기 질화 패턴(NP)의 하부는 상기 제2 방향(D2)으로 제2 폭(W2)을 가질 수 있다. 상기 제2 폭(W2)은 상기 제1 폭(W1)보다 클 수 있다 (도 12 참조). 상기 제2 폭(W2)은 상기 제1 폭(W1)의 1.5배 내지 3배일 수 있다. 다른 실시예로, 상기 질화 패턴(NP)은 소자 분리막(ST) 및 마스크 패턴(MP) 상에도 형성될 수 있다 (도 11b 참조).Referring to FIGS. 11A and 12 , both side walls PSW1 and PSW2 of the sacrificial pattern PP may be nitrided to form a nitrided pattern NP. The side walls PSW1 and PSW2 may face each other in the second direction D2. The upper portion of the nitride pattern NP may have a first width W1 in the second direction D2. The lower portion of the nitride pattern NP may have a second width W2 in the second direction D2. The second width W2 may be larger than the first width W1 (see FIG. 12). The second width W2 may be 1.5 to 3 times the first width W1. In another embodiment, the nitride pattern (NP) may also be formed on the device isolation layer (ST) and the mask pattern (MP) (see FIG. 11b).

도 12를 참조하면, 질화 패턴(NP)의 제2 방향(D2)으로의 폭은 상부에서 하부로 갈수록 증가할 수 있다. 상기 질화 패턴(NP) 각각의 단면은 삼각형 또는 사다리꼴 모양의 프로파일을 가질 수 있다. 상기 희생 패턴(PP)은 제2 방향(D2)으로 제3 폭을 가질 수 있다. 상기 제3 폭은 상기 기판과 가까워질수록 감소할 수 있다. 따라서, 상기 질화 패턴(NP)의 폭과 상기 질화 패턴(NP)의 양쪽에 형성되는 희생 패턴(PP)들의 폭의 합은 임의의 높이에서 일정할 수 있다. Referring to FIG. 12 , the width of the nitride pattern NP in the second direction D2 may increase from top to bottom. The cross section of each nitride pattern (NP) may have a triangular or trapezoidal profile. The sacrificial pattern PP may have a third width in the second direction D2. The third width may decrease as it gets closer to the substrate. Accordingly, the sum of the width of the nitride pattern (NP) and the widths of the sacrificial patterns (PP) formed on both sides of the nitride pattern (NP) may be constant at any height.

구체적으로 상기 질화 패턴(NP)을 형성하는 것은, 상기 희생 패턴(PP)의 양 측벽들의 표면을 산화시키는 것, 및 상기 산화된 희생 패턴(PP)의 표면에 질소를 증착하여 실리콘나이트라이드(Silicon Nitride, Si3N4)를 형성하는 것을 포함할 수 있다. 이때, 희생 패턴(PP)의 하부에 증착하는 질소의 농도는 상기 희생 패턴(PP)의 상부에 증착하는 질소의 농도보다 높을 수 있다. 따라서, 상기 질화 패턴(NP)의 상부에서 하부로 갈수록 질소의 농도가 증가할 수 있다. 이는 후술할 식각 공정에 의해 희생 패턴(PP)의 하부가 상부보다 더 많이 식각되는 것을 방지할 수 있다.Specifically, forming the nitride pattern (NP) includes oxidizing the surfaces of both side walls of the sacrificial pattern (PP), and depositing nitrogen on the surface of the oxidized sacrificial pattern (PP) to form silicon nitride (Silicon nitride). It may include forming nitride, Si 3 N 4 ). At this time, the concentration of nitrogen deposited on the lower part of the sacrificial pattern (PP) may be higher than the concentration of nitrogen deposited on the upper part of the sacrificial pattern (PP). Accordingly, the concentration of nitrogen may increase from the top to the bottom of the nitride pattern (NP). This can prevent the lower part of the sacrificial pattern PP from being etched more than the upper part by the etching process to be described later.

도 13a 및 도 13b를 참조하면, 상기 질화 패턴(NP)을 형성하는 것 이후에, 상기 질화 패턴(NP) 상에 게이트 스페이서(GS)를 형성할 수 있다. 게이트 스페이서들(GS)을 형성하는 것은, 기판(100)의 전면 상에 게이트 스페이서막을 콘포멀하게 형성하는 것, 및 상기 게이트 스페이서막을 이방성 식각하는 것을 포함할 수 있다. 상기 게이트 스페이서막은 SiCN, SiCON 및 SiN 중 적어도 하나를 포함할 수 있다.Referring to FIGS. 13A and 13B , after forming the nitride pattern (NP), a gate spacer (GS) may be formed on the nitride pattern (NP). Forming the gate spacers GS may include conformally forming a gate spacer film on the front surface of the substrate 100 and anisotropically etching the gate spacer film. The gate spacer film may include at least one of SiCN, SiCON, and SiN.

도 13a를 참조하면, 희생 패턴(PP)의 바닥면은 제1 레벨(LV1)에 위치할 수 있다. 도 13b를 참조하면, 적층 패턴(STP) 상의 희생 패턴(PP)의 바닥면은 제2 레벨(LV2)에 위치할 수 있다. 상기 제2 레벨(LV2)은 상기 제1 레벨(LV1)보다 높을 수 있다. 다시 도 12를 참조하면, 상기 제2 레벨(LV2)에서의 질화 패턴(NP)의 폭은 상기 제1 레벨(LV1)에서의 폭보다 작을 수 있다. 반면, 상기 제2 레벨(LV2)에서의 희생 패턴(PP)의 폭은 상기 제1 레벨(LV1)에서의 폭보다 클 수 있다. 따라서, 상기 질화 패턴(NP)의 폭과 상기 희생 패턴(PP)의 폭의 합은 상기 제1 및 제2 레벨(LV1,LV2)에서 동일할 수 있다. Referring to FIG. 13A , the bottom surface of the sacrificial pattern PP may be located at the first level LV1. Referring to FIG. 13B , the bottom surface of the sacrificial pattern PP on the stacking pattern STP may be located at the second level LV2. The second level (LV2) may be higher than the first level (LV1). Referring again to FIG. 12, the width of the nitride pattern NP at the second level LV2 may be smaller than the width at the first level LV1. On the other hand, the width of the sacrificial pattern PP at the second level LV2 may be larger than the width at the first level LV1. Accordingly, the sum of the width of the nitride pattern (NP) and the width of the sacrificial pattern (PP) may be the same in the first and second levels (LV1 and LV2).

도 15a 내지 도 15d 각각은 도 14의 A-A'선, B-B'선, C-C'선 및 D-D'선에 따른 단면도들이다.FIGS. 15A to 15D are cross-sectional views taken along lines A-A', B-B', C-C', and D-D' of FIG. 14, respectively.

제1 활성 영역(AP1) 상의 적층 패턴(STP) 내에 제1 리세스들(RS1)이 형성될 수 있다. 제2 활성 영역(AP2) 상의 적층 패턴(STP) 내에 제2 리세스들(RS2)이 형성될 수 있다. 제1 및 제2 리세스들(RS1, RS2)을 형성하는 동안, 제1 및 제2 활성 영역들(AP1, AP2) 각각의 양 측 상의 소자 분리막(ST)이 더 리세스될 수 있다 (도 15a 참조).First recesses RS1 may be formed in the stacking pattern STP on the first active area AP1. Second recesses RS2 may be formed in the stacked pattern STP on the second active area AP2. While forming the first and second recesses RS1 and RS2, the device isolation layer ST on both sides of each of the first and second active regions AP1 and AP2 may be further recessed (FIG. 15a).

구체적으로, 하드 마스크 패턴들(MP) 및 게이트 스페이서들(GS)을 식각 마스크로 제1 활성 영역(AP1) 상의 적층 패턴(STP)을 식각하여, 제1 리세스들(RS1)이 형성될 수 있다. 제1 리세스(RS1)는, 한 쌍의 희생 패턴들(PP) 사이에 형성될 수 있다. 제2 활성 영역(AP2) 상의 적층 패턴(STP) 내의 제2 리세스들(RS2)은, 제1 리세스들(RS1)을 형성하는 것과 동일한 방법으로 형성될 수 있다.Specifically, the first recesses RS1 may be formed by etching the stacking pattern STP on the first active area AP1 using the hard mask patterns MP and the gate spacers GS as an etch mask. there is. The first recess RS1 may be formed between a pair of sacrificial patterns PP. The second recesses RS2 in the stacked pattern STP on the second active area AP2 may be formed in the same manner as the first recesses RS1.

활성층들(ACL)로부터, 서로 인접하는 제1 리세스들(RS1) 사이에 순차적으로 적층된 제1 내지 제3 반도체 패턴들(SP1, SP2, SP3)이 형성될 수 있다. 활성층들(ACL)로부터, 서로 인접하는 제2 리세스들(RS2) 사이에 순차적으로 적층된 제1 내지 제3 반도체 패턴들(SP1, SP2, SP3)이 형성될 수 있다. 서로 인접하는 제1 리세스들(RS1) 사이의 제1 내지 제3 반도체 패턴들(SP1, SP2, SP3)은, 제1 채널 패턴(CH1)을 구성할 수 있다. 서로 인접하는 제2 리세스들(RS2) 사이의 제1 내지 제3 반도체 패턴들(SP1, SP2, SP3)은, 제2 채널 패턴(CH2)을 구성할 수 있다.From the active layers ACL, first to third semiconductor patterns SP1, SP2, and SP3 may be formed sequentially stacked between adjacent first recesses RS1. From the active layers ACL, first to third semiconductor patterns SP1, SP2, and SP3 may be formed sequentially between adjacent second recesses RS2. The first to third semiconductor patterns SP1, SP2, and SP3 between adjacent first recesses RS1 may form a first channel pattern CH1. The first to third semiconductor patterns SP1, SP2, and SP3 between adjacent second recesses RS2 may form a second channel pattern CH2.

도 15b를 참조하면, 제1 리세스들(RS1) 내에 제1 소스/드레인 패턴들(SD1)이 각각 형성될 수 있다. 구체적으로, 제1 리세스(RS1)의 내측벽을 시드층(seed layer)으로 하는 제1 선택적 에피택시얼 성장 공정(즉, 제1 SEG 공정)을 수행하여 제1 소스/드레인 패턴(SD1)이 형성될 수 있다. 상기 제1 SEG 공정은 화학 기상 증착(Chemical Vapor Deposition: CVD) 공정 또는 분자 빔 에피택시(Molecular Beam Epitaxy: MBE) 공정을 포함할 수 있다.Referring to FIG. 15B , first source/drain patterns SD1 may be formed within the first recesses RS1, respectively. Specifically, a first selective epitaxial growth process (i.e., a first SEG process) is performed using the inner wall of the first recess (RS1) as a seed layer to form a first source/drain pattern (SD1). This can be formed. The first SEG process may include a chemical vapor deposition (CVD) process or a molecular beam epitaxy (MBE) process.

제1 소스/드레인 패턴(SD1)은 기판(100)의 반도체 원소의 격자 상수보다 큰 격자 상수를 갖는 반도체 원소(예를 들어, SiGe)를 포함할 수 있다. 상기 제1 SEG 공정 동안, 불순물이 인-시추(in-situ)로 주입될 수 있다. 다른 예로, 제1 소스/드레인 패턴(SD1)이 형성된 후 제1 소스/드레인 패턴(SD1)에 불순물이 주입될 수 있다. 제1 소스/드레인 패턴(SD1)은 제1 도전형(예를 들어, p형)을 갖도록 도핑될 수 있다.The first source/drain pattern SD1 may include a semiconductor element (eg, SiGe) having a lattice constant greater than the lattice constant of the semiconductor element of the substrate 100 . During the first SEG process, impurities may be injected in-situ. As another example, after the first source/drain pattern SD1 is formed, impurities may be injected into the first source/drain pattern SD1. The first source/drain pattern SD1 may be doped to have a first conductivity type (eg, p-type).

제2 리세스들(RS2) 내에 제2 소스/드레인 패턴들(SD2)이 각각 형성될 수 있다. 구체적으로, 제2 소스/드레인 패턴(SD2)은 제2 리세스(RS2)의 내측벽을 시드층으로 하는 제2 SEG 공정을 수행하여 형성될 수 있다. 일 예로, 제2 소스/드레인 패턴(SD2)은 기판(100)과 동일한 반도체 원소(예를 들어, Si)를 포함할 수 있다. 제2 소스/드레인 패턴(SD2)은 제2 도전형(예를 들어, n형)을 갖도록 도핑될 수 있다. 제2 소스/드레인 패턴(SD2)과 희생층들(SAL) 사이에 내측 스페이서들(IP)이 각각 형성될 수 있다.Second source/drain patterns SD2 may be formed in the second recesses RS2, respectively. Specifically, the second source/drain pattern SD2 may be formed by performing a second SEG process using the inner wall of the second recess RS2 as a seed layer. For example, the second source/drain pattern SD2 may include the same semiconductor element (eg, Si) as that of the substrate 100 . The second source/drain pattern SD2 may be doped to have a second conductivity type (eg, n-type). Inner spacers IP may be formed between the second source/drain pattern SD2 and the sacrificial layers SAL, respectively.

도 17a 내지 도 17c 각각은 도 16의 A-A'선, B-B'선 및 C-C'선에 따른 단면도들이다.FIGS. 17A to 17C are cross-sectional views taken along lines A-A', B-B', and C-C' of FIG. 16, respectively.

도 16 및 도 17a 내지 도 17c를 참조하면, 제1 및 제2 소스/드레인 패턴들(SD1, SD2), 하드 마스크 패턴들(MP) 및 게이트 스페이서들(GS)을 덮는 제1 층간 절연막(110)이 형성될 수 있다. 일 예로, 제1 층간 절연막(110)은 실리콘 산화막을 포함할 수 있다. 희생 패턴들(PP)의 상면들이 노출될 때까지 제1 층간 절연막(110)이 평탄화될 수 있다. 제1 층간 절연막(110)의 평탄화는 에치백(Etch Back) 또는 CMP(Chemical Mechanical Polishing) 공정을 이용하여 수행될 수 있다. 상기 평탄화 공정 동안, 하드 마스크 패턴들(MP)은 모두 제거될 수 있다.16 and 17A to 17C, the first interlayer insulating film 110 covers the first and second source/drain patterns SD1 and SD2, hard mask patterns MP, and gate spacers GS. ) can be formed. As an example, the first interlayer insulating film 110 may include a silicon oxide film. The first interlayer insulating layer 110 may be planarized until the top surfaces of the sacrificial patterns PP are exposed. Planarization of the first interlayer insulating film 110 may be performed using an etch back or chemical mechanical polishing (CMP) process. During the planarization process, all hard mask patterns MP may be removed.

도 18a 및 도 18b 각각은, 도 17a 및 도 17b에 나타난 공정 이후 식각 공정을 진행한 것을 나타낸 도면들이다. 도 19a 및 도 19b는 도 18a의 N 영역에 따른 확대도이다. FIGS. 18A and 18B are diagrams showing an etching process performed after the process shown in FIGS. 17A and 17B, respectively. FIGS. 19A and 19B are enlarged views of area N of FIG. 18A.

도 18a 및 도 18b를 참조하면, 노출된 희생 패턴(PP)이 선택적으로 제거될 수 있다. 상기 희생 패턴(PP)을 제거하여 외측 영역(ORG)를 형성할 수 있다. 도 19b를 참조하면, 상기 외측 영역(ORG)는 제2 방향(D2)으로 제4 폭(W4)을 가질 수 있다. 상기 제4 폭은 제3 방향(D3)으로 일정할 수 있다.Referring to FIGS. 18A and 18B , the exposed sacrificial pattern PP may be selectively removed. The outer region (ORG) can be formed by removing the sacrificial pattern (PP). Referring to FIG. 19B, the outer region ORG may have a fourth width W4 in the second direction D2. The fourth width may be constant in the third direction D3.

구체적으로 상기 희생 패턴(PP)이 제거되는 과정은, 제1 식각 공정 및 제2 식각 공정을 통해 수행될 수 있다. 상기 제1 식각 공정은 습식 식각일 수 있다. 상기 제1 식각 공정에 의해, 희생 패턴(PP) 및 질화 패턴(NP)의 일부가 제거될 수 있다. 상기 제1 식각 공정에서, 질화 패턴(NP)에 대한 식각률이 희생 패턴(PP)에 대한 식각률보다 작을 수 있다. 즉, 질화 패턴(NP)은 상기 제1 식각 공정에 대해 희생 패턴(PP)보다 높은 식각 내성을 가질 수 있다. 따라서, 제1 식각 공정 이후 질화 패턴(NP)의 하부의 일부는 제거되지 않을 수 있다 (도 19a 참조).Specifically, the process of removing the sacrificial pattern PP may be performed through a first etching process and a second etching process. The first etching process may be wet etching. By the first etching process, part of the sacrificial pattern (PP) and the nitride pattern (NP) may be removed. In the first etching process, the etch rate for the nitride pattern (NP) may be less than the etch rate for the sacrificial pattern (PP). That is, the nitride pattern (NP) may have higher etching resistance than the sacrificial pattern (PP) in the first etching process. Accordingly, a portion of the lower portion of the nitride pattern NP may not be removed after the first etching process (see FIG. 19A).

상기 희생 패턴(PP)이 제거됨으로써 희생층들(SAL)이 노출될 수 있다. 노출된 희생층들(SAL)이 제2 식각 공정에 의해 선택적으로 제거될 수 있다. 상기 제2 식각 공정은 습식 식각일 수 있다. 상기 식각 공정에 사용되는 식각 물질은 상대적으로 높은 저마늄 농도를 갖는 희생층(SAL)을 빠르게 제거할 수 있다.By removing the sacrificial pattern PP, sacrificial layers SAL may be exposed. Exposed sacrificial layers (SAL) may be selectively removed by a second etching process. The second etching process may be wet etching. The etching material used in the etching process can quickly remove the sacrificial layer (SAL) having a relatively high germanium concentration.

도 19b를 참조하면, 상기 제1 식각 공정에 의해 제거되지 않은 상기 질화 패턴(NP)이, 제2 식각 공정에 의해 제거될 수 있다. 따라서, 상기 제1 식각 공정 및 상기 제2 식각 공정 이후에 외측 영역(ORG)의 제4 폭(W4)은 임의의 높이에서 일정할 수 있다.Referring to FIG. 19B, the nitride pattern NP that is not removed by the first etching process may be removed by the second etching process. Accordingly, after the first etching process and the second etching process, the fourth width W4 of the outer region ORG may be constant at any height.

도 21a 내지 도 21c 각각은, 도 20의 A-A'선, B-B'선 및 C-C' 선에 따른 단면도들이다.FIGS. 21A to 21C are cross-sectional views taken along lines A-A', B-B', and C-C' of FIG. 20, respectively.

도 20 및 도 21a 내지 도 21c를 참조하면, 상기 외측 영역(ORG) 내에 게이트 절연막(GI) 및 게이트 전극(GE)을 순차적으로 형성할 수 있다. 게이트 절연막(GI)은 제1 내지 제3 반도체 패턴들(SP1, SP2, SP3)을 둘러쌀 수 있다. Referring to FIGS. 20 and 21A to 21C , a gate insulating film (GI) and a gate electrode (GE) may be sequentially formed in the outer region (ORG). The gate insulating layer GI may surround the first to third semiconductor patterns SP1, SP2, and SP3.

상기 질화 패턴(NP) 형성하지 않거나 상기 질화 패턴(NP)의 폭이 일정한 경우, 상기 제1 및 제2 식각 공정을 진행하면서, 상기 외측 영역(ORG)의 하부는 상부보다 폭이 넓게 형성될 수 있다. 즉, 여러 번의 식각 공정에 의해 상기 외측 영역(ORG)의 폭이 제3 방향(D3)으로 일정하지 않을 수 있다. 상기 외측 영역(ORG)의 폭이 일정하지 않은 경우, 상기 외측 영역(ORG)에 채워지는 게이트 전극(GE)의 폭도 하부가 상부보다 클 수 있다. 또한, 상기 게이트 전극(GE)이 모두 채워지지 못하고 빈 공간이 형성될 수 있다. 후술할 게이트 커팅 패턴(CT) 형성 시, 상기 게이트 전극(GE)을 식각한 후 절연 물질을 채우는데, 이때 게이트 전극(GE)의 하부가 모두 식각되지 않을 수 있다. 결과적으로, 게이트 전극(GE)의 하부의 일부가 제거되지 않아 공정 불량이 발생할 수 있다.When the nitride pattern (NP) is not formed or the width of the nitride pattern (NP) is constant, while performing the first and second etching processes, the lower part of the outer region (ORG) may be formed to be wider than the upper part. there is. That is, the width of the outer region ORG may not be constant in the third direction D3 due to multiple etching processes. When the width of the outer region ORG is not constant, the width of the gate electrode GE filling the outer region ORG may be larger at the bottom than at the top. Additionally, the gate electrode GE may not be completely filled and an empty space may be formed. When forming a gate cutting pattern (CT), which will be described later, the gate electrode (GE) is etched and then filled with an insulating material. At this time, the entire lower portion of the gate electrode (GE) may not be etched. As a result, a portion of the lower part of the gate electrode GE is not removed, which may cause process defects.

반면, 상기 질화 패턴(NP)의 하부를 상부보다 넓게 형성함으로써, 제1 및 제2 식각 공정을 진행한 후에도 상기 외측 영역(ORG)의 폭을 일정하게 형성할 수 있다. 이로써, 상기 외측 영역(ORG)에 상기 게이트 전극(GE)이 빈 공간 없이 채워질 수 있다. 또한, 상기 게이트 커팅 패턴(CT)을 형성하기 전에, 게이트 전극(GE)이 모두 식각될 수 있다. 결과적으로 반도체 소자의 공정 불량을 방지하고 신뢰성을 향상시킬 수 있다. On the other hand, by forming the lower part of the nitride pattern (NP) to be wider than the upper part, the width of the outer region (ORG) can be formed to be constant even after the first and second etching processes. As a result, the outer region ORG can be filled with the gate electrode GE without any empty space. Additionally, before forming the gate cutting pattern CT, the entire gate electrode GE may be etched. As a result, process defects in semiconductor devices can be prevented and reliability improved.

도 20 및 도 21a를 참조하면, 게이트 커팅 패턴들(CT)이 제1 및 제2 싱글 하이트 셀들(SHC1, SHC2) 각각의 제2 방향(D2)에 평행한 경계 상에 배치될 수 있다. 구체적으로, 상기 게이트 커팅 패턴들(CT)을 형성하는 것은, 게이트 전극(GE)의 커팅 영역을 식각하는 것 및 상기 커팅 영역에 절연 물질을 채우는 것을 포함할 수 있다. 상기 커팅 영역은 제1 싱글 하이트 셀과 제2 싱글 하이트 셀의 경계에 위치할 수 있다. 상기 게이트 전극(GE)의 폭이 하부와 상부가 동일하기 때문에, 상기 커팅 영역에서 게이트 전극(GE)이 모두 제거될 수 있다. Referring to FIGS. 20 and 21A , gate cutting patterns CT may be disposed on boundaries parallel to the second direction D2 of each of the first and second single height cells SHC1 and SHC2. Specifically, forming the gate cutting patterns CT may include etching the cutting area of the gate electrode GE and filling the cutting area with an insulating material. The cutting area may be located at a boundary between a first single height cell and a second single height cell. Since the width of the gate electrode GE is the same at the bottom and top, all of the gate electrode GE can be removed from the cutting area.

게이트 커팅 패턴들(CT) 및 제1 층간 절연막(110) 상에 제2 층간 절연막(120)이 형성될 수 있다. 제2 층간 절연막(120)은 실리콘 산화막을 포함할 수 있다. 제2 층간 절연막(120) 및 제1 층간 절연막(110)을 관통하여 제1 및 제2 소스/드레인 패턴들(SD1, SD2)과 전기적으로 연결되는 활성 콘택들(AC)이 형성될 수 있다. 제2 층간 절연막(120) 및 게이트 캐핑 패턴(GP)을 관통하여 게이트 전극(GE)과 전기적으로 연결되는 게이트 콘택(GC)이 형성될 수 있다. A second interlayer insulating film 120 may be formed on the gate cutting patterns CT and the first interlayer insulating film 110. The second interlayer insulating film 120 may include a silicon oxide film. Active contacts AC may be formed through the second interlayer insulating film 120 and the first interlayer insulating film 110 and electrically connected to the first and second source/drain patterns SD1 and SD2. A gate contact GC may be formed that penetrates the second interlayer insulating layer 120 and the gate capping pattern GP and is electrically connected to the gate electrode GE.

각각의 활성 콘택(AC) 및 게이트 콘택(GC)을 형성하는 것은, 배리어 패턴(BM)을 형성하는 것 및 배리어 패턴(BM) 상에 도전 패턴(FM)을 형성하는 것을 포함할 수 있다. 배리어 패턴(BM)은 콘포멀하게 형성될 수 있으며, 금속막/금속 질화막을 포함할 수 있다. 도전 패턴(FM)은 저저항 금속을 포함할 수 있다.Forming each active contact (AC) and gate contact (GC) may include forming a barrier pattern (BM) and forming a conductive pattern (FM) on the barrier pattern (BM). The barrier pattern BM may be formed conformally and may include a metal film/metal nitride film. The conductive pattern (FM) may include a low-resistance metal.

로직 셀(LC)의 양 측에 한 쌍의 분리 구조체들(DB)이 형성될 수 있다. 분리 구조체(DB)는, 제2 층간 절연막(120)으로부터 게이트 전극(GE)을 관통하여 활성 패턴(AP1 또는 AP2) 내부로 연장될 수 있다. 분리 구조체(DB)는 실리콘 산화막 또는 실리콘 질화막과 같은 절연 물질을 포함할 수 있다.A pair of separation structures DB may be formed on both sides of the logic cell LC. The separation structure DB may extend from the second interlayer insulating film 120 through the gate electrode GE into the active pattern AP1 or AP2. The separation structure DB may include an insulating material such as a silicon oxide film or a silicon nitride film.

활성 콘택들(AC) 및 게이트 콘택들(GC) 상에 제3 층간 절연막(130)이 형성될 수 있다. 제3 층간 절연막(130) 내에 제1 금속 층(M1)이 형성될 수 있다. 제3 층간 절연막(130) 상에 제4 층간 절연막(140)이 형성될 수 있다. 제4 층간 절연막(140) 내에 제2 금속 층(M2)이 형성될 수 있다.A third interlayer insulating layer 130 may be formed on the active contacts AC and the gate contacts GC. A first metal layer M1 may be formed in the third interlayer insulating film 130. A fourth interlayer insulating film 140 may be formed on the third interlayer insulating film 130. A second metal layer M2 may be formed in the fourth interlayer insulating film 140.

이상, 첨부된 도면들을 참조하여 본 발명의 실시 예들을 설명하였지만, 본 발명은 그 기술적 사상이나 필수적인 특징을 변경하지 않고서 다른 구체적인 형태로 실시될 수도 있다. 그러므로 이상에서 기술한 실시예들에는 모든 면에서 예시적인 것이며 한정적이 아닌 것으로 이해해야 한다.Although embodiments of the present invention have been described above with reference to the attached drawings, the present invention may be implemented in other specific forms without changing the technical idea or essential features. Therefore, the embodiments described above should be understood as illustrative in all respects and not restrictive.

Claims (10)

기판 상에 적층 패턴을 형성하는 것, 상기 적층 패턴은 서로 교번적으로 적층된 활성층들 및 희생층들을 포함하고;
상기 적층 패턴 상에 제1 방향으로 연장되는 희생 패턴을 형성하는 것;
상기 희생 패턴의 양 측벽들을 질화시켜 질화 패턴을 형성하는 것;
상기 희생 패턴을 마스크로 상기 적층 패턴을 식각하여 상기 적층 패턴 내에 리세스를 형성하는 것, 상기 활성층들은 상기 리세스에 의해 노출되는 복수개의 반도체 패턴들을 포함하고;
상기 리세스를 채우는 소스/드레인 패턴을 형성하는 것;
상기 희생 패턴, 상기 질화 패턴 및 상기 희생층들을 제거하여, 상기 복수개의 반도체 패턴들을 노출하는 것;
노출된 상기 복수개의 반도체 패턴들 상에 게이트 절연막을 형성하는 것; 및
상기 게이트 절연막 상에 게이트 전극을 형성하는 것을 포함하되,
상기 질화 패턴의 상부는 제2 방향으로 제1 폭을 갖고,
상기 질화 패턴의 하부는 상기 제2 방향으로 제2 폭을 갖고,
상기 제2 폭은 상기 제1 폭보다 큰 반도체 소자의 제조 방법.
Forming a stacking pattern on a substrate, the stacking pattern including active layers and sacrificial layers alternately stacked with each other;
forming a sacrificial pattern extending in a first direction on the stacked pattern;
forming a nitrided pattern by nitriding both side walls of the sacrificial pattern;
etching the stacked pattern using the sacrificial pattern as a mask to form a recess in the stacked pattern, wherein the active layers include a plurality of semiconductor patterns exposed by the recess;
forming a source/drain pattern filling the recess;
removing the sacrificial pattern, the nitride pattern, and the sacrificial layers to expose the plurality of semiconductor patterns;
forming a gate insulating film on the exposed plurality of semiconductor patterns; and
Including forming a gate electrode on the gate insulating film,
The upper portion of the nitrided pattern has a first width in a second direction,
A lower portion of the nitrided pattern has a second width in the second direction,
A method of manufacturing a semiconductor device wherein the second width is greater than the first width.
제1 항에 있어서,
상기 제2 폭은 상기 제1 폭의 1.5배 내지 3배인 반도체 소자의 제조 방법.
According to claim 1,
The method of manufacturing a semiconductor device wherein the second width is 1.5 to 3 times the first width.
제1 항에 있어서,
상기 질화 패턴의 폭은 상부에서 하부으로 갈수록 증가하는 반도체 소자의 제조 방법.
According to claim 1,
A method of manufacturing a semiconductor device in which the width of the nitride pattern increases from top to bottom.
제1 항에 있어서,
상기 질화 패턴은 상부에서 하부로 갈수록 질소의 농도가 증가하는 반도체 소자의 제조 방법.
According to claim 1,
A method of manufacturing a semiconductor device in which the nitrogen concentration of the nitride pattern increases from top to bottom.
제1 항에 있어서,
상기 질화 패턴을 형성하는 것은:
상기 희생 패턴의 양 측벽들의 표면을 산화시키는 것; 및
상기 산화된 표면에 질소를 증착하여 실리콘 나이트라이드를 형성하는 것을 포함하는 반도체 소자의 제조 방법.
According to claim 1,
Forming the nitrided pattern is:
oxidizing the surfaces of both side walls of the sacrificial pattern; and
A method of manufacturing a semiconductor device comprising forming silicon nitride by depositing nitrogen on the oxidized surface.
제1 항에 있어서,
상기 희생 패턴은 상기 제2 방향으로 제3 폭을 가지고,
상기 제3 폭은 상기 기판과 가까워질수록 감소하는 반도체 소자의 제조 방법.
According to claim 1,
the sacrificial pattern has a third width in the second direction,
A method of manufacturing a semiconductor device wherein the third width decreases as it gets closer to the substrate.
제1 항에 있어서,
상기 질화 패턴을 형성하는 것 이후에, 상기 질화 패턴 상에 게이트 스페이서를 형성하는 것을 더 포함하는 반도체 소자의 제조 방법.
According to claim 1,
After forming the nitride pattern, the method of manufacturing a semiconductor device further includes forming a gate spacer on the nitride pattern.
제1 항에 있어서,
상기 희생 패턴을 제거하여 외측 영역을 형성하되,
상기 외측 영역은 상기 제2 방향으로 제4 폭을 가지며,
상기 제4 폭이 일정한 반도체 소자의 제조 방법.
According to claim 1,
The sacrificial pattern is removed to form an outer region,
the outer region has a fourth width in the second direction,
The fourth method of manufacturing a semiconductor device having a constant width.
제1 항에 있어서,
상기 기판은 제1 로직 셀 및 제2 로직 셀을 포함하고,
상기 제2 로직 셀은 상기 제1 로직 셀과 상기 제1 방향으로 인접하고,
상기 제2 로직 셀과 상기 제1 로직 셀의 경계에 게이트 커팅 패턴을 형성하는 것을 더 포함하는 반도체 소자의 제조 방법.
According to claim 1,
The substrate includes a first logic cell and a second logic cell,
The second logic cell is adjacent to the first logic cell in the first direction,
A method of manufacturing a semiconductor device further comprising forming a gate cutting pattern at a boundary between the second logic cell and the first logic cell.
제1 항에 있어서,
상기 질화 패턴이 소자 분리막 및 마스트 패턴 상에도 형성되는 반도체 소자의 제조 방법.
According to claim 1,
A method of manufacturing a semiconductor device in which the nitride pattern is also formed on the device isolation layer and the mast pattern.
KR1020220165885A 2022-12-01 2022-12-01 Method for manufacturing the same KR20240082018A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020220165885A KR20240082018A (en) 2022-12-01 2022-12-01 Method for manufacturing the same

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020220165885A KR20240082018A (en) 2022-12-01 2022-12-01 Method for manufacturing the same

Publications (1)

Publication Number Publication Date
KR20240082018A true KR20240082018A (en) 2024-06-10

Family

ID=91482282

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020220165885A KR20240082018A (en) 2022-12-01 2022-12-01 Method for manufacturing the same

Country Status (1)

Country Link
KR (1) KR20240082018A (en)

Similar Documents

Publication Publication Date Title
KR20220077273A (en) Semiconductor device
US11810957B2 (en) Semiconductor device
TW202249183A (en) Semiconductor device
KR20240000949A (en) Semiconductor device and method for manufacturing the same
KR20230127800A (en) Semiconductor device
KR20240011961A (en) Semiconductor device and method for manufacturing the same
KR20230041108A (en) Semiconductor device
KR20230115804A (en) Semiconductor memory device
KR20230104444A (en) Semiconductor device
KR20230013679A (en) Semiconductor device and method for manufacturing the same
KR20240082018A (en) Method for manufacturing the same
EP4401122A1 (en) Semiconductor device and a method of manufacturing the same
EP4432340A1 (en) Semiconductor device and method of fabricating the same
EP4174955A1 (en) Semiconductor device and method of fabricating the same
KR20230016759A (en) Semiconductor device
KR20240091581A (en) Semiconductor device and method for manufacturing the same
KR20240069360A (en) Semiconductor device and method for manufacturing the same
KR20240057932A (en) Semiconductor device
KR20220133356A (en) Semiconductor device and method for manufacturing the same
KR20230161174A (en) Semiconductor device
KR20230174636A (en) Semiconductor device
KR20240045800A (en) Semiconductor device and method for manufacturing the same
KR20230033120A (en) Semiconductor device
KR20230111867A (en) Semiconductor device and method for manufacturing the same
KR20230000485A (en) Semiconductor device and method for manufacturing the same