KR20240062997A - 포토레지스트 접착 층 특성을 조정하기 위한 방법 및 시스템 - Google Patents

포토레지스트 접착 층 특성을 조정하기 위한 방법 및 시스템 Download PDF

Info

Publication number
KR20240062997A
KR20240062997A KR1020230145386A KR20230145386A KR20240062997A KR 20240062997 A KR20240062997 A KR 20240062997A KR 1020230145386 A KR1020230145386 A KR 1020230145386A KR 20230145386 A KR20230145386 A KR 20230145386A KR 20240062997 A KR20240062997 A KR 20240062997A
Authority
KR
South Korea
Prior art keywords
photoresist
silicon
adhesive layer
forming
reaction chamber
Prior art date
Application number
KR1020230145386A
Other languages
English (en)
Inventor
제롬 사무엘 니콜라스
판용 란
아폰수 주앙 히카르두 안투느스
이팅 선
Original Assignee
에이에스엠 아이피 홀딩 비.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠 아이피 홀딩 비.브이. filed Critical 에이에스엠 아이피 홀딩 비.브이.
Publication of KR20240062997A publication Critical patent/KR20240062997A/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02304Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment formation of intermediate layers, e.g. buffer layers, layers to improve adhesion, lattice match or diffusion barriers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/167Coating processes; Apparatus therefor from the gas phase, by plasma deposition
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0042Photosensitive materials with inorganic or organometallic light-sensitive compounds not otherwise provided for, e.g. inorganic resists
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/11Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers having cover layers or intermediate layers, e.g. subbing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Plasma & Fusion (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Formation Of Insulating Films (AREA)
  • Electromagnetism (AREA)
  • Architecture (AREA)
  • Structural Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

포토레지스트 언더레이어와 접착 층을 포함한 구조체, 및 포토레지스트 언더레이어와 접착 층을 포함한 구조체를 형성하는 방법이 개시된다. 예시적인 방법은, 포토레지스트 언더레이어를 형성하는 단계, 및 주기적 증착 공정을 사용하여 접착 층을 형성하는 단계를 포함한다. 접착 층은 포토레지스트 언더레이어를 형성하는 데 사용된 것과 동일한 반응 챔버 내에 형성될 수 있다. 접착 층의 특성은 선택된 포토레지스트에 기초하여 하나 이상의 공정 조건을 변화시킴으로써 조정될 수 있다.

Description

포토레지스트 접착층 특성을 조정하는 방법 및 시스템{METHOD AND SYSTEM FOR TUNING PHOTORESIST ADHESION LAYER PROPERTIES}
본 개시는 일반적으로 포토레지스트 패터닝 기술을 사용하여 구조체를 형성하는 방법에 관한 것이다. 보다 구체적으로, 본 개시는 포토레지스트 언더레이어를 사용하여 형성되거나 이를 포함한 구조체를 형성하는 방법 및 이러한 방법을 사용하여 형성된 구조체에 관한 것이다.
전자 소자의 제조 중에, 기판 표면을 패터닝하고, 예를 들어 기상 식각 공정을 이용하여 기판 표면으로부터 재료를 식각함으로써, 미세 패턴의 특징부가 기판의 표면 상에 형성될 수 있다. 기판 상의 소자 밀도가 증가함에 따라, 더 작은 치수를 갖는 특징부를 형성하는 것이 점점 더 바람직하다.
포토레지스트는 식각에 앞서 기판의 표면을 패터닝하는 데 자주 사용된다. 기판 표면에 포토레지스트 층을 도포하고, 포토레지스트의 표면을 마스킹하고, 포토레지스트의 비 마스킹 부분을 자외선 광과 같은 복사선에 노광시키고, 포토레지스트의 일부(예, 비 마스킹 또는 마스킹 부분)를 제거하면서 포토레지스트의 일부를 기판 표면 상에 남기는 것에 의해서, 포토레지스트에 패턴을 형성할 수 있다.
최근에, 극자외선(EUV) 파장을 사용하여 비교적 작은 패턴 특징부(예, 10 nm 이하)를 갖는 패턴을 현상하기 위한 기술이 개발되었다. EUV를 사용하여 기판 상에 특징부를 형성하기 위해, 포토레지스트 언더레이어가 자주 기판의 표면 상에 증착되고, 그 다음 EUV 포토레지스트 층이 포토레지스트 언더레이어 위에 증착된다. 포토레지스트 언더레이어는 포토레지스트와 하드 마스크 재료 사이의 식각 선택도를 용이하게 할 수 있고, 하드 마스크 재료에 대한 포토레지스트의 접착을 촉진할 수 있다.
전자 소자의 제조 중에 형성된 특징부의 임계 치수가 계속 감소함에 따라, 포토레지스트 언더레이어 및 포토레지스트가 원하는 접착을 나타내는 것이 점점 더 바람직해지고 도전적이 된다. 포토레지스트 언더레이어와 포토레지스트 사이의 접착은 포토레지스트의 유형 및 조성을 포함하여 다양한 인자에 따라 달라질 수 있다.
포토레지스트와 포토레지스트 언더레이어 사이의 접착을 증가시키기 위한 다양한 기술은 프라이머 또는 브러시를 사용하여 포토레지스트 언더레이어의 표면을 기능화하는 것을 포함한다. 이들 엑시츄 기술은 일부 응용에 대해 작동할 수 있지만, 이러한 기술은 어닐링 또는 가열과 같은 추가 단계를 요구할 수 있고, 특정 포토레지스트에 특이적일 수 있다. 이러한 기술은 일반적으로 다양한 포토레지스트와 함께 사용하기 위해 조정 불가하다. 따라서, 포토레지스트 언더레이어 또는 그 위에 접착 층의 특성을 조정하기 위한 개선된 방법 및 이러한 방법을 사용하여 형성된 구조체가 요구된다.
이 부분에서 진술된 문제점 및 해결책에 대한 임의의 논의는 단지 본 개시에 대한 맥락을 제공하는 목적으로 본 개시에 포함되었고, 그 논의의 일부 또는 전부가 본 발명이 이루어진 당시에 알려졌다는 것을 인정하는 것으로 받아들여져서는 안 된다.
본 개시의 다양한 구현예는, 포토레지스트 언더레이어를 포함한 구조체를 형성하기 위한 방법에 관한 것이다. 본 개시의 다양한 구현예가 이전 방법 및 구조체의 문제점을 해결하는 방식은 이하에서 보다 상세히 논의되면서, 일반적으로 본 개시의 다양한 구현예는 포토레지스트 언더레이어 위에 접착 층을 형성하는 단계를 포함한 방법을 제공한다. 이하에서 더욱 상세히 설명되는 바와 같이, 접착 층의 형성 동안 다양한 공정 조건을 조작해 접착 층의 특성을 조정하여 포토레지스트와 포토레지스트 언더레이어 사이에 원하는 접착을 얻을 수 있다.
본 개시의 예시적인 추가 구현예에 따라, 포토레지스트 언더레이어를 포함한 구조체를 형성하는 방법이 제공된다. 예시적인 방법은, 반응 챔버 내에 기판을 제공하는 단계, 기판의 표면 상에 포토레지스트 언더레이어(본원에서 종종 단순히 언더레이어로 지칭됨)를 형성하는 단계, 접착 층 상에 증착할 포토레지스트를 선택하는 단계, 선택된 포토레지스트에 기초하여 접착 층의 특성을 조정하기 위해 접착 층을 형성하는 단계 동안에 사용하기 위한 공정 조건을 조작하는 단계, 및 언더레이어의 표면 상에 접착 층을 형성하는 단계를 포함한다. 접착 층은, 포토레지스트 언더레이어와 포토레지스트 층 둘 모두와 접촉하여 그 사이에 개재될 수 있다. 본 개시의 예시는 접착 층 위에 (예를 들어, EUV) 포토레지스트 층을 형성하는 단계를 추가로 포함할 수 있다. 접착 층은 주기적 증착 공정을 사용하여 형성될 수 있다. 주기적 증착 공정은, 실리콘 전구체를 반응 챔버에 제공하는 단계, 무산소(예, 반응 챔버 내에 불활성 반응물)를 제공하는 단계, 및 무산소 반응물을 사용한 플라즈마를 형성하여, 실리콘 전구체 또는 이의 유도체와 반응하여 접착 층을 형성하는, 활성화 종을 형성하는 단계를 포함할 수 있다. 포토레지스트 언더레이어는 실리콘 및 금속 중 하나 이상을 포함할 수 있다. 예를 들어, 포토레지스트 언더레이어는 실리콘 산화물, 실리콘 옥시카바이드, 실리콘 질화물, 실리콘 옥시나이트라이드, 실리콘 탄소 질화물, 실리콘 산소 탄소 질화물, 금속 산화물, 금속 질화물, 금속 옥시카바이드, 금속 옥시나이트라이드, 금속 산소 탄소 질화물, 및 금속 탄소 질화물 중 하나 이상을 포함할 수 있다. 포토레지스트 언더레이어가 실리콘을 포함할 경우, 동일하거나 상이한 실리콘 전구체가 포토레지스트 언더레이어와 접착 층을 형성하는 데 사용될 수 있다.
추가 예시에 따라, 포토레지스트 언더레이어는 또한 주기적 증착 공정, 예컨대 플라즈마 강화 주기적(예, 원자층) 증착 공정과 써멀 주기적 증착 공정 중 하나 이상을 사용하여 형성될 수 있다. 포토레지스트 언더레이어는 10 nm 미만 또는 5 nm 미만의 두께를 가질 수 있다. 포토레지스트 층은, 예를 들어 극자외선(EUV) 리소그래피 포지 또는 네가 포토레지스트일 수 있거나 이를 포함할 수 있다.
본 개시의 또 다른 추가 예시적 구현예에 따라, 포토레지스트 언더레이어와 접착 층을 포함하는 구조체가 제공된다. 포토레지스트 언더레이어 및/또는 접착 층은, 본원에서 설명하는 바와 같은 방법을 사용하여 형성될 수 있다. 포토레지스트 언더레이어는, 예를 들어 금속 및/또는 실리콘을 포함한 층을 포함할 수 있다. 접착 층은 실리콘을 포함할 수 있다. 접착 층은, 본원에 설명된 표면 에너지 조정 특성을 가질 수 있다. 예시적인 구조체는 포토레지스트 층, 예컨대 네가 또는 포지 EUV 포토레지스트를 또한 포함할 수 있다.
본 개시의 추가 예시에 따라, 접착 층을 형성하기 위한 시스템이 제공된다. 예시적인 시스템은 반응 챔버, 반응 챔버에 유체 결합되는 실리콘 전구체 공급원, 반응 챔버에 유체 결합되는 불활성 가스 공급원, 및 본원에 설명된 방법 또는 이의 일부를 수행하도록 구성된 제어기를 포함한다.
본 발명은 개시된 임의의 특정 구현예(들)에 제한되지 않으며, 이들 및 다른 구현예는 첨부된 도면을 참조하는 특정 구현예의 다음의 상세한 설명으로부터 당업자에게 쉽게 분명해질 것이다.
다음의 예시적인 도면과 연관하여 고려되는 경우에 발명의 상세한 설명 및 청구범위를 참조함으로써, 본 개시의 예시적인 구현예에 대해 더욱 완전한 이해를 얻을 수 있다.
도 1은 본 개시의 예시적 구현예에 따른 방법을 나타낸다.
도 2는 본 개시의 예시적 구현예에 따른 방법을 나타낸다.
도 3은 본 개시의 예시적 구현예에 따른 구조체를 나타낸다.
도 4는 본원에서 설명하는 바와 같은 방법을 실행하도록 구성된 시스템을 나타낸다.
도 5는 본 개시의 또 다른 추가 예시에 따른 예시적 반응기 시스템을 나타낸다.
도면의 요소는 간략하고 명료하게 도시되어 있으며, 반드시 축적대로 도시되지 않았음을 이해할 것이다. 예를 들어, 본 개시에서 예시된 구현예의 이해를 돕기 위해 도면 중 일부 구성 요소의 치수는 다른 구성 요소에 비해 과장될 수 있다.
특정 구현예 및 실시예가 아래에 개시되었지만, 본 발명이 구체적으로 개시된 구현예 및/또는 본 발명의 용도 및 이들의 명백한 변형물 및 균등물을 넘어 확장된다는 것을 이해할 것이다. 따라서, 개시된 발명의 범주는 후술되는 구체적인 개시된 구현예에 의해 제한되지 않도록 의도된다.
본 개시는 일반적으로 포토레지스트 언더레이어와 접착 층을 포함한 구조체를 형성하는 방법, 및 포토레지스트 언더레이어와 접착 층을 포함한 구조체에 관한 것이다. 이하에서 더욱 상세히 설명되는 바와 같이, 예시적인 방법은 포토레지스트 언더레이어 및 원하는 특성을 갖는 접착층 을 갖는 구조체를 형성하기 위해 사용될 수 있다. 보다 구체적으로, 본 개시의 예시는 언더레이어와 포토레지스트 사이에 원하는 접착을 얻기 위해 접착 층의 원하는 특성을 조정하기 위한 기술을 제공한다. 이하에서 더욱 상세히 설명되는 바와 같이, 조성물 및 표면 자유 에너지(SFE)와 같은 접착 층의 다양한 특성은, 접착 층을 형성하는 단계 동안 하나 이상의 공정 파라미터를 조작하는 것으로 조정될 수 있다.
본원에서 사용되는 바와 같이, 용어 "기판"은 하나 이상의 층이 그 위에 증착될 수 있거나 이를 포함한 임의의 하부 재료(들)를 지칭할 수 있다. 기판은 실리콘(예, 단결정 실리콘), 게르마늄과 같은 다른 IV족 재료, GaAs와 같은 화합물 반도체 재료와 같은 벌크 재료를 포함할 수 있고, 벌크 재료 위에 놓이거나 그 아래에 놓인 하나 이상의 층을 포함할 수 있다. 예를 들어, 기판은 벌크 재료 위에 놓인 여러 층의 패터닝 적층체를 포함할 수 있다. 패터닝 적층체는 응용 분야에 따라 변할 수 있다. 또한, 기판은, 추가적으로 또는 대안적으로 기판의 층의 적어도 일부 내에 또는 그 위에 형성된 다양한 특징부, 예컨대 리세스, 라인 등을 포함할 수 있다.
일부 구현예에서, "막"은 두께 방향에 수직인 방향으로 연장되는 층을 지칭한다. 일부 구현예에서, "층"은 표면에 형성된 특정 두께를 갖는 재료를 지칭하거나, 막 또는 막이 아닌 구조체의 동의어를 지칭한다. 막 또는 층은 특정 특성을 갖는 별개의 단일막 또는 층, 또는 다수의 막 또는 층으로 구성될 수 있고, 인접하는 막 또는 층 사이의 경계는 명확하거나 그렇지 않을 수 있으며, 물리적, 화학적, 및/또는 임의의 특성, 형성 공정 및 시퀀스, 및/또는 인접하는 막 또는 층의 기능 또는 목적에 기반하여 구축되거나 되지 않을 수 있다. 또한, 층 또는 막은 연속적이거나 불연속적일 수 있다.
본 개시에서, 연속적으로 또는 연속적인 또는 연속으로는, 진공 파괴가 없으며, 시간적으로 중단이 없고, 임의의 재료의 개입 단계가 없으며, 다음 단계로서 그 직후에 처리 조건의 변경이 없고, 또는 일부 구현예에서 그리고 문맥에 따라 두 개의 구조체 사이에 두 개의 구조체 이외의 분리된 물리적 또는 화학적 구조체가 개입하지 않음을 지칭할 수 있다.
본 개시에서, "가스"는 정상 온도 및 압력에서 가스, 증기화된 고체 및/또는 증기화된 액체인 재료를 포함할 수 있으며, 맥락에 따라 단일 가스 또는 가스 혼합물로 구성될 수 있다. 공정 가스 이외의 가스, 즉 샤워헤드, 다른 가스 분배 장치 등과 같은 가스 분배 어셈블리를 통과하지 않고 유입되는 가스는, 예를 들어 반응 공간을 밀폐하기 위해 사용될 수 있고, 희귀 가스와 같은 밀폐 가스를 포함할 수 있다.
일부 경우에서, 예컨대 재료의 증착 맥락에서, 용어 "전구체"는 다른 화합물을 생성하는 화학 반응에 참여하는 화합물을 지칭할 수 있고, 특히 막 매트릭스 또는 막의 주 골격을 구성하는 화합물을 지칭할 수 있는 반면, 용어 "반응물"은 일부 경우에서 전구체 이외의 화합물을 지칭할 수 있데, 이는 전구체를 활성화시키거나, 전구체를 개질하거나, 전구체의 반응을 촉진시키고, 반응물은 (O, N, C와 같은) 원소를 막 매트릭스에 제공할 수 있고, 막 매트릭스의 일부가 될 수 있다. 일부 경우에, 반응물은, 예를 들어 플라즈마를 경유해 활성화된 불활성 가스를 포함할 수 있다. 일부 경우에서, 용어 전구체 및 반응물은 상호 교환적으로 사용될 수 있다. 용어 "불활성 가스"는 상당한 정도로 화학 반응에 참여하지 않고/않거나 예를 들어 RF 또는 마이크로파 전력이 인가될 경우 전구체를 여기시키는 가스를 지칭하나, 반응물과는 달리 상당한 정도로 막 매트릭스의 일부가 될 수 없다.
용어 "주기적 증착 공정" 또는 "순환 증착 공정"은 반응 챔버 내로 전구체(및/또는 반응물)를 순차적으로 도입시키거나 활성화 종을 형성하기 위해 플라즈마 전력을 펄스화시켜 기판 위에 층을 증착하는 것을 지칭할 수 있으며 원자층 증착(ALD) 및 주기적 화학 기상 증착(주기적 CVD), 및 ALD 성분과 주기적 CVD 성분을 포함한 하이브리드 주기적 증착 공정과 같은 처리 기술을 포함한다.
용어 "원자층 증착"은 기상 증착 공정을 지칭할 수 있고, 여기서 증착 사이클, 전형적으로 복수의 연속 증착 사이클은 공정 챔버에서 수행된다. 일반적으로, ALD 공정의 경우, 각각의 사이클 중에 전구체는 반응 챔버에 도입되고 증착 표면(예, 이전 ALD 사이클로부터 이전에 증착된 재료 또는 다른 재료를 포함할 수 있는 기판 표면) 상에 화학 흡착되고, 추가적인 전구체와 쉽게 반응하지 않는(즉, 자기 제한적 반응인) 단층 또는 서브 단층을 형성한다. 그 후, 일부 경우에서, 반응물(예, 다른 전구체 또는 반응 가스 또는 불활성 가스)을 후속해서 공정 챔버에 도입시켜 증착 표면 상에서 화학 흡착된 전구체를 원하는 재료로 전환시키는 데 사용한다. 반응물/불활성 가스는 전구체와 더 반응 또는 상호작용 할 수 있다. 하나 이상의 사이클 동안, 예를 들어 각 사이클의 각 단계 중에 또는 그 이후에 퍼지 단계를 사용하여, 공정 챔버로부터 과잉의 전구체를 제거하고/제거하거나, 공정 챔버로부터 과잉의 반응물 및/또는 반응 부산물을 제거할 수 있다. 플라즈마 강화 ALD는, 예를 들어 반응물 가스 및/또는 불활성 가스로부터 활성화 종을 형성하기 위한 플라즈마의 사용을 포함할 수 있다.
본 개시에서, 변수의 임의의 두 숫자는 변수의 실행 가능한 범위를 구성할 수 있으며, 표시된 모든 범위는 엔드포인트를 포함하거나 제외할 수 있다. 추가적으로, 표시된 변수의 임의의 값은 ("약"의 표시 여부에 관계없이) 정확한 값 또는 대략적인 값을 지칭할 수 있고 등가를 포함할 수 있으며, 일부 구현예에서는 평균, 중간, 대표, 다수 등을 지칭할 수 있다. 또한, 본 개시에서, "포함하는", "로 구성되는" 및 "갖는" 및 이의 변형인 용어는 독립적으로 "전형적으로 또는 광범위하게 포함하는", "포함하는", "필수적으로 구성하는" 또는 "구성하는" 및 이의 변형을 지칭할 수 있다. 본 개시의 양태에 따라, 임의의 정의된 용어의 의미는 용어의 통상적이고 관습적인 의미를 반드시 배제하지 않는다.
이제 도면으로 돌아가면, 도 1은 본 개시의 예시적인 구현예에 따른 방법(100)을 나타낸다. 방법(100)은 기판을 제공하는 단계(102), 포토레지스트 언더레이어를 형성하는 단계(104), 접착 층 상에 증착할 포토레지스트를 선택하는 단계(106); 접착 층을 형성하는 단계 동안 사용할 공정 조건을 조작하는 단계(108); 접착 층을 형성하는 단계(110), 및 포토레지스트층을 형성하는 단계(112)를 포함한다.
단계(102)는 본원에 설명된 기판과 같은 기판을 제공하는 단계를 포함한다. 기판은, 식각될 하나 이상의 재료 층을 포함한 하나 이상의 층을 포함할 수 있다. 예로서, 기판은 식각될 비정질 탄소 층, 자연 산화물, 또는 증착 산화물을 포함할 수 있다. 기판은 식각될 재료 층(들)의 아래에 놓인 여러 층을 포함할 수 있다.
기판을 반응 챔버 내에 제공한다. 반응 챔버는, 예를 들어 플라즈마 강화 반응기 시스템의 반응 챔버일 수 있다. 단계(102)와 사용하기에 적절한 예시적인 반응 챔버는, 도 4 및 도 5와 연관하여 아래에 보다 상세히 논의된다.
단계(104) 동안, 포토레지스트 언더레이어가 기판의 표면 상에 형성된다. 포토레지스트 언더레이어는, 스핀-온, 화학 기상 증착, 및 주기적 공정 기술을 포함한 다양한 기술을 사용하여 형성될 수 있다. 플라즈마 증착 재료는, 종래의 스핀-온-글라스(SoG)와 비교하면, 플라즈마 증착 재료의 비교적 낮은 두께 변동 및 더 낮은 건식 식각 속도를 갖는 비교적 얇은 층을 증착하는 능력으로 인해, 포토레지스트 언더레이어 재료에 대한 유망한 후보로서 식별되었다.
방법(100)의 예시적인 양태에 따라, 포토레지스트 언더레이어는 ALD 공정 같은 주기적 증착 공정-예를 들어 PEALD를 사용하여 단계(104) 동안 형성된다. 주기적 증착 공정은 직접식 플라즈마 및 원격식 플라즈마 중 하나 이상을 사용하여 형성된 활성 종(예, 전구체(들), 반응물(들), 및/또는 불활성 가스(들) 중 하나 이상으로부터 형성됨)의 사용을 포함할 수 있다. 대안적으로, 단계(104)는 써멀 주기적 증착 공정을 포함할 수 있다. 주기적 증착 공정을 사용하는 것이 바람직할 수 있는데, 그 이유는 이들 공정이 원하는 두께-예를 들어 10 nm 미만 또는 약 5 nm 이하를 갖는 포토레지스트 언더레이어를 형성시키며, 기판 내 및 기판 대 기판 모두에서 두께 균일성이 개선된다. 플라즈마 강화 공정을 사용하는 것이 바람직할 수 있는데, 그 이유는 플라즈마 강화 공정이 써멀 공정과 비교하면 비교적 낮은 온도 및/또는 비교적 높은 속도로 포토레지스트 언더레이어 재료의 증착을 허용하기 때문이다.
본 개시의 예시에 따라, 단계(104) 동안 반응 챔버 내의 온도는 500℃ 미만, 400℃ 미만, 300℃ 미만 또는 약 50℃내지 약 150℃, 또는 약 150℃ 내지 약 300℃일 수 있다. 단계(104) 동안 반응 챔버 내의 압력은 약 1 토르 내지 약 100 토르, 약 3 토르 내지 약 50 토르, 또는 약 5 토르 내지 약 20 토르일 수 있다.
본 개시의 예시적인 구현예에 따라, 단계(104)는 실리콘 또는 금속 산화물, 실리콘 또는 금속 질화물, 및 실리콘 또는 금속 옥시나이트라이드 중 하나 이상을 형성하거나 증착하는 단계를 포함한다. 이러한 산화물, 질화물 및/또는 옥시나이트라이드 또한 탄소를 포함할 수 있다.
포토레지스트 언더레이어는, 예를 들어 실리콘 산화물, 실리콘 옥시카바이드, 실리콘 질화물, 실리콘 옥시나이트라이드, 실리콘 탄소 질화물, 실리콘 산소 탄소 질화물, 금속 산화물, 금속 질화물, 금속 옥시카바이드, 금속 옥시나이트라이드, 금속 산소 탄소 질화물, 및 금속 탄소 질화물 중 하나 이상을 포함할 수 있다. 금속은, 예를 들어 티타늄, 탄탈륨, 텅스텐, 주석, 및 하프늄으로 이루어진 군으로부터 선택된 하나 이상의 금속을 포함할 수 있다. 일부 경우에, 포토레지스트 언더레이어는 탄소를 포함할 수 있다. 탄소는, 포토레지스트 언더레이어가 증착되고/증착되거나 탄소 처리가 포토레지스트 언더레이어의 표면에 적용될 수 있을 시, 포토레지스트 언더레이어로 혼입될 수 있다. 추가적으로 또는 대안적으로, 탄소 함유 층 또는 다른 층이 포토레지스트의 언더레이어의 표면 상에 증착될 수 있다. 포토레지스트 언더레이어 두께는 10 nm 미만 또는 5 nm 미만, 또는 3 초과 10 nm 미만의 두께를 가질 수 있다.
단계(104)에 적합한 포토레지스트 언더레이어를 형성하기 위한 주기적 공정이 도 2에 나타나 있다(서브 사이클 x). 서브 사이클 x는, 금속 또는 실리콘을 포함한 제1 전구체를 반응 챔버 내로 펄스화하는 단계(202), 반응물을 제공하는 단계(203), 및 예를 들어 플라즈마 전력을 제공(예, 펄스화)함으로써 활성화 종을 형성하는 단계(206)를 포함할 수 있다. 서브사이클 x는 또한 하나 이상의 퍼지 단계(204, 208)를 포함할 수 있다. 서브 사이클 x는, 예를 들어 방법(100)이 단계(110)로 진행하기 전에 약 10 내지 약 50 또는 약 100 내지 약 200회 반복될 수 있다.
각각의 퍼지 단계(204, 208)는, 예를 들어 불활성 가스 및/또는 반응물(예, 반응물을 플라즈마 활성화 없이)을 반응 챔버에 공급하고/공급하거나 진공원을 사용함으로써 수행될 수 있다.
일부 경우에, 반응물은 도 2에 나타낸 바와 같이 단계(202-208) 중 하나 이상(예, 모두) 동안에 연속적으로 제공될 수 있다.
본 개시의 예시적인 양태에 따라, 단계(202) 동안 실리콘을 포함한 제1 전구체가 제공된다. 일부 경우에, 실리콘 전구체는 탄소를 또한 포함할 수도 있다. 포토레지스트 언더레이어를 형성하는데 사용하기에 적합한 예시적인 실리콘 전구체는 실리콘, 산소, 탄소, 및 선택적으로 질소를 포함한 분자를 포함한다. 분자는 다음 화학식으로 나타낼 수 있다: SiaCbOcHdNe, 여기서 a는 적어도 1 내지 최대 2 또는 0 내지 최대 5의 정수이고, b는 적어도 0 또는 5 내지 최대 14의 정수이고, c는 적어도 0 또는 2 내지 최대 4의 정수이고, d는 적어도 0 또는 12 내지 최대 30의 정수이고, e는 적어도 0 내지 최대 2 또는 4의 정수이다.
예시적인 실리콘 전구체는 (i) 하나 또는 두 개의 실리콘-산소 결합, (ii) 하나 또는 두 개의 실리콘-탄소 결합, 또는 (iii) 하나의 탄소-탄소 이중 결합, 및/또는 삼중 결합 중 하나 이상을 추가적으로로 포함할 수 있다. 일부 경우에, 분자는 두 개의 실리콘-산소 결합 및 두 개의 실리콘-탄소 결합을 포함한다. 일부 경우에, 분자는 실리콘-질소 결합을 포함할 수 있다.
추가 예시에 따르면, 분자는 다음으로 이루어진 군으로부터 선택된 골격 구조를 포함한다:
각각의 말단기는 화학식 SiwCxOyHzNz1로 표시되는 기로 치환될 수 있고, 여기서 w, x, y, z 및 z1은 0 이상일 수 있고, 분자 구조는 단일, 이중 및/또는 삼중 결합을 포함한다. 예로서, w는 0 내지 5의 범위일 수 있고, x는 0 내지 14의 범위일 수 있고, y는 0 내지 4의 범위일 수 있고, z는 0 내지 30의 범위일 수 있고, z1은 0 내지 4의 범위일 수 있다.
특정 예로서, 상기 실리콘 전구체는 N-[디메톡시(프로판-2-일)실릴]-N-메틸메탄아민, N-[에틸(디메톡시)실릴]-N-메틸메탄아민, 디이소부틸디메톡시실란, 디메톡시디에틸실란, 디메톡시메틸비닐실란, 비스(메틸디메톡시실릴)메탄, 1,2-비스(메틸디에톡시실릴)에탄, 트리메톡시(3-메톡시프로필)실란, 메틸트리메톡시실란, 테트라메틸시클로테트라실록산, 폴리메티실세스퀴옥산, 디에톡시-메틸-실란, 디메틸-디옥시라닐-실란, 디에톡시-메틸-옥시라닐-실란, 트리메틸-트리비닐시클로트리실록산, 데카메틸-시클로펜타실록산 및 디에톡시-메틸-실란, 또는 기타 중 하나 이상이거나 이를 포함할 수 있고.
본 개시의 예시적인 다른 양태에 따라, 제1 전구체는 금속을 포함한다. 이들 경우에, 제1 전구체는 전이 금속, 예컨대 티타늄, 탄탈륨, 텅스텐, 주석 및 하프늄으로 이루어진 군으로부터 선택된 하나 이상의 금속을 포함할 수 있다. 금속을 포함한 제1 전구체는, 또한 탄소-예를 들어, 금속 원자에 직접 또는 간접 결합된 하나 이상의 유기 기를 포함할 수 있다. 특정 예로서, 금속을 포함한 제1 전구체는, 금속 할라이드 또는 금속 유기 화합물, 또는 유기금속 화합물, 예컨대 테트라키스(디메틸아미노)티타늄(TDMAT), 티타늄 이소프로폭시드(TTIP), 티타늄 염화물(TiCl), 테트라키스(에틸메틸아미노)하프늄(TEMAHf), 하프늄클로라이드(HfCl), 트리메틸알루미늄(TMA), 트리에틸알루미늄(TEA), 다른 금속 할라이드, 또는 기타 금속 함유 화합물을 포함할 수 있다.
반응물은 산화 반응물, 질화 반응물, 불활성 가스, 및/또는 환원제, 예컨대 수소 함유 반응물을 포함할 수 있다. 산화 및/또는 질화 반응물은, 산소 및 질소 중 하나 이상을 포함한 반응물을 포함할 수 있다. 일부 경우에, 반응물은 질소와 산소를 모두 포함할 수 있다. 그리고, 일부 경우에, 두 개 이상의 산화 및/또는 질화 반응물은 단일 펄스에 포함될 수 있다. 예시적인 산화 및 질화제는 산소(O2), 물(H2O), 오존(O3), 과산화수소(H2O2), 암모니아(NH3), 디아젠(N2H2), 이산화탄소(CO2), 아산화질소(N2O)를 포함하고, 예시적인 수소 함유 반응물은 수소(H2) 등을 포함한다. 특정 예시로서, 반응물은 산화제(예, O2) 및 아르곤과 같은 불활성 가스를 포함한 가스를 포함할 수 있다. 예시적인 반응물은, 예를 들어 약 10 내지 약 70 부피%의 산화제 및/또는 약 30 내지 약 90 부피%의 불활성 가스를 포함할 수 있다. 반응물은 단계(206) 동안 (예를 들어, 직접식) 플라즈마에 노출되어 PEALD 공정과 같은 플라즈마 보조 주기적 공정에 사용하기 위한 여기 종을 형성할 수 있다.
탄소 전구체는, 사용되는 경우에 탄소 및 산소를 포함한 화합물과 같은 임의의 적합한 유기 화합물을 포함할 수 있다. 일부 경우에, 탄소 전구체는 질소를 포함할 수도 있다. 탄소 전구체는, 예를 들어 금속 산화물의 -OH로 종결된 표면 및/또는 금속 산화물의 -NH2로 종결된 표면과 반응하도록 선택될 수 있다. 적절한 탄소 전구체의 예는 유기 화합물, 예컨대 산 무수물(예, 아세트산 무수물), 톨루엔, 디에틸렌 글리콜, 트리에틸렌 글리콜, 아세트알데히드, 및 유기 실리콘 화합물, 예컨대 실란 및 실록산 중 하나 이상을 포함한다. 예시적인 유기실리콘 화합물은 (n,n-디메틸아미노)트리메틸실란, 트리메톡시(옥타데실)실란, 헥사메틸디실라잔, 트리메톡시(3,3,3-트리플루오로프로필)실란, 트리메톡시페닐실란, 트리클로로(3,3,3-트리플루오로프로필)실란 및 헥사메틸디실라잔을 포함한다.
단계(106)에서, 단계(112) 동안 증착될 포토레지스트가 선택된다. 포토레지스트는 포지 또는 네가 포토레지스트일 수 있다. 예시로서, 포토레지스트는 유기 화학 증폭 레지스트와 같은 극UV 포토레지스트일 수 있다. 단계(106)가 단계(104) 후에 도시되어 있지만, 단계(106)는 단계(110) 이전의 임의의 단계에서 적절하게 수행될 수 있다.
일단 포토레지스트가 선택되면, 단계(110)에 대한 공정 조건은 선택된 포토레지스트에 기초하여 접착 층의 특성을 조정하도록 선택되거나 조작될 수 있다. 본 개시의 예시에 따라, 단계(106) 동안 선택된 다양한 포토레지스트를 설명하기 위해 공정 조건만이 조작된다. 일부 경우에, 전구체는 변하지 않는다. 일부 경우에, 반응물은 변하지 않는다. 조작될 수 있는 공정 조건/변수의 예시는 단계(110)와 관련하여 이하에서 더욱 상세히 논의된다.
도 1 및 도 2를 다시 참조하면, 일단 포토레지스트 언더레이어가 형성되면, 단계(110) 동안 접착 층이 형성된다. 단계(110)는, 공기 및/또는 진공 파괴 없이 단계(102, 104) 동안 사용된 동일한 반응 챔버 내에서 인시츄로 수행될 수 있다. 일부 경우에, 단계(110)는, 산소를 포함한 반응물을 반응 챔버에 제공하는 단계를 포함하지 않는다.
도 1에 나타낸 바와 같이, 단계(110)는, 실리콘 전구체를 제공하는 하위 단계(114), 무산소 반응물을 제공하는 하위 단계(116), 및 플라즈마 또는 보다 일반적으로 반응성 종을 형성하는 하위 단계(118)를 포함할 수 있다. 단계(110)는 플라즈마 강화 주기적 증착 공정(예, PEALD 공정) 같은 주기적 증착 공정을 포함할 수 있다. 예를 들어, 도 2를 참조하면, 단계(110)는, 실리콘 전구체를 반응 챔버에 펄스화하는 단계(210)(단계(114)에 해당), 상기 반응 챔버를 퍼지하는 단계(212), 무산소 반응물을 상기 반응 챔버에 제공하는 단계(211)(단계(116)에 해당), 및 활성 종/플라즈마를 형성하는 단계(214)(단계(118)에 해당)로 무산소 반응물을 사용하여 활성 종을 형성하는 단계(예, 상기 실리콘 전구체 또는 이의 유도체와 반응하여 플라즈마를 형성하여 단계(104) 동안 형성된 상기 언더레이어의 표면 상에 상기 접착 층을 형성함으로써), 및 과량의 반응성 종 및/또는 부산물을 반응 챔버로부터 퍼지하는 단계(216)를 포함할 수 있다. 나타낸 바와 같이, 서브 사이클 y는 여러 번, 예를 들어 약 10 내지 약 50, 약 150 내지 약 200 또는 약 300 또는 약 400 또는 약 70 내지 약 120회 반복될 수 있다. 단계(106) 동안의 온도와 압력은, 단계(102 및/또는 104) 동안과 동일하거나 유사할 수 있다. 접착 층의 두께는 0 초과 및 2 nm 미만일 수 있다.
단계(210) 동안, 실리콘 전구체가 반응 챔버에 제공(예, 펄스화)된다. 본 개시의 예시에 따라, 실리콘은 단계(202)와 연관하여 전술한 바와 같은 실리콘 전구체이거나 이를 포함할 수 있다. 일부 경우에, 단계(210) 동안에 사용된 실리콘 전구체는 단계(202) 동안에 사용된 동일한 실리콘 전구체일 수 있다. 일부 경우에, 단계(210) 동안 사용된 실리콘은 질소를 포함하지 않는다. 질소는 N 원자의 존재로 인한 중독 효과를 나타내는 것으로 여겨지기 때문에, N-유리 전구체는 접착 층을 형성하는 데 사용하기에 유익할 수 있다. 추가의 예시에 따라, 실리콘 전구체는, 캐리어 가스(이는 불활성 가스일 수 있거나 이를 포함할 수 있음)의 도움으로 반응 챔버에 제공될 수 있는, Si, C, H, 및 O로 구성되거나 필수적으로 구성된다. 또한, 무산소 반응물은, 언더레이어를 형성하는 단계(104) 및 접착 층을 형성하는 하나 이상의 사이클(단계(110)) 동안 연속적으로 제공될 수 있다. 단계(210/114)에 대한 예시적인 전구체 펄스 시간, 유량, 및 희석이 아래 표 1에 제공되어 있다.
단계(211/116)에서, 무산소 반응물을 반응 챔버에 제공한다. 나타낸 바와 같이, 무산소 반응물은 주기적 증착 공정의 하나 이상의 사이클 동안 단계(211) 중 연속적으로 제공될 수 있다. 무산소 가스는 아르콘, 헬륨, 네온, 크립톤, 크세논 및 수소 중 하나 이상일 수 있거나 이를 포함할 수 있다. 무산소 반응물의 유량은 약 0.006 내지 약 6 slm일 수 있고; 다른 적절한 범위가 표 1에 제공된다.
단계(212) 동안, 과량의 실리콘 전구체 및/또는 임의의 반응 부산물이 반응 챔버로부터 퍼지될 수 있다. 퍼지는, 불활성 가스 및/또는 반응물을 반응 챔버에 공급하고/공급하거나 진공원을 사용함으로써 수행될 수 있다.
또한, 단계(214/118) 동안, 무산소 가스를 사용하여 플라즈마가 형성될 수 있다. 플라즈마를 형성하기 위한 전력은, 예를 들어 300 직경의 기판에 대해, 약 30 W 내지 약 1000 W 또는 150 W 미만 또는 10 내지 150 W 또는 10 내지 400 W 또는 10 내지 1000 W일 수 있고, 다른 단면 치수의 기판에 대해 유사하게 스케일링될 수 있다. 플라즈마를 생성하기 위한 전력의 주파수는 약 200 kHz 내지 약 2.45 GHz일 수 있다. 단계(214)의 지속 시간은 4초 미만 또는 2초 미만 또는 약 0.1초 내지 약 4초 또는 약 0.1초 내지 약 2초일 수 있다.
단계(216) 동안, 반응 챔버는, 예를 들어 무산소 반응물을 사용하여 퍼지될 수 있다. 서브 사이클 y는 원하는 두께의 실리콘 기반 접착 층, 예를 들어, 0 초과 및 약 2 nm 미만의 실리콘 기반 접착 층을 형성하기 위해 여러 번 반복될 수 있다.
전술한 바와 같이, 하나 이상의 공정 조건은 단계(112) 동안 증착될 상이한 포토레지스트를 책임지기 위해 변경될 수 있다. 예시로서, 공정 조건은 반응 챔버 온도, 반응 챔버 압력, 전극 사이의 갭, 전구체 유량, 반응물 유량, 전구체 희석, 반응물 희석, 전구체 퍼지 시간, 증착 사이클의 수, 플라즈마 전력, 및 플라즈마 전력 지속 시간 중 하나 이상으로부터 선택될 수 있다. 각 공정 조건에 대한 범위의 예시가 아래에 제공된다. 달리 언급되지 않는 한, 이들 실시예는 본 발명의 범주를 제한하려는 것이 아니라, 본 개시의 실시예를 예시하기 위한 것이다. 또한, 각각의 공정 조건에 대한 범위는 임의의 조합으로 조합될 수 있다.
공정 조건 예시적 범위 1 예시적 범위 2 예시적 범위 3
온도 (°C) 5--500
압력 (Pa) 200-800 100-2000 200-2000
전극 갭 (mm) 7-15 6-18
전구체 유량(캐리어 가스 포함) (sccm) 10-6000
전구체 펄스 시간 (초) 0.01 초과 0.1-2 0.01-4
반응물 유량 (sccm) 5-100 100-6000
전구체 희석 (부피%)
반응물 희석 (부피%)
전구체 퍼지 시간 (초) 0.2-1
접착 층 증착 사이클의 수 10-50 150-200 300-400
플라즈마 전력 (W) 10-150 10-400 10-1000
플라즈마 전력 펄스 시간 (초) 4 미만 2 미만 0.1-4
본 개시에 따른 방법은, 접착 층 위에 그리고 이와 접촉하여 포토레지스트 층을 형성하는 단계(112)를 또한 포함할 수 있다. 포토레지스트는, 예를 들어 스핀-온 기술을 사용하여 증착될 수 있다. 전술한 바와 같이, 포토레지스트 층은 극자외선(EUV) 리소그래피 포지 또는 네가 포토레지스트일 수 있거나 이를 포함할 수 있다.
도 3은 본 개시의 예시적 구현예에 따른 구조체(300)를 나타낸다. 구조체(300)는, 예를 들어 방법(100 및/또는 200)을 사용하여 형성될 수 있다.
나타낸 바와 같이, 구조체(300)는 기판(302), 재료 층(304), 포토레지스트 언더레이어(306), 포토레지스트 층(308), 및 포토레지스트 언더레이어(306)과 포토레지스트 층(308) 사이에 개재되어 이와 접촉하는 접착 층(310)을 포함한다.
기판(302)은, 전술한 바와 같은 기판을 포함할 수 있다. 예로서, 기판(302)은 반도체 기판, 예컨대 실리콘(예, 단결정 실리콘), 다른 IV족 반도체 재료, III-V족 반도체 재료, 및/또는 II-VI족 반도체 재료를 포함할 수 있고, 벌크 재료 위에 놓인 하나 이상의 층(예, 패터닝된 적층체)을 포함할 수 있다. 또한, 전술한 바와 같이, 기판(302)은, 기판의 층의 적어도 일부 내에 또는 그 위에 형성된 다양한 토폴로지, 예컨대 오목부, 라인 등을 포함할 수 있다.
재료 층(304)은 본원에 설명된 바와 같은 포토레지스트 언더레이어와 포토레지스트 층을 사용하여 패터닝되고 식각될 수 있다. 재료 층(304)에 적합한 예시적인 재료는, 예를 들어 자연 산화물 또는 필드 산화물과 같은 산화물을 포함한다. 다른 예시적인 재료 층(304) 재료는, 비정질 탄소, 질화물, 기타 산화물, 실리콘, 및 부가물 막(예, 자기 조립 단층(예, 헥사메틸디실라잔(HMDS))을 포함한다.
포토레지스트 언더레이어(306)는, 본원에 설명된 방법(예, 방법(100))에 따라 형성된 포토레지스트 언더레이어를 포함할 수 있고/있거나 본원에 설명된 재료 및/또는 특성을 갖는다. 예시적인 포토레지스트 언더레이어는 실리콘 또는 금속 산화물, 실리콘 또는 금속 질화물, 및 실리콘 또는 금속 산질화물 중 하나 이상을 포함하며, 이들 중 어느 하나는 탄소를 포함하거나 포함하지 않을 수 있다. 예를 들어, 포토레지스트 언더레이어(306)는 실리콘 산화물, 실리콘 옥시카바이드, 실리콘 질화물, 실리콘 옥시나이트라이드, 실리콘 탄소 질화물, 실리콘 산소 탄소 질화물, 금속 산화물, 금속 질화물, 금속 옥시카바이드, 금속 옥시나이트라이드, 금속 산소 탄소 질화물, 및 금속 탄소 질화물 중 하나 이상을 포함할 수 있다.
포토레지스트 언더레이어(306)의 두께는, 재료 층(304)의 조성, 재료 층(304)의 두께, 포토레지스트 유형 등에 의존할 수 있다. 본 개시의 예시에 따라, 포토레지스트 언더레이어(306)는 10 nm 미만 또는 약 5 nm 미만 또는 약 3 nm 내지 약 10 nm의 두께를 갖는다. 포토레지스트 언더레이어(306)가 너무 두꺼우면, 잔류 언더레이어 재료는 식각 단계 후에 남을 수 있다. 포토레지스트 언더레이어(306)가 너무 얇으면, 포토레지스트 언더레이어(306)는 식각 공정 동안 원하는 패턴 전사를 제공하지 않을 수 있다.
접착 층(310)은 바람직하게는 본원에서 설명된 바와 같은 양호한 접착 및 다른 특성을 나타낸다. 본 개시의 예시에 따라, 접착 층(310)은 실리콘을 포함하고, 탄소, 수소 및 산소 중 하나 이상을 선택적으로 포함할 수 있다. 전술한 바와 같이, 접착 층(310)은 바람직하게는 질소를 포함하지 않을 수 있다. 일부 경우에, 예컨대 CAR 레지스트의 경우, 접착 층(310)은 바람직하게는 고 탄소 농도, 예컨대 25, 30, 45 초과, 또는 50 원자% 초과 탄소, 또는 25 내지 45 원자% 탄소를 포함할 수 있다.
포토레지스트 층(308)과 포토레지스트 언더레이어(306) 사이의 원하는 접착을 제공하기 위해, 접착 층(310)은 원하는 표면 화학 특성을 가질 수 있거나 갖도록 조정될 수 있고, 예를 들어 표면 에너지로 정량화될 수 있고, 이는 표면 에너지의 극성 부분 및 표면 에너지의 분산성 부분으로 더 구분된다. 포토레지스트 언더레이어(306)의 표면 에너지의 극성 부분 및 표면 에너지의 분산성 부분은 액체, 예컨대 물 또는 CH2I2의 접촉각을 측정함으로써 계산될 수 있고, Owens, Wendt, Rabel 및 Kaelble(OWRK) 방법을 사용하여 표면 에너지의 분산성 부분과 극성 부분을 결정한다. 동일한 특성이 포토레지스트 층(308)에 대해 측정되고 계산될 수 있다. 접착 층(310)의 두께는 0 nm 초과 및 2 nm 미만일 수 있다. 언더레이어의 두께 + 접착 층의 두께는 10 nm 미만, 5 nm 미만, 또는 0.5 nm 미만일 수 있다.
본 개시의 다양한 구현예에 따라, 접착 층(310)의 표면 에너지의 극성 부분의 값은 7 mN/m 내지 약 20 mN/m 또는 약 4 mN/m 내지 약 19 mN/m이다. 추가 예시에 따라, 접착 층(310)의 표면 에너지의 분산성 부분의 값은 약 32 mN/m 내지 약 37 mN/m, 또는 약 32 mN/m 내지 약 42 mN/m이다. 예를 들어, 포토레지스트 층(308)이 포지 포토레지스트를 포함하는 경우, 포토레지스트 언더레이어의 표면 에너지의 극성 부분의 값은 약 3 mN/m 내지 약 9 mN/m일 수 있고/있거나 상기 포토레지스트 언더레이어의 표면 에너지의 분산성 부분의 값은 약 26 mN/m 내지 약 32 mN/m일 수 있다.
예로서, 접착 층(310)을 형성하기 위해 무산소 플라즈마를 이용하면, 댕글링 결합은 잠재적으로 표면 반응성 부위로서 거동하여, 실리콘 전구체가 막 상에 도입될 경우에 화학 흡착을 초래한다. 따라서, 실리콘 전구체 구조 내의 리간드(예, CHx 리간드)는 결국 표면 상에 남아있을 수 있으며, 이는 원하는 표면 자유 에너지를 초래한다. 접착 층은 본질적으로 SiOC일 수 있으며, 표면 탄화수소로 끝나게 된다.
본 개시의 예에 따라, 표 1에 전술한 공정 조건과 같은 공정 조건은, 포토레지스트 층(308)의 SFE의 원하는 범위 내에 있도록 접착 층의 표면 자유 에너지를 변화시키도록 조작될 수 있다. 예로서, 포토레지스트 층(308)의 SFE는 접착 층의 SFE의 ± 10% 또는 ± 5%일 수 있다. 추가적으로 또는 대안적으로, 포토레지스트 층(308)의 SFE의 극성 부분은 접착 층의 SFE의 극성 부분의 ± 10% 또는 ± 5%일 수 있다. 추가적으로 또는 대안적으로, 포토레지스트 층(308)의 SFE의 분산성 부분은 접착 층의 SFE의 분산성 부분의 ± 10% 또는 ± 5%일 수 있다.
포토레지스트 층(308)은 포지 또는 네가 (예, EUV) 포토레지스트일 수 있거나 이를 포함할 수 있다.
도 4를 이제 참조하여, 본원에서 설명된 바와 같은 방법을 실행하도록 구성된 시스템(400)이 추가로 설명된다. 시스템(400)은, 본원에서 설명된 바와 같이 언더레이어를 증착하고 접착 층을 형성하도록 구성되는, 적어도 하나의 반응 챔버를 포함한다. 시스템(400)은 제1 반응 챔버(411) 및 제2 반응 챔버(412)를 포함할 수 있으며, 둘 다 본원에서 설명된 바와 같은 언더레이어를 증착하고 접착 층을 형성하기 위해, 또는 그의 일부를 증착하고 형성하도록 구성될 수 있다. 원하는 경우, 시스템(400)은 써멀 또는 플라즈마 강화 후처리와 같은 다른 공정이 수행될 수 있는 제3 반응 챔버(413)를 포함할 수 있다.
도 5는 (예를 들어, 반응 챔버(411 또는 412)로서 사용하기에 적합한) 예시적인 반응기 시스템(500)을 보다 상세하게 나타낸다. 반응기 시스템(500)은, 본원에 설명된 하나 이상의 단계 또는 하위 단계를 수행하고/수행하거나 본원에 설명된 하나 이상의 구조체 또는 이의 부분을 형성하기 위해 사용될 수 있다.
반응기 시스템(500)은, 반응 챔버(3)의 내부(11)(반응 구역)에서 서로 평행하게, 그리고 서로 마주하는 한 쌍의 전기 전도성 평판 전극(4, 2)을 포함한다. 예를 들어, 전원(25)에서 하나의 전극(예, 전극(4))으로 HRF 전력(예, 13.56 MHz 또는 27 MHz)을 인가하고 다른 전극(예, 전극(2))을 전기적으로 접지함으로써, 플라즈마는 반응 챔버(3) 내에서 여기될 수 있다. 온도 조절기가 하부 스테이지(2)(하부 전극)에 제공되고, 그 위에 배치된 기판(1)의 온도는 원하는 온도로 유지될 수 있다. 전극(4)은, 샤워 플레이트 같은 가스 분배 장치로서 기능할 수 있다. 반응물 가스, 희석 가스(존재하는 경우), 전구체 가스 및/또는 기타는 각각 가스 라인(20), 가스 라인(21), 및 가스 라인(22), 및 샤워 플레이트(4)를 통해 반응 챔버(3) 내에 도입될 수 있다. 세 개의 가스 라인으로 나타냈지만, 반응기 시스템(500)은 임의 적절한 개수의 가스 라인을 포함할 수 있다. 가스 라인(20)은 실리콘 전구체 공급원(29)에 결합될 수 있고, 가스 라인(21)은 불활성 가스 공급원(27)에 결합될 수 있고, 가스 라인(22)은 다른 (예, 반응물) 가스 공급원(28)에 결합될 수 있다.
반응 챔버(3)에 배기 라인(7)을 갖는 원형 덕트(13)가 제공되고, 이를 통해 반응 챔버(3)의 내부(11)에 있는 가스가 배기될 수 있다. 추가적으로, 반응 챔버(3) 아래에 배치된 이송 영역(5)은, 이송 영역(5)의 내부(이송 구역)(16)를 통해 반응 챔버(3)의 내부(11)로 밀봉 가스를 유입하기 위한 밀봉 가스 라인(24)을 구비하며, 반응 구역과 이송 구역을 분리하기 위한 분리 판(14)이 제공된다(웨이퍼가 이송 영역(5)으로 또는 이송 영역으로부터 이송되는 게이트 밸브는 본 도면에서 생략됨). 이송 영역은 배기 라인(6)이 또한 구비된다. 일부 구현예에서, 증착 및 처리 단계는 동일한 반응 공간에서 수행되어, 두 개 이상의(예, 모든) (예를 들어, 증착 및 접착 층) 단계는, 기판을 공기 또는 다른 산소 함유 대기에 노출시키지 않고 연속적으로 수행될 수 있다.
일부 구현예에서, 불활성 또는 캐리어 가스의 반응 챔버(3)로의 연속적인 흐름은, 캐리어 가스 라인이 전구체 리저버(용기)를 갖는 우회 라인을 구비하고 메인 라인과 우회 라인이 스위칭되는, 유동-통과 시스템(FPS)을 이용하여 달성될 수 있고, 캐리어 가스만을 반응 챔버에 공급하고자 할 때에는 우회 라인이 닫히고, 반면 캐리어 가스와 전구체 가스 모두를 반응 챔버에 공급하고자 할 때에는 메인 라인이 닫히게 되어, 캐리어 가스는 우회 라인을 통해 흐르고 전구체 가스와 함께 용기로부터 흘러 나온다. 이 방식으로, 캐리어 가스는 반응 챔버 내로 연속해서 흐를 수 있고, 메인 라인과 우회 라인 사이를 스위칭함으로써, 실질적으로 반응 챔버의 압력 요동 없이, 전구체 가스를 펄스로 운반할 수 있다.
반응기 시스템(500)은, 본원에 설명된 하나 이상의 방법 단계를 수행하도록 달리 구성되거나 프로그래밍된 하나 이상의 제어기(들)(26)를 또한 포함한다. 제어기(들)(26)는, 당업자가 이해하는 바와 같이, 다양한 전력원, 가열 시스템, 펌프, 로보틱스, 및 반응기의 가스 유량 제어기 또는 밸브들과 통신한다. 예로서, 제어기(26)는 실리콘 전구체와 불활성 가스의 가스 흐름을 제어하여 포토레지스트 언더레이어 상에 접착 층을 형성하도록 구성될 수 있다. 추가적으로 또는 대안적으로, 제어기는 본원에 설명된 바와 같이 포토레지스트 언더레이어를 형성하기 위한 단계를 수행하도록 구성될 수 있다.
일부 구현예에서, 듀얼 챔버 반응기(서로 근접하게 배치된 웨이퍼를 처리하기 위한 2개의 섹션 또는 컴파트먼트)가 사용될 수 있고, 반응물 가스 및 귀가스는 공유된 라인을 통해 공급될 수 있는 반면, 전구체 가스는 공유되지 않는 라인을 통해 공급된다.
위에 설명된 본 개시의 예시적 구현예는 본 발명의 범주를 제한하지 않는데, 그 이유는 이들 구현예는 본 발명의 구현예의 예시일 뿐이기 때문이다. 임의의 균등한 구현예는 본 발명의 범주 내에 있도록 의도된다. 확실하게, 본원에 나타내고 설명된 구현예 외에도, 설명된 요소의 대안적인 유용한 조합과 같은 본 개시의 다양한 변경은 설명으로부터 당업자에게 분명할 수 있다. 이러한 변형 및 구현예도 첨부된 청구범위의 범주 내에 있는 것으로 의도된다.

Claims (21)

  1. 포토레지스트 언더레이어를 포함한 구조체를 형성하는 방법으로서,
    상기 방법은,
    기판을 반응 챔버 내에 제공하는 단계;
    상기 기판의 표면 상에 언더레이어를 형성하는 단계;
    접착 층 상에 증착하기 위한 포토레지스트를 선택하는 단계;
    상기 선택된 포토레지스트에 기초하여 접착 층의 특성을 조정하기 위해 접착 층을 형성하는 단계 동안 사용하기 위한 공정 조건을 조작하는 단계; 및
    주기적 증착 공정을 사용하여, 상기 언더레이어의 표면 상에 접착 층을 형성하는 단계
    를 포함하는, 방법.
  2. 제1항에 있어서,
    상기 포토레지스트 언더레이어는, 실리콘 산화물, 실리콘 옥시카바이드, 실리콘 질화물, 실리콘 옥시나이트라이드, 실리콘 탄소 질화물, 실리콘 산소 탄소 질화물, 금속 산화물, 금속 질화물, 금속 옥시카바이드, 금속 옥시나이트라이드, 금속 산소 탄소 질화물, 및 금속 탄소 질화물 중 하나 이상을 포함하는, 방법.
  3. 제1항 또는 제2항에 있어서,
    상기 접착 층 위에 놓이고 상기 접착 층과 접촉하는 포토레지스트 층을 형성하는 단계를 추가로 포함하되, 상기 포토레지스트 층은 극자외선(EUV) 리소그래피 포토레지스트를 포함하는, 방법.
  4. 제1항 내지 제3항 중 어느 한 항에 있어서,
    상기 접착 층 위에 놓이고 상기 접착 층과 접촉하는 포토레지스트 층을 형성하는 단계를 포함하되, 상기 포토레지스트 층은 화학 증폭 레지스트를 포함하는, 방법.
  5. 제1항 내지 제4항 중 어느 한 항에 있어서,
    상기 접착 층을 형성하는 단계는,
    실리콘 전구체를 상기 반응 챔버에 제공하는 단계;
    무산소 반응물을 상기 반응 챔버 내로 제공하는 단계; 및
    상기 무산소 반응물을 사용하여 플라즈마를 형성하여 상기 실리콘 전구체 또는 이의 유도체와 반응해서 상기 접착 층을 형성하는 활성화 종을 형성하는 단계를 포함하는, 방법.
  6. 제1항 내지 제5항 중 어느 한 항에 있어서,
    상기 접착 층을 형성하는 단계는, 산소를 포함하는 반응물을 제공하는 단계를 포함하지 않는, 방법.
  7. 제5항 또는 제6항에 있어서,
    상기 무산소 반응물은 아르곤, 헬륨, 네온, 크립톤, 크세논 및 수소 중 하나 이상을 포함하는, 방법.
  8. 제5항 내지 제7항 중 어느 한 항에 있어서,
    상기 무산소 반응물은 상기 주기적 증착 공정의 하나 이상의 사이클 동안 연속적으로 제공되는, 방법.
  9. 제5항 내지 제8항 중 어느 한 항에 있어서,
    상기 무산소 반응물은, 상기 언더레이어를 형성하는 단계 및 상기 주기적 증착 공정의 하나 이상의 사이클 동안 연속적으로 제공되는, 방법.
  10. 제1항 내지 제9항 중 어느 한 항에 있어서,
    상기 공정 조건은 반응 챔버 온도, 반응 챔버 압력, 전극 사이의 갭, 전구체 유량, 반응물 유량, 전구체 희석, 반응물 희석, 전구체 퍼지 시간, 증착 사이클의 수, 플라즈마 전력, 및 플라즈마 전력 펄스 시간 중 하나 이상으로부터 선택되는, 방법.
  11. 제5항 내지 제10항 중 어느 한 항에 있어서,
    상기 언더레이어를 형성하는 단계는 상기 실리콘 전구체를 제공하는 단계를 포함하는, 방법.
  12. 제5항 내지 제11항 중 어느 한 항에 있어서,
    상기 실리콘 전구체는 다음으로 이루어진 군으로부터 선택된 골격 구조를 포함한 분자를 포함하는 방법:




    .
  13. 제5항 내지 제12항 중 어느 한 항에 있어서,
    상기 실리콘 전구체는 다음 화학식, SiaCbOcHdNe으로 나타내고, 여기서 a는 적어도 0 내지 최대 5의 정수이고, b는 적어도 0 내지 최대 14의 정수이고, c는 적어도 0 내지 최대 4의 정수이고, d는 적어도 0 내지 최대 30의 정수이고, e는 적어도 0 내지 최대 4의 정수인, 방법.
  14. 제5항 내지 제13항 중 어느 한 항에 있어서,
    상기 실리콘 전구체는 두 개의 실리콘-산소 결합 및 두 개의 실리콘-탄소 결합을 포함하는, 방법.
  15. 제5항 내지 제14항 중 어느 한 항에 있어서,
    상기 실리콘 전구체는 탄소-탄소 이중 결합을 포함하는, 방법.
  16. 제5항 내지 제15항 중 어느 한 항에 있어서,
    상기 실리콘 전구체는 N-[디메톡시(프로판-2-일)실릴]-N-메틸메탄아민, N-[에틸(디메톡시)실릴]-N-메틸메탄아민, 디이소부틸디메톡시실란, 디메톡시디에틸실란, 디메톡시메틸비닐실란, 비스(메틸디메톡시실릴)메탄, 1,2-비스(메틸디에톡시실릴)에탄, 트리메톡시(3-메톡시프로필)실란, 메틸트리메톡시실란, 테트라메틸시클로테트라실록산, 폴리메티실세스퀴옥산, 디에톡시-메틸-실란, 디메틸-디옥시라닐-실란, 디에톡시-메틸-옥시라닐-실란, 트리메틸-트리비닐시클로트리실록산, 데카메틸-시클로펜타실록산 및 디에톡시-메틸-실란, 또는 기타 중 하나 이상을 포함하는, 방법.
  17. 제1항 내지 제16항 중 어느 한 항에 있어서,
    상기 주기적 증착 공정은 플라즈마 강화 주기적 증착 공정을 포함하는, 방법.
  18. 제5항 내지 제17항 중 어느 한 항에 있어서,
    상기 실리콘 전구체는 질소를 포함하지 않는, 방법.
  19. 제1항 내지 제18항 중 어느 한 항에 있어서,
    상기 접착 층의 두께는 0 nm 초과 및 2 nm 미만인, 방법.
  20. 제1항 내지 제19항 중 어느 한 항의 방법에 따라 형성된 구조체.
  21. 접착 층을 형성하기 위한 시스템으로서,
    상기 시스템은,
    반응 챔버;
    상기 반응 챔버에 유체 결합된 실리콘 전구체 공급원;
    상기 반응 챔버에 유체 결합된 불활성 가스 공급원; 및
    제1항 내지 제19항 중 어느 한 항에 따른 방법을 수행하도록 구성된 제어기를 포함하는, 시스템.
KR1020230145386A 2022-11-01 2023-10-27 포토레지스트 접착 층 특성을 조정하기 위한 방법 및 시스템 KR20240062997A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US202263421273P 2022-11-01 2022-11-01
US63/421,273 2022-11-01

Publications (1)

Publication Number Publication Date
KR20240062997A true KR20240062997A (ko) 2024-05-09

Family

ID=90891649

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020230145386A KR20240062997A (ko) 2022-11-01 2023-10-27 포토레지스트 접착 층 특성을 조정하기 위한 방법 및 시스템

Country Status (3)

Country Link
US (1) US20240170282A1 (ko)
KR (1) KR20240062997A (ko)
CN (1) CN117995660A (ko)

Also Published As

Publication number Publication date
CN117995660A (zh) 2024-05-07
US20240170282A1 (en) 2024-05-23

Similar Documents

Publication Publication Date Title
US11114294B2 (en) Structure including SiOC layer and method of forming same
US20210320003A1 (en) Method of forming a nitrogen-containing carbon film and system for performing the method
US9478414B2 (en) Method for hydrophobization of surface of silicon-containing film by ALD
US10002757B2 (en) Selectively lateral growth of silicon oxide thin film
US8784950B2 (en) Method for forming aluminum oxide film using Al compound containing alkyl group and alkoxy or alkylamine group
KR20180116761A (ko) 반응물 가스의 램프 다운 유동을 이용한 플라즈마-지원 주기적 증착 방법
US20170107614A1 (en) Multi-Step Atomic Layer Deposition Process for Silicon Nitride Film Formation
US20230349043A1 (en) Method and system for forming metal silicon oxide and metal silicon oxynitride layers
US20220350248A1 (en) Method of forming an adhesion layer on a photoresist underlayer and structure including same
KR20230062781A (ko) 열 및 플라즈마 강화 방법을 사용한 선택적 증착
KR20230062397A (ko) 선택적 열 증착 방법
KR20240062997A (ko) 포토레지스트 접착 층 특성을 조정하기 위한 방법 및 시스템
KR20230168133A (ko) 포토레지스트 언더레이어를 형성하는 고온 방법 및 이를 형성하기 위한 시스템
KR20230132378A (ko) 포토레지스트 하층을 포함한 구조체를 형성하는 방법
US20240014030A1 (en) Method for selective deposition of silicon nitride and structure including selectively-deposited silicon nitride layer
US20230140367A1 (en) Selective deposition of material comprising silicon and oxygen using plasma
TW202413702A (zh) 形成光阻底層的高溫方法及形成光阻底層之系統
US20220068639A1 (en) Method and system for forming patterned structures using multiple patterning process
US20220216059A1 (en) Method of treating a substrate
TW202016342A (zh) 用於半導體製造之含鉻薄膜的沉積與蝕刻製程