KR20240052918A - Semiconductor device and method for manufacturing the same - Google Patents

Semiconductor device and method for manufacturing the same Download PDF

Info

Publication number
KR20240052918A
KR20240052918A KR1020240046810A KR20240046810A KR20240052918A KR 20240052918 A KR20240052918 A KR 20240052918A KR 1020240046810 A KR1020240046810 A KR 1020240046810A KR 20240046810 A KR20240046810 A KR 20240046810A KR 20240052918 A KR20240052918 A KR 20240052918A
Authority
KR
South Korea
Prior art keywords
pattern
region
device isolation
cell region
insulating layer
Prior art date
Application number
KR1020240046810A
Other languages
Korean (ko)
Inventor
김영인
박종혁
박지훈
배진우
유승용
전인학
주병수
Original Assignee
삼성전자주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자주식회사 filed Critical 삼성전자주식회사
Priority to KR1020240046810A priority Critical patent/KR20240052918A/en
Publication of KR20240052918A publication Critical patent/KR20240052918A/en

Links

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B80/00Assemblies of multiple devices comprising at least one memory device covered by this subclass
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42372Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out
    • H01L29/42376Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out characterised by the length or the sectional shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7846Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the lateral device isolation region, e.g. STI

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Ceramic Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

실시예에 따른 반도체 소자는, 셀 영역 및 상기 셀 영역을 둘러싸는 외곽 영역을 갖는 기판, 상기 기판 상에서, 상기 외곽 영역에 위치하는 제1 소자 분리막을 포함하는 소자 분리막 및 상기 셀 영역의 활성 영역을 정의하는 제2 소자 분리막, 및 상기 셀 영역에서 상기 활성 영역을 가로지르며 상기 외곽 영역의 상기 제1 소자 분리막 위로 연장되는 워드 라인을 포함하는 게이트 구조물을 포함하고, 상기 게이트 구조물은, 상기 외곽 영역에서 평평한 상면을 갖는 제1 부분 및 상기 셀 영역의 제2 부분을 포함하는 제1 패턴 및, 상기 제1 패턴의 상기 제2 부분 상에 위치하는 제2 패턴을 포함할 수 있다.A semiconductor device according to an embodiment includes a substrate having a cell region and an outer region surrounding the cell region, a device isolation film including a first device isolation film located in the outer region on the substrate, and an active region of the cell region. a gate structure including a second device isolation layer defining a second device isolation layer, and a word line that crosses the active region in the cell region and extends over the first device isolation layer in the outer region, the gate structure comprising: It may include a first pattern including a first part having a flat top surface and a second part of the cell area, and a second pattern located on the second part of the first pattern.

Description

반도체 소자 및 그 제조 방법{SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING THE SAME}Semiconductor device and method of manufacturing the same {SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING THE SAME}

본 개시는 반도체 소자 및 반도체 소자의 제조 방법에 관한 것이다.This disclosure relates to semiconductor devices and methods of manufacturing semiconductor devices.

반도체 소자의 고집적화에 따라 채널의 길이가 짧아짐에 따른 영향 및 누설전류를 줄이기 위해, 워드 라인을 반도체 기판의 내부로 매립하고, 게이트와 드레인 영역이 중첩되는 부분을 최소화한 매립 채널 어레이 트랜지스터(buried channel array transistor, BCAT)가 제안되고 있다. In order to reduce the impact and leakage current caused by shorter channel lengths due to the high integration of semiconductor devices, a buried channel array transistor (buried channel) is used in which the word line is buried inside the semiconductor substrate and the overlap between the gate and drain regions is minimized. array transistor (BCAT) is being proposed.

실시예들은 반도체 소자의 특성을 개선시키고, 게이트 구조의 불량을 감소시키기 위한 것이다.The embodiments are intended to improve the characteristics of semiconductor devices and reduce gate structure defects.

일 실시예에 따른 반도체 소자는, 셀 영역 및 상기 셀 영역을 둘러싸는 외곽 영역을 갖는 기판, 상기 기판 상에서, 상기 외곽 영역에 위치하는 제1 소자 분리막을 포함하는 소자 분리막 및 상기 셀 영역의 활성 영역을 정의하는 제2 소자 분리막을 포함하는 소자 분리막, 및 상기 셀 영역에서 상기 활성 영역을 가로지르며 상기 외곽 영역의 상기 제1 소자 분리막 위로 연장되는 워드 라인을 포함하는 게이트 구조물을 포함하고, 상기 게이트 구조물은, 상기 외곽 영역에서 평평한 상면을 갖는 제1 부분 및 상기 셀 영역의 제2 부분을 포함하는 제1 패턴 및, 상기 제1 패턴의 상기 제2 부분 상에 위치하는 제2 패턴을 포함할 수 있다.A semiconductor device according to an embodiment includes a substrate having a cell region and an outer region surrounding the cell region, a device isolation film including a first device isolation film located in the outer region on the substrate, and an active region of the cell region. A device isolation layer including a second device isolation layer defining, and a gate structure including a word line that crosses the active region in the cell region and extends over the first device isolation layer in the outer region, the gate structure may include a first pattern including a first part having a flat upper surface in the outer area and a second part of the cell area, and a second pattern located on the second part of the first pattern. .

일 실시예에 따른 반도체 소자의 제조 방법은, 셀 영역과 상기 셀 영역을 둘러싸는 외곽 영역을 포함하는 기판이 제공되는 단계, 상기 기판을 식각하여 상기 셀 영역의 활성 영역을 정의하는 제1 소자 분리막 및 상기 외곽 영역을 정의하는 제1 소자 분리막을 포함하는 소자 분리막을 형성하는 단계, 상기 기판 상에 상기 활성 영역을 가로지르며 상기 외곽 영역의 상기 제1 소자 분리막 위로 연장되고, 제1 패턴을 형성하는 단계, 상기 제1 패턴 상에 상기 제1 패턴과 다른 물질로 구성되는 제2 패턴을 형성하는 단계, 및 평탄화 공정을 수행하여, 상기 외곽 영역의 상기 제2 패턴을 연마하는 단계를 포함할 수 있다.A method of manufacturing a semiconductor device according to an embodiment includes providing a substrate including a cell region and an outer region surrounding the cell region, etching the substrate to form a first device isolation layer defining an active region of the cell region. and forming a device isolation film including a first device isolation film defining the outer region, extending across the active region on the substrate and over the first device isolation film in the outer region, forming a first pattern. It may include forming a second pattern on the first pattern made of a different material from the first pattern, and performing a planarization process to polish the second pattern in the outer area. .

실시예들에 따르면, 반도체 소자의 특성을 개선시키고, 게이트 구조의 불량을 감소시킬 수 있다. According to embodiments, the characteristics of semiconductor devices can be improved and gate structure defects can be reduced.

도 1은 일 실시예에 따른 반도체 소자의 평면도이다.
도 2는 도 1의 S 영역을 확대한 도면이다.
도 3은 도 2의 A-A'를 따라 절단한 단면도이다.
도 4는 도 2의 B-B'를 따라 절단한 단면도이다.
도 5 내지 도 14는 일 실시예에 따른 반도체 소자의 제조 방법을 나타내는 단면도들이다.
1 is a plan view of a semiconductor device according to an embodiment.
FIG. 2 is an enlarged view of area S of FIG. 1.
Figure 3 is a cross-sectional view taken along line A-A' of Figure 2.
Figure 4 is a cross-sectional view taken along line B-B' in Figure 2.
5 to 14 are cross-sectional views showing a method of manufacturing a semiconductor device according to an embodiment.

이하, 첨부한 도면을 참고로 하여 본 발명의 여러 실시예들에 대하여 본 발명이 속하는 기술 분야에서 통상의 지식을 가진 자가 용이하게 실시할 수 있도록 상세히 설명한다. 본 발명은 여러 가지 상이한 형태로 구현될 수 있으며 여기에서 설명하는 실시예들에 한정되지 않는다.Hereinafter, with reference to the attached drawings, various embodiments of the present invention will be described in detail so that those skilled in the art can easily practice the present invention. The invention may be implemented in many different forms and is not limited to the embodiments described herein.

본 발명을 명확하게 설명하기 위해서 설명과 관계없는 부분은 생략하였으며, 명세서 전체를 통하여 동일 또는 유사한 구성요소에 대해서는 동일한 참조 부호를 붙이도록 한다.In order to clearly explain the present invention, parts that are not relevant to the description are omitted, and identical or similar components are given the same reference numerals throughout the specification.

또한, 도면에서 나타난 각 구성의 크기 및 두께는 설명의 편의를 위해 임의로 나타내었으므로, 본 발명이 반드시 도시된 바에 한정되지 않는다. 도면에서 여러 층 및 영역을 명확하게 표현하기 위하여 두께를 확대하여 나타내었다. 그리고 도면에서, 설명의 편의를 위해, 일부 층 및 영역의 두께를 과장되게 나타내었다.In addition, the size and thickness of each component shown in the drawings are arbitrarily shown for convenience of explanation, so the present invention is not necessarily limited to what is shown. In the drawing, the thickness is enlarged to clearly express various layers and areas. And in the drawing, for convenience of explanation, the thickness of some layers and regions are exaggerated.

또한, 층, 막, 영역, 판 등의 부분이 다른 부분 "위에" 또는 "상에" 있다고 할 때, 이는 다른 부분 "바로 위에" 있는 경우뿐 아니라 그 중간에 또 다른 부분이 있는 경우도 포함한다. 반대로 어떤 부분이 다른 부분 "바로 위에" 있다고 할 때에는 중간에 다른 부분이 없는 것을 뜻한다. 또한, 기준이 되는 부분 "위에" 또는 "상에" 있다고 하는 것은 기준이 되는 부분의 위 또는 아래에 위치하는 것이고, 반드시 중력 반대 방향 쪽으로 "위에" 또는 "상에" 위치하는 것을 의미하는 것은 아니다.Additionally, when a part of a layer, membrane, region, plate, etc. is said to be “on” or “on” another part, this includes not only cases where it is “directly above” another part, but also cases where there is another part in between. . Conversely, when a part is said to be “right on top” of another part, it means that there is no other part in between. In addition, being “on” or “on” a reference part means being located above or below the reference part, and does not necessarily mean being located “above” or “on” the direction opposite to gravity. .

또한, 명세서 전체에서, 어떤 부분이 어떤 구성요소를 "포함" 한다고 할 때, 이는 특별히 반대되는 기재가 없는 한 다른 구성요소를 제외하는 것이 아니라 다른 구성요소를 더 포함할 수 있는 것을 의미한다.In addition, throughout the specification, when a part is said to "include" a certain component, this means that it may further include other components rather than excluding other components, unless specifically stated to the contrary.

또한, 명세서 전체에서, "평면상"이라 할 때, 이는 대상 부분을 위에서 보았을 때를 의미하며, "단면상"이라 할 때, 이는 대상 부분을 수직으로 자른 단면을 옆에서 보았을 때를 의미한다.In addition, throughout the specification, when referring to “on a plane,” this means when the target portion is viewed from above, and when referring to “in cross-section,” this means when a cross section of the target portion is cut vertically and viewed from the side.

이하에서 도 1 및 도 2를 참조하여 일 실시예에 따른 반도체 소자에 대해 설명하면 다음과 같다.Hereinafter, a semiconductor device according to an embodiment will be described with reference to FIGS. 1 and 2 as follows.

도 1은 일 실시예에 따른 반도체 소자의 평면도이고, 도 2는 도 1의 S 영역을 확대한 도면이다.FIG. 1 is a plan view of a semiconductor device according to an embodiment, and FIG. 2 is an enlarged view of region S of FIG. 1 .

도 1 및 도 2를 참조하면, 반도체 소자는 기판(100) 및 복수의 활성 영역(AC)들을 포함할 수 있다. 기판(100)은 셀 영역(cell region)(CR), 셀 영역(CR)을 둘러싸는 외곽 영역(outer region)(OR) 및 셀 영역(CR)을 구동하기 위한 소자들이 위치하는 주변 영역(peripheral region)(PR)을 포함할 수 있다. 본 명세서에서, 상기 영역들(CR, OR, PR)은 기판(100)에서 정의되어 설명될 수 있다.Referring to FIGS. 1 and 2 , a semiconductor device may include a substrate 100 and a plurality of active regions (AC). The substrate 100 includes a cell region (CR), an outer region (OR) surrounding the cell region (CR), and a peripheral region where elements for driving the cell region (CR) are located. region) (PR) may be included. In this specification, the regions CR, OR, and PR may be defined and explained in the substrate 100.

기판(100)은 반도체 물질을 포함할 수 있다. 예를 들어, 기판(100)은 IV족 반도체, III-V족 화합물 반도체, II-VI족 화합물 반도체 등을 포함할 수 있다. 예를 들어, 기판(100)은 Si, Ge와 같은 반도체, 또는 SiGe, SiC, GaAs, InAs, 또는 InP와 같은 화합물 반도체를 포함할 수 있다. 실리콘-온-인슐레이터(Silicon on Insulator, SOI) 기판 또는 게르마늄-온-인슐레이터(Germanium on Insulator, GOI) 기판일 수 있다. 다만, 기판(100)의 물질은 이에 한정되지 않으며, 다양하게 변경될 수 있다.The substrate 100 may include a semiconductor material. For example, the substrate 100 may include a group IV semiconductor, a group III-V compound semiconductor, a group II-VI compound semiconductor, etc. For example, the substrate 100 may include a semiconductor such as Si or Ge, or a compound semiconductor such as SiGe, SiC, GaAs, InAs, or InP. It may be a Silicon on Insulator (SOI) substrate or a Germanium on Insulator (GOI) substrate. However, the material of the substrate 100 is not limited to this and may be changed in various ways.

셀 영역(CR)에는 복수의 활성 영역(AC)들이 형성될 수 있다. 셀 영역(CR)에는 활성 영역(AC)을 포함하는 반도체 셀들이 어레이를 이루며 배열될 수 있다. 예를 들어, 형성하고자 하는 반도체 장치가 반도체 메모리 장치인 경우에, 셀 영역(CR)에는 반도체 메모리 셀들이 어레이를 이루며 형성될 수 있다. 셀 영역(CR)에는 소자 분리막(예: 도 3의 제2 소자 분리막(110B)이 형성될 수 있다.)A plurality of active regions (AC) may be formed in the cell region (CR). In the cell region CR, semiconductor cells including the active region AC may be arranged in an array. For example, when the semiconductor device to be formed is a semiconductor memory device, semiconductor memory cells may be formed in an array in the cell region CR. A device isolation film (e.g., the second device isolation film 110B of FIG. 3) may be formed in the cell region CR.

주변 영역(PR)은 셀 영역(CR) 주변에 배치되거나, 셀 영역(CR)과 다른 별도의 영역에 배치될 수 있다. 예를 들어, 주변 영역(PR)은 셀 영역(CR) 주변을 둘러쌀 수 있다. 주변 영역(PR)에는 셀 영역(CR)에 형성되는 반도체 셀들을 제어하는데 필요한 회로들이 배치될 수 있다. 예를 들어, 주변 영역(PR)에는 워드 라인 드라이버(driver), 센스 앰프(sense amplifier), 로우(row) 및 칼럼(column) 디코더들 및 제어 회로들이 배치될 수 있다. The peripheral area PR may be placed around the cell area CR, or may be placed in a separate area different from the cell area CR. For example, the peripheral area (PR) may surround the cell area (CR). Circuits necessary to control semiconductor cells formed in the cell region CR may be disposed in the peripheral area PR. For example, a word line driver, a sense amplifier, row and column decoders, and control circuits may be placed in the peripheral area PR.

외곽 영역(OR)은 셀 영역(CR)을 둘러싸는 영역에 대응될 수 있다. 외곽 영역(OR)은 셀 영역(CR)과 주변 영역(PR) 사이에 개재될 수 있다. 예를 들어, 외곽 영역(OR)은 셀 영역(CR) 주변을 둘러쌀 수 있고, 주변 영역(PR)은 외곽 영역(OR) 주변을 둘러쌀 수 있다. 외곽 영역(OR)에는 소자 분리막(예: 도 3의 제1 소자 분리막(110A))이 형성될 수 있다. The outer area (OR) may correspond to an area surrounding the cell area (CR). The outer area (OR) may be interposed between the cell area (CR) and the peripheral area (PR). For example, the outer region (OR) may surround the cell region (CR), and the peripheral region (PR) may surround the outer region (OR). A device isolation film (eg, the first device isolation film 110A of FIG. 3) may be formed in the outer region OR.

도 2를 참조하면, 활성 영역(AC)은 바(bar) 형태일 수 있으며, 기판(100) 내에 일 방향, 예를 들어 W 방향으로 연장되는 아일랜드 형상으로 배치될 수 있다. W 방향은 워드 라인(WL)들의 연장 방향에 대하여 경사진 방향일 수 있다. 각각의 활성 영역(AC)은 X 방향 및 Y 방향이 연장되는 평면에서, X 방향 및 Y 방향이 아닌 W 방향으로 연장되는 바 형태로 형성될 수 있다. 여기서, W 방향은 기판(100)의 상면과 평행하며, X 방향 및 Y 방향이 아닌 임의의 방향일 수 있다. 몇몇 실시예에서, W 방향은 X 방향과 예각을 이룰 수 있다. 예각은 예를 들어, 60도일 수 있으나, 이에 제한되는 것은 아니다.Referring to FIG. 2 , the active area AC may have a bar shape and may be arranged in an island shape extending in one direction, for example, the W direction, within the substrate 100 . The W direction may be inclined with respect to the extension direction of the word lines WL. Each active area AC may be formed in a bar shape extending in the W direction rather than the X and Y directions on a plane extending in the X and Y directions. Here, the W direction is parallel to the top surface of the substrate 100 and may be any direction other than the X and Y directions. In some embodiments, the W direction may form an acute angle with the X direction. The acute angle may be, for example, 60 degrees, but is not limited thereto.

활성 영역(AC)들은 서로 평행하도록 배열되되, 하나의 활성 영역(AC)의 단부(end portion)는 이에 인접한 다른 활성 영역(AC)의 중심에 인접하도록 배열될 수 있다. 구체적으로, 활성 영역(AC)들은 W 방향으로 이격될 수 있다. Y 방향으로 이웃하는 활성 영역(AC)들은 나란하게 배치될 수 있다. X 방향으로 이웃하는 활성 영역(AC)들은 엇갈리게 배치될 수 있다.The active areas AC may be arranged to be parallel to each other, and an end portion of one active area AC may be arranged adjacent to the center of another active area AC adjacent thereto. Specifically, the active areas AC may be spaced apart in the W direction. Adjacent active areas AC in the Y direction may be arranged side by side. Adjacent active areas AC in the X direction may be arranged to be staggered.

활성 영역(AC)은 불순물을 포함하여 소스 및 드레인 영역을 형성할 수 있다. 예를 들어, 활성 영역(AC)은 p형 불순물 또는 n형 불순물을 포함할 수 있다. 활성 영역(AC) 내에 불순물을 주입하는 것은 예를 들어, 이온 주입 공정에 의해 수행될 수 있으나, 이에 제한되는 것은 아니다.The active area AC may include impurities to form source and drain areas. For example, the active area AC may include p-type impurities or n-type impurities. Implanting impurities into the active area AC may be performed, for example, by an ion implantation process, but is not limited thereto.

워드 라인(WL)은 활성 영역(AC)을 가로질러 X 방향으로 연장되도록 배치될 수 있다. 예를 들어, 서로 인접하는 한 쌍의 워드 라인(WL)들이 하나의 활성 영역(AC)을 가로지르도록 배치될 수 있다. 워드 라인(WL)은 복수 개로 서로 평행하게 연장될 수 있다. 또한, 복수의 워드 라인(WL)들은 등간격으로 서로 이격될 수 있다. 워드 라인(WL)은 BCAT(buried channel array transistor)의 게이트를 구성할 수 있으나, 이에 한정되지는 않는다. 예시적인 실시예들에서, 워드 라인(WL)들은 기판(100)의 상부에 배치되는 형태를 갖는 것도 가능할 것이다.The word line WL may be arranged to extend in the X direction across the active area AC. For example, a pair of word lines (WL) adjacent to each other may be arranged to cross one active area (AC). A plurality of word lines WL may extend parallel to each other. Additionally, the plurality of word lines WL may be spaced apart from each other at equal intervals. The word line (WL) may form the gate of a buried channel array transistor (BCAT), but is not limited to this. In example embodiments, the word lines WL may be arranged on top of the substrate 100 .

일 실시예에 따르면, 워드 라인(WL)은 셀 영역(CR)을 넘어 외곽 영역(OR)까지 연장될 수 있다. 예를 들어, 도 3에 도시된 것처럼, 워드 라인(WL)의 일부는 외곽 영역(OR) 상에 배치될 수 있다. 이에 따라, 도 3에 도시된 것처럼, 워드 라인(WL)의 일부는 제1 소자 분리막(110A)을 위로 연장될 수 있다. 보다 구체적으로, 워드 라인(WL)에 포함된 제1 패턴(130)은 제1 소자 분리막(110A) 위로 연장될 수 있다. 이하에서 도 3 및 도 4를 더 참조하여 일 실시예에 따른 반도체 소자에 대해 더 설명한다.According to one embodiment, the word line (WL) may extend beyond the cell area (CR) to the outer area (OR). For example, as shown in FIG. 3, a portion of the word line (WL) may be placed on the outer area (OR). Accordingly, as shown in FIG. 3, a portion of the word line WL may extend above the first device isolation layer 110A. More specifically, the first pattern 130 included in the word line WL may extend over the first device isolation layer 110A. Hereinafter, a semiconductor device according to an embodiment will be further described with further reference to FIGS. 3 and 4 .

도 3은 도 2의 A-A'를 따라 절단한 단면도이다. 도 4는 도 2의 B-B'를 따라 절단한 단면도이다. 도 3 및 도 4는 반도체 소자(10)를 형성하는 공정의 중간 상태의 예시적인 구조를 나타낼 수 있다. 도 3 및 도 4에 도시된 반도체 소자(10)는 소자 분리 구조물을 중심으로 나타낸 것이며, 반도체 소자(10)는 후속 공정을 통해 도 3 및 도 4에 도시된 구성들 외에 다른 구성을 더 포함할 수 있다. 예를 들면, 비트 라인, 커패시터 등이 반도체 소자(10)에 더 포함될 수 있다.Figure 3 is a cross-sectional view taken along line A-A' of Figure 2. Figure 4 is a cross-sectional view taken along line B-B' in Figure 2. 3 and 4 may show an example structure of an intermediate state in the process of forming the semiconductor device 10. The semiconductor device 10 shown in FIGS. 3 and 4 is shown centered on the device isolation structure, and the semiconductor device 10 may further include other configurations in addition to those shown in FIGS. 3 and 4 through subsequent processes. You can. For example, bit lines, capacitors, etc. may be further included in the semiconductor device 10.

도 3 및 도 4를 참조하면, 반도체 소자(10)는, 활성 영역(AC)들을 포함하는 기판(100), 소자 분리막(110), 및 기판(100) 내에 매립되어 연장되며 워드 라인(WL)을 포함하는 게이트 구조물(300)을 포함할 수 있다. 활성 영역(AC)들 및 게이트 구조물(300)은 셀 영역(CR)에 배치될 수 있다.3 and 4, the semiconductor device 10 is embedded and extends within the substrate 100, the device isolation layer 110, and the substrate 100 including active regions (AC) and a word line (WL). It may include a gate structure 300 including. The active regions AC and the gate structure 300 may be disposed in the cell region CR.

일 실시예에 따르면, 활성 영역(AC)들은 셀 영역의 제2 소자 분리막(110B)에 의해 기판(100) 내에 정의될 수 있다. 예시적인 실시예들에서, 활성 영역(AC)은 불순물들을 포함하는 웰(well) 영역을 포함하거나 포함하지 않을 수 있다. 예를 들어, p형 트랜지스터(pFET)의 경우, 웰 영역은 인(P), 비소(As), 또는 안티모니(Sb)와 같은 n형 불순물들을 포함할 수 있으며, n형 트랜지스터(nFET)의 경우, 웰 영역은 붕소(B), 갈륨(Ga), 또는 인듐(In)과 같은 p형 불순물들을 포함할 수 있다. 웰 영역은, 예컨대, 활성 영역(AC)의 상면으로부터 소정 깊이로 위치할 수 있다.According to one embodiment, the active regions AC may be defined within the substrate 100 by the second device isolation layer 110B in the cell region. In example embodiments, the active area AC may or may not include a well area containing impurities. For example, in the case of a p-type transistor (pFET), the well region may include n-type impurities such as phosphorus (P), arsenic (As), or antimony (Sb). In this case, the well region may include p-type impurities such as boron (B), gallium (Ga), or indium (In). For example, the well area may be located at a predetermined depth from the top surface of the active area AC.

활성 영역(AC)은 불순물을 포함하여 소스 영역 및 드레인 영역을 형성할 수 있다. 예를 들어, 활성 영역(AC)은 p형 불순물 또는 n형 불순물을 포함할 수 있다. 활성 영역(AC) 내에 불순물을 주입하는 것은 예를 들어, 이온 주입 공정에 의해 수행될 수 있으나, 이에 제한되는 것은 아니다.The active area AC may include impurities to form a source region and a drain region. For example, the active area AC may include p-type impurities or n-type impurities. Implanting impurities into the active area AC may be performed, for example, by an ion implantation process, but is not limited thereto.

소자 분리막(110)은 쉘로우 트렌치 소자 분리(shallow trench isolation, STI) 공정에 의하여 형성될 수 있다. 소자 분리막(110)은 절연 물질로 이루어질 수 있으며, 절연 물질은 예를 들어, 실리콘 산화물, 실리콘 질화물, 또는 그들의 조합일 수 있다. 소자 분리막(110)은 기판(100)이 식각된 트렌치의 너비에 따라 상이한 하단 깊이를 갖는 복수의 영역들을 포함할 수 있다.The device isolation film 110 may be formed by a shallow trench isolation (STI) process. The device isolation film 110 may be made of an insulating material, and the insulating material may be, for example, silicon oxide, silicon nitride, or a combination thereof. The device isolation layer 110 may include a plurality of regions with different bottom depths depending on the width of the trench in which the substrate 100 is etched.

소자 분리막(110)은 기판(100) 상에서 외곽 영역(OR)에 위치하는 제1 소자 분리막(110A) 및 셀 영역(CR)의 활성 영역(AC)을 정의하는 제2 소자 분리막(110B)을 포함할 수 있다. 일 실시예에 따르면, 제1 소자 분리막(110A)의 깊이는 제2 소자 분리막(110B)의 깊이보다 깊을 수 있다. 제1 소자 분리막(110A)의 폭은 제2 소자 분리막(110B)의 폭보다 클 수 있다.The device isolation film 110 includes a first device isolation film 110A located in the outer region OR on the substrate 100 and a second device isolation film 110B defining the active region AC of the cell region CR. can do. According to one embodiment, the depth of the first device isolation layer 110A may be deeper than the depth of the second device isolation layer 110B. The width of the first device isolation film 110A may be larger than the width of the second device isolation film 110B.

일 실시예에 따르면, 제1 소자 분리막(110A)은 외곽 영역(OR) 내에 형성될 수 있다. 제1 소자 분리막(110A)은 셀 영역(CR)과 외곽 영역(OR) 사이의 경계를 정의할 수 있다. According to one embodiment, the first device isolation layer 110A may be formed in the outer region OR. The first device isolation layer 110A may define a boundary between the cell region (CR) and the outer region (OR).

일 실시예에 따르면, 제1 소자 분리막(110A)은 다중막으로 형성될 수 있다. 예를 들어, 제1 소자 분리막(110A)은 하나 이상의 절연층을 포함할 수 있다. 일 실시예에 따르면, 제1 소자 분리막(110A)은 제1 절연층(111), 제2 절연층(112), 및 제3 절연층(113)을 포함할 수 있다. 제2 절연층(112)은 제1 절연층(111) 상에 배치되고, 제3 절연층(113)은 제2 절연층(112) 상에 배치될 수 있다. 제1 소자 분리막(110A) 내에, 제1 절연층(111) 및 제2 절연층(112)이 차례로 제1 소자 분리막(110A)의 표면을 따라 컨포멀하게 형성될 수 있다.According to one embodiment, the first device isolation layer 110A may be formed as a multilayer. For example, the first device isolation layer 110A may include one or more insulating layers. According to one embodiment, the first device isolation layer 110A may include a first insulating layer 111, a second insulating layer 112, and a third insulating layer 113. The second insulating layer 112 may be disposed on the first insulating layer 111, and the third insulating layer 113 may be disposed on the second insulating layer 112. Within the first device isolation layer 110A, the first insulating layer 111 and the second insulating layer 112 may be sequentially formed conformally along the surface of the first device isolation layer 110A.

제3 절연층(113)은 제1 절연층(111) 및 제2 절연층(112)이 제1 소자 분리막(110A)을 채우지 못하는 공간을 매립할 수 있다. 제2 절연층(112)은 제1 절연층(111)과 다른 절연 물질을 포함할 수 있으며, 제3 절연층(113)은 제2 절연층(112)과 다른 절연 물질을 포함할 수 있다. 예를 들어, 제1 절연층(111) 및 제3 절연층(113)은 실리콘 산화물을 포함할 수 있고, 제2 절연층(112)은 실리콘 질화물을 포함할 수 있다.The third insulating layer 113 may fill the space where the first insulating layer 111 and the second insulating layer 112 do not fill the first device isolation layer 110A. The second insulating layer 112 may include an insulating material different from the first insulating layer 111, and the third insulating layer 113 may include an insulating material different from the second insulating layer 112. For example, the first insulating layer 111 and the third insulating layer 113 may include silicon oxide, and the second insulating layer 112 may include silicon nitride.

도 3에서 제1 소자 분리막(110A)의 측벽은 경사를 갖는 것으로 도시되었으나, 이는 제1 소자 분리막(110A)을 형성하는 식각 공정의 특징일 뿐이고, 본 발명의 기술적 사상이 이에 제한되는 것은 아니다.In FIG. 3 , the sidewall of the first device isolation layer 110A is shown as having an inclination, but this is only a characteristic of the etching process for forming the first device isolation layer 110A, and the technical idea of the present invention is not limited thereto.

일 실시예에 따르면, 제2 소자 분리막(110B)은 셀 영역(CR)내에 형성될 수 있다. 제2 소자 분리막(110B)은 셀 영역(CR) 상에 돌츨되는 활성 영역(AC)을 정의할 수 있다. 제2 소자 분리막(110B)은 활성 영역(AC)들을 둘러싸면서 이들을 서로 전기적으로 분리할 수 있다. 일 실시예에 따르면, 제2 소자 분리막(110B)은 서로 다른 폭 및/또는 깊이를 갖는 복수의 영역들(또는 분리막들)을 포함할 수 있다.According to one embodiment, the second device isolation layer 110B may be formed in the cell region CR. The second device isolation layer 110B may define an active region AC that protrudes on the cell region CR. The second device isolation layer 110B may surround the active regions AC and electrically separate them from each other. According to one embodiment, the second device isolation film 110B may include a plurality of regions (or isolation films) having different widths and/or depths.

도 3에서 제2 소자 분리막(110B)의 측벽은 경사를 갖는 것으로 도시되었으나, 이는 제2 소자 분리막(110B)을 형성하는 식각 공정의 특징일 뿐이고, 본 발명의 기술적 사상이 이에 제한되는 것은 아니다.In FIG. 3 , the sidewall of the second device isolation layer 110B is shown as having an inclination, but this is only a characteristic of the etching process for forming the second device isolation layer 110B, and the technical idea of the present invention is not limited thereto.

일 실시예에 따르면, 제2 소자 분리막(110B)은 다중막으로 형성될 수 있다. 예를 들어, 제2 소자 분리막(110B)은 하나 이상의 절연층을 포함할 수 있다. 구체적으로, 제2 소자 분리막(110B)은 제4 절연층(114) 및 제5 절연층(115)을 포함할 수 있다. 제4 절연층(114)은 제1 트렌치(211)의 측벽 및 바닥면을 덮도록 컨포멀한 형상을 가질 수 있다. 제4 절연층(114)은, 예를 들어, 실리콘 산화물을 포함할 수 있다. 일 실시예에 따르면, 제5 절연층(115)은 실리콘 질화물을 포함할 수 있다.According to one embodiment, the second device isolation layer 110B may be formed as a multilayer. For example, the second device isolation layer 110B may include one or more insulating layers. Specifically, the second device isolation layer 110B may include a fourth insulating layer 114 and a fifth insulating layer 115. The fourth insulating layer 114 may have a conformal shape to cover the sidewalls and bottom of the first trench 211 . The fourth insulating layer 114 may include, for example, silicon oxide. According to one embodiment, the fifth insulating layer 115 may include silicon nitride.

일 실시예에 따르면, 제3 절연층(113) 위에 마스크층(120)이 위치할 수 있다. 또한, 제3 절연층(113)의 적어도 일부는 제2 절연층(112)과 접할 수 있다. 마스크층(120)은, 예를 들어, 제1 절연층(111), 제2 절연층(112) 및 제3 절연층(113)에 대해 식각 선택비를 갖는 물질을 포함할 수 있다. 마스크층(120)은, 예를 들어, 화학기상증착(chemical vapor deposition, CVD) 공정 또는 ALD 공정에 의해 형성될 수 있다. According to one embodiment, the mask layer 120 may be positioned on the third insulating layer 113. Additionally, at least a portion of the third insulating layer 113 may be in contact with the second insulating layer 112. For example, the mask layer 120 may include a material having an etch selectivity with respect to the first insulating layer 111, the second insulating layer 112, and the third insulating layer 113. The mask layer 120 may be formed, for example, by a chemical vapor deposition (CVD) process or an ALD process.

도 3에서 마스크층(120)은 단일층인 것으로 도시하였지만, 설명의 편의를 위한 것일 뿐, 이에 제한되는 것은 아니다. 마스크층(120)은 하나의 층으로 형성될 수도 있고, 복수의 층으로 형성될 수도 있다.In FIG. 3, the mask layer 120 is shown as a single layer, but this is only for convenience of explanation and is not limited thereto. The mask layer 120 may be formed as one layer or may be formed as multiple layers.

게이트 구조물(300)은 기판(100) 내에서 연장되는 게이트 트렌치(GT)들 내에 배치될 수 있다. 게이트 구조물(300)은, 게이트 절연층(121), 워드 라인(WL), 및 게이트 캡핑층(150)을 포함할 수 있다. The gate structure 300 may be disposed in gate trenches GT extending within the substrate 100 . The gate structure 300 may include a gate insulating layer 121, a word line (WL), and a gate capping layer 150.

도 3 및 도 4를 참조하면, 게이트 구조물(300)의 측면 일부 및 바닥면 일부는 활성 영역(AC)과 접할 수 있다. 게이트 구조물(300)의 측면 일부 및 바닥면 일부는 제2 소자 분리막(110B)과도 접할 수 있다. 구체적으로, 게이트 구조물(300)에 포함된 게이트 절연층(121)은 활성 영역(AC) 및 제2 소자 분리막(110B)과 접할 수 있다. Referring to FIGS. 3 and 4 , a portion of the side surface and a portion of the bottom surface of the gate structure 300 may be in contact with the active area AC. A portion of the side surface and a portion of the bottom surface of the gate structure 300 may also be in contact with the second device isolation layer 110B. Specifically, the gate insulating layer 121 included in the gate structure 300 may contact the active area AC and the second device isolation layer 110B.

게이트 절연층(121)은 게이트 트렌치(GT)의 바닥면 및 내측면들 상에 배치될 수 있다. 게이트 절연층(121)은 게이트 트렌치(GT)의 내측벽을 컨포멀하게(conformally) 덮을 수 있다.The gate insulating layer 121 may be disposed on the bottom and inner surfaces of the gate trench GT. The gate insulating layer 121 may conformally cover the inner wall of the gate trench (GT).

일 실시예에 따르면, 셀 영역(CR)에서 게이트 절연층(121)은 활성 영역(AC)과 워드 라인(WL) 사이에 개재될 수 있다. 일 실시예에 따르면, 외곽 영역(OR)에서 게이트 절연층(121)의 적어도 일부는 제1 소자 분리막(110A) 과 워드 라인(WL)의 제1 패턴(130) 사이에 개재될 수 있다. 또한, 외곽 영역(OR)에서 게이트 절연층(121)의 적어도 일부는 마스크층(120)의 상면 및 측벽을 덮을 수 있다. 게이트 절연층(121)은 제1 소자 분리막(110A)의 상면 및 측벽을 따라 마스크층(120) 위로 연결될 수 있다.According to one embodiment, the gate insulating layer 121 may be interposed between the active region AC and the word line WL in the cell region CR. According to one embodiment, at least a portion of the gate insulating layer 121 in the outer region OR may be interposed between the first device isolation layer 110A and the first pattern 130 of the word line WL. Additionally, at least a portion of the gate insulating layer 121 may cover the top surface and sidewalls of the mask layer 120 in the outer area OR. The gate insulating layer 121 may be connected to the mask layer 120 along the top and sidewalls of the first device isolation layer 110A.

게이트 절연층(121)은 실리콘 산화물, 실리콘 질화물, 및 실리콘 산질화물, 또는 실리콘 산화물보다 유전 상수가 큰 고유전율 물질 중 적어도 하나를 포함할 수 있다. 일 실시예에 따르면, 게이트 절연층(121)은 활성 영역(AC)을 산화(oxidation)시켜 형성된 층이거나, 증착에 의해 형성된 층일 수 있다. The gate insulating layer 121 may include at least one of silicon oxide, silicon nitride, silicon oxynitride, or a high dielectric constant material having a higher dielectric constant than silicon oxide. According to one embodiment, the gate insulating layer 121 may be a layer formed by oxidizing the active area AC, or may be a layer formed by deposition.

마스크층(120) 및 마스크층(120) 위에 위치한 게이트 절연층(121)은 후속 공정에서 제거될 수 있다.The mask layer 120 and the gate insulating layer 121 located on the mask layer 120 may be removed in a subsequent process.

워드 라인(WL)은 도전성 물질, 예를 들어, 다결정 실리콘(Si), 티타늄(Ti), 티타늄 질화물(TiN), 탄탈륨(Ta), 탄탈륨 질화물(TaN), 텅스텐(W), 텅스텐 질화물(WN), 및 알루미늄(Al) 중 적어도 하나를 포함할 수 있다.The word line (WL) is a conductive material, such as polycrystalline silicon (Si), titanium (Ti), titanium nitride (TiN), tantalum (Ta), tantalum nitride (TaN), tungsten (W), and tungsten nitride (WN). ), and may include at least one of aluminum (Al).

일 실시예에 따르면, 워드 라인(WL)은 서로 다른 물질로 형성되는 제1 패턴(130) 및 제2 패턴(140)을 포함할 수 있다. According to one embodiment, the word line WL may include a first pattern 130 and a second pattern 140 formed of different materials.

일 실시예에 따르면, 제1 패턴(130)은 금속 및 금속 질화물 중 적어도 하나를 포함하는 금속 패턴일 수 있다. 더 구체적으로 예를 들어, 제1 패턴(130)은 텅스텐(W), 티타늄(Ti), 탄탈륨(Ta), 텅스텐 질화물(WN), 티타늄 질화물(TiN), 및 탄탈륨 질화물(TaN) 중 적어도 하나를 포함할 수 있다.According to one embodiment, the first pattern 130 may be a metal pattern including at least one of metal and metal nitride. More specifically, for example, the first pattern 130 is at least one of tungsten (W), titanium (Ti), tantalum (Ta), tungsten nitride (WN), titanium nitride (TiN), and tantalum nitride (TaN). may include.

도 3 및 도 4를 참조하면, 일 실시예에 따른 게이트 트렌치(GT)는 X 방향으로 연장될 수 있으며, 제1 패턴(130)은 게이트 트렌치(GT) 내에 형성될 수 있다. 즉, 제1 패턴(130) 또한 X 방향으로 길게 연장될 수 있다.Referring to FIGS. 3 and 4 , the gate trench GT according to one embodiment may extend in the X direction, and the first pattern 130 may be formed within the gate trench GT. That is, the first pattern 130 may also extend long in the X direction.

일 실시예에 따르면, 제1 패턴(130)은 셀 영역(CR) 및 외곽 영역(OR)에 위치할 수 있다. 보다 구체적으로, 제1 패턴(130)은 셀 영역(CR)을 넘어 외곽 영역(OR)까지 연장될 수 있다. 예를 들어, 제1 패턴(130)은 외곽 영역(OR)에서의 제1 부분(131) 및 셀 영역(CR)에서의 제2 부분(132)을 가질 수 있다. 제1 패턴(130)의 제1 부분(131) 및 제2 부분(132)은 서로 연결될 수 있다. 예를 들어, 제1 부분(131) 및 제2 부분(132)은 일체로 이루어질 수 있다.According to one embodiment, the first pattern 130 may be located in the cell area (CR) and the outer area (OR). More specifically, the first pattern 130 may extend beyond the cell area (CR) to the outer area (OR). For example, the first pattern 130 may have a first part 131 in the outer area OR and a second part 132 in the cell area CR. The first part 131 and the second part 132 of the first pattern 130 may be connected to each other. For example, the first part 131 and the second part 132 may be formed as one piece.

일 실시예에 따르면, 제1 부분(131)의 제1 두께(t1)와 제2 부분(132)의 제2 두께(t2)는 서로 다를 수 있다. 예를 들어, 제1 두께(t1)는 제2 두께(t2)보다 두껍게 형성될 수 있다. 즉, 제1 패턴(130)의 제1 부분(131)은 기판(100)의 수직 방향으로 제1 두께(t1)를 가지고, 제1 패턴(130)의 제2 부분(132)은 기판(100)의 수직 방향으로 제1 두께(t1)보다 작은 제2 두께(t2)를 가질 수 있다. 제1 두께(t1)는 게이트 절연층(121)과 제1 부분(131)의 상면 사이의 최장 거리에 대응될 수 있다. 제2 두께(t2)는 게이트 절연층(121)과 제2 부분(132)의 상면 사이의 최장 거리에 대응될 수 있다.According to one embodiment, the first thickness t1 of the first part 131 and the second thickness t2 of the second part 132 may be different from each other. For example, the first thickness t1 may be thicker than the second thickness t2. That is, the first part 131 of the first pattern 130 has a first thickness t1 in the vertical direction of the substrate 100, and the second part 132 of the first pattern 130 has a first thickness t1 in the vertical direction of the substrate 100. ) may have a second thickness (t2) that is smaller than the first thickness (t1) in the vertical direction. The first thickness t1 may correspond to the longest distance between the gate insulating layer 121 and the top surface of the first portion 131. The second thickness t2 may correspond to the longest distance between the gate insulating layer 121 and the top surface of the second portion 132.

상술한 바와 같이, 본 명세서에 따른 반도체 소자(10)에서는 셀 영역(CR) 대비 외곽 영역(OR)의 제1 패턴(130)의 두께를 두껍게 형성함에 따라, 외곽 영역(OR)과 셀 영역(CR) 사이에서 제1 패턴(130)의 끊김 현상을 개선할 수 있다. 이에 따라, 끊김 현상에 따른 반도체 소자 불량을 개선함으로써, 제품 신뢰성이 향상시킬 수 있다.As described above, in the semiconductor device 10 according to the present specification, the thickness of the first pattern 130 in the outer region (OR) is increased compared to the cell region (CR), so that the outer region (OR) and the cell region ( The disconnection phenomenon of the first pattern 130 between CR) can be improved. Accordingly, product reliability can be improved by improving semiconductor device defects due to disconnection phenomenon.

일 실시예에 따르면, 셀 영역(CR) 및 외곽 영역(OR) 사이의 경계에서 제1 패턴(130)의 상면의 높이 차이(또는 단차)가 존재할 수 있다. 구체적으로, 외곽 영역(OR)에서 제1 패턴(130)의 상면의 높이가 셀 영역(CR)에서 제1 패턴(130)의 상면의 높이보다 높을 수 있다. 즉, 제1 부분(131)의 상면의 높이가 제2 부분(132)의 상면의 높이보다 높을 수 있다. According to one embodiment, there may be a height difference (or step) of the upper surface of the first pattern 130 at the boundary between the cell region CR and the outer region OR. Specifically, the height of the top surface of the first pattern 130 in the outer area OR may be higher than the height of the top surface of the first pattern 130 in the cell area CR. That is, the height of the top surface of the first part 131 may be higher than the height of the top surface of the second part 132.

일 실시예에 따르면, 제1 패턴(130)의 제1 부분(131)은 평평한 상면을 가질 수 있다. 예를 들어, 제1 부분(131)의 상면은 약 50Å 이하의 표면 조도를 가질 수 있다. 도 11 및 도 12를 참조하여 후술할 바와 같이, 제1 부분(131)이 평평한 상면을 가진다는 것은 외곽 영역(OR)에서 제2 패턴(140)을 모두 제거하여 제1 부분(131) 상에 제2 패턴(140)이 존재하지 않는 것을 의미할 수 있다. 일 실시예에 따르면, 제1 패턴(130)의 제2 부분(132)은 셀 영역(CR)에서 평평하지 않은 상면을 가질 수 있다. 다만 이에 한정되는 것은 아니며, 제2 부분(132)은 셀 영역(CR)에서 평평한 상면을 가질 수도 있다.According to one embodiment, the first portion 131 of the first pattern 130 may have a flat top surface. For example, the upper surface of the first portion 131 may have a surface roughness of about 50 Å or less. As will be described later with reference to FIGS. 11 and 12 , the fact that the first part 131 has a flat top surface means that all of the second patterns 140 are removed from the outer area OR and placed on the first part 131. This may mean that the second pattern 140 does not exist. According to one embodiment, the second portion 132 of the first pattern 130 may have an uneven top surface in the cell region CR. However, the present invention is not limited to this, and the second part 132 may have a flat top surface in the cell region CR.

일 실시예에 따르면, 제2 패턴(140)은 P형 또는 N형 불순물로 도핑된 폴리 실리콘을 포함하는 반도체 패턴일 수 있다. According to one embodiment, the second pattern 140 may be a semiconductor pattern including polysilicon doped with P-type or N-type impurities.

일 실시예에 따르면, 제2 패턴(140)은 X 방향으로 연장될 수 있다. 일 실시예에 따르면, 제2 패턴(140)은 셀 영역(CR)에 위치할 수 있다. According to one embodiment, the second pattern 140 may extend in the X direction. According to one embodiment, the second pattern 140 may be located in the cell region CR.

일 실시예에 따르면, 제2 패턴(140)은 제1 패턴(130)의 제2 부분(132) 상에 배치될 수 있다. 일 실시예에 따르면, 제2 패턴(140)은 제2 부분(132) 상에서 X 방향으로 연장되며, 셀 영역(CR)과 외곽 영역(OR) 사이의 경계에서 제1 패턴(130)의 측면과 접할 수 있다. 일 실시예에 따르면, 제2 패턴(140)은 제1 패턴(130)의 제1 부분(131) 상에는 배치되지 않을 수 있다. 보다 구체적으로, 제2 패턴(140)은 외곽 영역(OR)에서 제거됨에 따라, 제1 부분(131) 상에는 배치되지 않을 수 있다.According to one embodiment, the second pattern 140 may be disposed on the second portion 132 of the first pattern 130. According to one embodiment, the second pattern 140 extends in the You can access it. According to one embodiment, the second pattern 140 may not be disposed on the first portion 131 of the first pattern 130. More specifically, as the second pattern 140 is removed from the outer area OR, it may not be disposed on the first portion 131.

상술한 바와 같이, 본 명세서에 따른 반도체 소자(10)에서는 제2 패턴(140)을 제거함으로써 후속 식각 공정에서의 산포 열화를 방지할 수 있다.As described above, in the semiconductor device 10 according to the present specification, distribution deterioration in a subsequent etching process can be prevented by removing the second pattern 140.

제2 패턴(140)은 제2 부분(132) 상에서 수직 방향으로 제3 두께(t3)를 가질 수 있다. 제3 두께(t3)는 제2 부분(132)과 제2 패턴(140)의 상면 사이의 최장 거리에 대응될 수 있다. 일 실시예에 따르면, 제1 두께(t1)는 제2 두께(t2)와 제3 두께(t3)의 합보다 크거나 같을 수 있다. The second pattern 140 may have a third thickness t3 in the vertical direction on the second portion 132 . The third thickness t3 may correspond to the longest distance between the second portion 132 and the top surface of the second pattern 140. According to one embodiment, the first thickness t1 may be greater than or equal to the sum of the second thickness t2 and the third thickness t3.

상술한 바와 같이, 본 명세서에 따른 반도체 소자(10)에서는 제1 패턴(130)의 제1 두께(t1)를 제2 두께(t2)와 제3 두께(t3)의 합보다 충분히 두껍게 형성함에 따라, 외곽 영역(OR)과 셀 영역(CR) 사이에서 제1 패턴(130)의 끊김 현상을 개선할 수 있다.As described above, in the semiconductor device 10 according to the present specification, the first thickness t1 of the first pattern 130 is formed to be sufficiently thicker than the sum of the second thickness t2 and the third thickness t3. , the disconnection phenomenon of the first pattern 130 between the outer area (OR) and the cell area (CR) can be improved.

게이트 캡핑층(150)은 워드 라인(WL) 상에 형성될 수 있다. 예를 들어, 게이트 캡핑층(150)은 게이트 절연층(121) 및 워드 라인(WL)이 채워지고 남은 게이트 트렌치(GT)의 영역을 채울 수 있다. 즉, 게이트 캡핑층(150)은 워드 라인(WL)의 상부에서 게이트 트렌치(GT)를 채우도록 배치될 수 있다. 보다 구체적으로, 셀 영역(CR)에서 게이트 캡핑층(150)은 제2 패턴(140)을 덮고 게이트 트렌치(GT)의 상부를 매립할 수 있다. 즉, 게이트 캡핑층(150)은 게이트 절연층(121), 제1 패턴(130) 및 제2 패턴(140)이 게이트 트렌치(GT)를 채우지 못하는 공간을 매립할 수 있다.The gate capping layer 150 may be formed on the word line (WL). For example, the gate capping layer 150 may fill the area of the gate trench GT remaining after the gate insulating layer 121 and the word line WL are filled. That is, the gate capping layer 150 may be disposed to fill the gate trench GT at the top of the word line WL. More specifically, in the cell region CR, the gate capping layer 150 may cover the second pattern 140 and bury the upper part of the gate trench GT. That is, the gate capping layer 150 may fill the space where the gate insulating layer 121, the first pattern 130, and the second pattern 140 do not fill the gate trench GT.

일 실시예에 따르면, 게이트 캡핑층(150)은 셀 영역(CR)에서는 제2 패턴(140)과 접촉하고, 외곽 영역(OR)에서는 제1 패턴(130)과 접촉하도록 배치될 수 있다. 보다 구체적으로, 게이트 캡핑층(150)은 셀 영역(CR)에서는 제2 패턴(140)과 접촉하고, 외곽 영역(OR)에서는 평평한 상면을 가지는 제1 패턴(130)과 접촉할 수 있다. 일 실시예에 따르면, 제1 패턴(130)의 제2 부분(132)과 게이트 캡핑층(150) 사이에는 제2 패턴(140)이 위치할 수 있다. 즉, 게이트 캡핑층(150)은 제1 부분(131)과는 접하고, 제2 부분(132)과는 접하지 않을 수 있다. According to one embodiment, the gate capping layer 150 may be disposed to contact the second pattern 140 in the cell region CR and to contact the first pattern 130 in the outer region OR. More specifically, the gate capping layer 150 may contact the second pattern 140 in the cell region CR, and may contact the first pattern 130 having a flat top surface in the outer region OR. According to one embodiment, the second pattern 140 may be positioned between the second portion 132 of the first pattern 130 and the gate capping layer 150. That is, the gate capping layer 150 may be in contact with the first part 131 and not in contact with the second part 132.

게이트 캡핑층(150)은 예를 들어, 실리콘 산화물, 실리콘 질화물, 실리콘 산질화물 및 이들의 조합 중 적어 도 하나를 포함할 수 있으나, 이에 제한되는 것은 아니다.The gate capping layer 150 may include, but is not limited to, at least one of, for example, silicon oxide, silicon nitride, silicon oxynitride, and a combination thereof.

도 5 내지 도 14는 일 실시예에 따른 반도체 소자의 제조 방법을 나타내는 단면도들이다. 도 7 내지 도 12에 따른 제조 방법에 의해 도 3 및 도 4에 도시된 반도체 소자(10)가 제조될 수 있다. 이미 설명한 부분에 대해서는 상세한 설명을 생략하고 설명되지 않은 부분을 상세하게 설명한다.5 to 14 are cross-sectional views showing a method of manufacturing a semiconductor device according to an embodiment. The semiconductor device 10 shown in FIGS. 3 and 4 can be manufactured by the manufacturing method according to FIGS. 7 to 12. Detailed description of parts that have already been described will be omitted, and parts that have not been explained will be explained in detail.

도 5, 도 7, 도 9, 도 11, 및 도 13은 도 2의 A-A'선을 따라 자른 단면도이다. A-A'선은 X 방향과 평행할 수 있다. 도 6, 도 8, 도 10, 도 12 및 도 14는 도 2의 B-B'선을 따라 자른 단면도이다. B-B'선은 Y 방향과 평행할 수 있다.Figures 5, 7, 9, 11, and 13 are cross-sectional views taken along line A-A' in Figure 2. Line A-A' may be parallel to the X direction. FIGS. 6, 8, 10, 12, and 14 are cross-sectional views taken along line B-B' of FIG. 2. Line B-B' may be parallel to the Y direction.

도 5 및 도 6을 참조하면, 셀 영역(CR) 및 외곽 영역(OR)을 포함하는 기판(100)에 소자 분리막(110)을 형성할 수 있다. 예를 들어, 소자 분리막(110)은 쉘로우 트렌치 소자 분리(shallow trench isolation, STI) 공정에 의하여 형성될 수 있다. Referring to FIGS. 5 and 6 , the device isolation layer 110 may be formed on the substrate 100 including the cell region (CR) and the outer region (OR). For example, the device isolation film 110 may be formed by a shallow trench isolation (STI) process.

일 실시예에 따르면, 소자 분리막(110)은 외곽 영역(OR)에 위치하는 제1 소자 분리막(110A) 및 셀 영역(CR)에 위치하는 제2 소자 분리막(110B)을 포함할 수 있다. According to one embodiment, the device isolation film 110 may include a first device isolation film 110A located in the outer region OR and a second device isolation film 110B located in the cell region CR.

일 실시예에 따르면, 제1 소자 분리막(110A)을 형성하여 외곽 영역(OR)과 셀 영역(CR)의 경계를 정의할 수 있다. 일 실시예에 따르면, 제1 소자 분리막(110A)은 외곽 영역(OR)에 위치할 수 있다. 또는, 제1 소자 분리막(110A)은 셀 영역(CR)과 외곽 영역(OR)의 경계에 위치할 수 있다. 일 실시예에 따르면, 제1 소자 분리막(110A)은 제2 소자 분리막(110B)보다 깊은 깊이를 가질 수 있다. 또한, 제1 소자 분리막(110A)의 폭은 제2 소자 분리막(110B)의 폭보다 클 수 있다.According to one embodiment, the first device isolation layer 110A may be formed to define the boundary between the outer region OR and the cell region CR. According to one embodiment, the first device isolation layer 110A may be located in the outer region OR. Alternatively, the first device isolation layer 110A may be located at the boundary between the cell region CR and the outer region OR. According to one embodiment, the first device isolation film 110A may have a greater depth than the second device isolation film 110B. Additionally, the width of the first device isolation layer 110A may be larger than the width of the second device isolation layer 110B.

일 실시예에 따르면, 제2 소자 분리막(110B)을 형성하여 셀 영역(CR)에서 활성 영역(AC)을 정의(또는 한정)할 수 있다. 일 실시예에 따르면, 제2 소자 분리막(110B)은 서로 다른 폭 및/또는 깊이를 갖는 복수의 영역들(또는 분리막들)을 포함할 수 있다. 서로 다른 폭 및/또는 깊이를 갖는 복수의 영역들은 기판(100) 상에서 X 방향을 따라 번갈아 위치할 수 있다.According to one embodiment, the second device isolation layer 110B may be formed to define (or limit) the active region AC in the cell region CR. According to one embodiment, the second device isolation film 110B may include a plurality of regions (or isolation films) having different widths and/or depths. A plurality of regions having different widths and/or depths may be alternately positioned along the X direction on the substrate 100 .

이어, 소자 분리막(110) 내에 절연층(111, 112, 113, 114, 115)을 형성할 수 있다. 절연층(111, 112, 113, 114, 115)은 예를 들어, 원자층 증착(atomic layer deposition, ALD) 공정에 의해 형성될 수 있다. Next, insulating layers 111, 112, 113, 114, and 115 may be formed within the device isolation film 110. The insulating layers 111, 112, 113, 114, and 115 may be formed by, for example, an atomic layer deposition (ALD) process.

일 실시예에 따르면, 제1 절연층(111) 및 제2 절연층(112)은 차례로 제1 소자 분리막(110A) 표면을 따라 컨포멀하게 형성될 수 있다. 일 실시예에 따르면, 제3 절연층(113)은 제1 절연층(111) 및 제2 절연층(112)이 제1 소자 분리막(110A)을 채우지 못하는 공간을 매립할 수 있다. According to one embodiment, the first insulating layer 111 and the second insulating layer 112 may be sequentially formed conformally along the surface of the first device isolation layer 110A. According to one embodiment, the third insulating layer 113 may fill the space where the first insulating layer 111 and the second insulating layer 112 do not fill the first device isolation layer 110A.

일 실시예에 따르면, 제4 절연층(114)은 제2 소자 분리막(110B)의 표면을 따라 컨포멀하게 형성될 수 있다. 일 실시예에 따르면, 제5 절연층(115)은 제4 절연층(114)이 제2 소자 분리막(110B)을 채우지 못하는 공간을 매립할 수 있다. According to one embodiment, the fourth insulating layer 114 may be formed conformally along the surface of the second device isolation layer 110B. According to one embodiment, the fifth insulating layer 115 may fill the space where the fourth insulating layer 114 does not fill the second device isolation layer 110B.

일 실시예에 따르면, 제1 소자 분리막(110A)은 제1 물질을 포함하는 제1 절연층(111) 및 제3 절연층(113)을 포함할 수 있다. 일 실시예에 따르면, 제1 소자 분리막(110A)은 제2 물질을 포함하는 제2 절연층(112)을 포함할 수 있다. According to one embodiment, the first device isolation layer 110A may include a first insulating layer 111 and a third insulating layer 113 including a first material. According to one embodiment, the first device isolation layer 110A may include a second insulating layer 112 including a second material.

일 실시예에 따르면, 제2 소자 분리막(110B)은 제1 물질을 포함하는 제4 절연층(114) 및 제2 물질을 포함하는 제5 절연층(115)을 포함할 수 있다. According to one embodiment, the second device isolation layer 110B may include a fourth insulating layer 114 including a first material and a fifth insulating layer 115 including a second material.

제1 물질 및 제2 물질은 각각 절연 물질일 수 있다. 제2 물질은 제1 물질과 상이한 절연 물질을 포함할 수 있다. 본 명세서에서는 제1 물질이 실리콘 산화물이고, 제2 물질이 실리콘 질화물인 경우에 대해 설명한다. 다만, 제1 물질 및 제2 물질이 이에 한정되는 것은 아니며, 다양하게 변경될 수 있다.The first material and the second material may each be an insulating material. The second material may include a different insulating material than the first material. In this specification, a case where the first material is silicon oxide and the second material is silicon nitride will be described. However, the first and second materials are not limited to this and may be changed in various ways.

절연층(111, 112, 113, 114, 115) 위에 마스크층(120)이 형성될 수 있다. 마스크층(120)은, 예를 들어, 절연층(111, 112, 113, 114, 115)에 대해 식각 선택비를 갖는 물질을 포함할 수 있다. 마스크층(120)은, 예를 들어, 화학기상증착(chemical vapor deposition, CVD) 공정 또는 원자층 증착(atomic layer deposition, ALD)에 의해 형성될 수 있다.A mask layer 120 may be formed on the insulating layers 111, 112, 113, 114, and 115. For example, the mask layer 120 may include a material having an etch selectivity with respect to the insulating layers 111, 112, 113, 114, and 115. The mask layer 120 may be formed, for example, by a chemical vapor deposition (CVD) process or an atomic layer deposition (ALD) process.

도 6을 참조하면, 활성 영역(AC)과 교차하며 기판(100)의 상면과 평행한 방향(예: 도 5의 X 방향)으로 연장되는 게이트 트렌치(GT)들을 형성할 수 있다. 예를 들어, 게이트 트렌치(GT)들은 식각 공정에 의해 형성될 수 있다. 게이트 트렌치(GT)들은 Y 방향으로 이격되며 배치될 수 있다. Referring to FIG. 6 , gate trenches GT may be formed that intersect the active area AC and extend in a direction parallel to the top surface of the substrate 100 (eg, the X direction in FIG. 5 ). For example, gate trenches GT may be formed through an etching process. Gate trenches GT may be arranged to be spaced apart in the Y direction.

일 실시예에 따르면, 식각 공정에 의해, 게이트 트렌치(GT)가 형성될 수 있다. 예를 들어, 실리콘 질화물 대 실리콘 산화물 선택비를 갖는 물질을 이용하여 식각 공정을 진행할 수 있다. 상기 물질은, 예를 들어, 실리콘 산화물에 대한 식각비(etch rate)가 실리콘 질화물에 대한 식각비보다 높을 수 있다. According to one embodiment, the gate trench GT may be formed through an etching process. For example, the etching process can be performed using a material having a selectivity ratio of silicon nitride to silicon oxide. For example, the material may have an etch rate for silicon oxide that is higher than that for silicon nitride.

도 5 및 도 6을 참조하면, 게이트 절연층(121)은 게이트 트렌치(GT)에 의해 노출되는 활성 영역(AC) 및 절연층(111, 112, 113, 114, 115) 위에 형성될 수 있다. 게이트 절연층(121)은 마스크층(120) 위에 위치할 수 있다. 게이트 절연층(121)은, 예를 들어, ALD 공정에 의해 형성될 수 있다. 게이트 절연층(121)은, 예를 들어, 실리콘 산화물을 포함할 수 있다.Referring to FIGS. 5 and 6 , the gate insulating layer 121 may be formed on the active area AC and the insulating layers 111, 112, 113, 114, and 115 exposed by the gate trench GT. The gate insulating layer 121 may be located on the mask layer 120. The gate insulating layer 121 may be formed, for example, through an ALD process. The gate insulating layer 121 may include, for example, silicon oxide.

게이트 절연층(121)은 제1 소자 분리막(110A) 내에 위치한 제1 절연층(111), 제2 절연층(112), 및 제3 절연층(113)을 덮을 수 있다. 예를 들어, 게이트 절연층(121)은 제1 절연층(111), 제2 절연층(112)의 상면을 덮을 수 있다. 또한 예를 들어, 게이트 절연층(121)은 제3 절연층(113)의 측벽 및 상면을 덮을 수 있다.The gate insulating layer 121 may cover the first insulating layer 111, the second insulating layer 112, and the third insulating layer 113 located within the first device isolation layer 110A. For example, the gate insulating layer 121 may cover the top surfaces of the first insulating layer 111 and the second insulating layer 112. Also, for example, the gate insulating layer 121 may cover the sidewall and top surface of the third insulating layer 113.

게이트 절연층(121)은 제2 소자 분리막(110B) 내에 위치하는 제4 절연층(114) 및 제5 절연층(115)을 덮을 수 있다. 예를 들어, 게이트 절연층(121)은 제4 절연층(114) 및 제5 절연층(115)의 상면을 덮을 수 있다. The gate insulating layer 121 may cover the fourth insulating layer 114 and the fifth insulating layer 115 located in the second device isolation layer 110B. For example, the gate insulating layer 121 may cover the upper surfaces of the fourth insulating layer 114 and the fifth insulating layer 115.

일 실시예에 따르면, 마스크층(120) 및 마스크층(120) 위에 위치한 게이트 절연층(121)은 후속 공정에서 제거될 수 있다.According to one embodiment, the mask layer 120 and the gate insulating layer 121 located on the mask layer 120 may be removed in a subsequent process.

도 7 및 도 8을 참조하면, 게이트 절연층(121) 위에 제1 패턴(130)을 형성할 수 있다. 제1 패턴(130)은 외곽 영역(OR)의 제1 소자 분리막(110A) 및 셀 영역(CR)의 제2 소자 분리막(110B) 상에 위치할 수 있다. 구체적으로, 제1 패턴(130)은 셀 영역(CR)에서 활성 영역(AC)을 가로지르며 X 방향으로 외곽 영역(OR)의 제1 소자 분리막(110A) 위로 연장될 수 있다. Referring to FIGS. 7 and 8 , the first pattern 130 may be formed on the gate insulating layer 121 . The first pattern 130 may be located on the first device isolation layer 110A in the outer region OR and the second device isolation layer 110B in the cell region CR. Specifically, the first pattern 130 may extend from the cell region CR across the active region AC and onto the first device isolation layer 110A in the outer region OR in the X direction.

도 7을 참조하면, 제1 패턴(130)은 외곽 영역(OR)에서의 제1 부분(131) 및 셀 영역(CR)에서의 제2 부분(132)을 가지도록 형성될 수 있다. 예를 들어, 제1 부분(131) 및 제2 부분(132)은 일체로 이루어질 수 있다. 일 실시예에 따르면, 제1 패턴(130)은 외곽 영역(OR)에서의 두께가 셀 영역(CR)에서의 두께보다 두껍게 형성될 수 있다.Referring to FIG. 7 , the first pattern 130 may be formed to have a first part 131 in the outer area OR and a second part 132 in the cell area CR. For example, the first part 131 and the second part 132 may be formed as one piece. According to one embodiment, the first pattern 130 may be formed to be thicker in the outer area OR than in the cell area CR.

이에 따라, 셀 영역(CR)과 외곽 영역(OR) 사이의 경계에서 제1 패턴의 상면의 높이 차이(또는 단차)가 존재할 수 있다. 구체적으로, 외곽 영역(OR)에서 제1 부분(131)의 상면의 높이가 셀 영역(CR)에서 제2 부분(132)의 높이보다 높을 수 있다.Accordingly, there may be a height difference (or step) between the upper surface of the first pattern at the boundary between the cell region CR and the outer region OR. Specifically, the height of the top surface of the first part 131 in the outer area OR may be higher than the height of the second part 132 in the cell area CR.

상술한 바와 같이, 본 발명에 따른 반도체 소자에서는 셀 영역(CR)보다 외곽 영역(OR)에서 제1 패턴(130)을 두껍게 형성함으로써 외곽 영역(OR)과 셀 영역(CR)의 경계에서 제1 패턴(130)의 끊김 현상을 개선할 수 있다.As described above, in the semiconductor device according to the present invention, the first pattern 130 is formed thicker in the outer region (OR) than in the cell region (CR), so that the first pattern 130 is formed thicker at the boundary between the outer region (OR) and the cell region (CR). The disconnection phenomenon of the pattern 130 can be improved.

도 8을 참조하면, 게이트 트렌치(GT)가 충분히 매립되도록 증착공정 조건을 제어하여 제1 패턴(130)을 증착할 수 있다. 이에 따라, 제1 패턴(130)은 게이트 절연층(121)을 덮고 게이트 트렌치(GT)의 하부를 매립할 수 있다. Referring to FIG. 8, the first pattern 130 can be deposited by controlling the deposition process conditions so that the gate trench GT is sufficiently filled. Accordingly, the first pattern 130 may cover the gate insulating layer 121 and bury the lower portion of the gate trench (GT).

일 실시예에 따르면, 제1 패턴(130)은 물리기상증착(physical vapor deposition, PVD), 화학기상증착(chemical vapor deposition, CVD) 또는 원자층 증착(atomic layer deposition, ALD)과 같은 증착공정을 이용하여 도전물질을 게이트 절연층(121) 위에 증착함으로써 형성할 수 있다.According to one embodiment, the first pattern 130 is formed by a deposition process such as physical vapor deposition (PVD), chemical vapor deposition (CVD), or atomic layer deposition (ALD). It can be formed by depositing a conductive material on the gate insulating layer 121.

일 실시예에 따르면, 제1 패턴(130)은 금속 및 금속 질화물 중 적어도 하나를 포함하는 금속 패턴일 수 있다. 예를 들어, 제1 패턴(130)은 텅스텐(W), 티타늄(Ti), 탄탈륨(Ta), 텅스텐 질화물(WN), 티타늄 질화물(TiN), 및 탄탈륨 질화물(TaN) 중 적어도 하나를 포함할 수 있다. According to one embodiment, the first pattern 130 may be a metal pattern including at least one of metal and metal nitride. For example, the first pattern 130 may include at least one of tungsten (W), titanium (Ti), tantalum (Ta), tungsten nitride (WN), titanium nitride (TiN), and tantalum nitride (TaN). You can.

도 9를 참조하면, 제1 패턴(130) 위에 제2 패턴(140)을 형성할 수 있다. 일 실시예에 따르면, 제2 패턴(140)은 외곽 영역(OR)에 위치하는 제1 패턴(130)의 제1 부분(131) 및 셀 영역(CR)에 위치하는 제1 패턴(130)의 제2 부분(132) 위에 위치할 수 있다. 구체적으로, 제2 패턴(140)은 셀 영역(CR)에서 제1 패턴(130)의 제2 부분(132)을 가로지르며 X 방향으로 외곽 영역(OR)의 제1 패턴(130) 위로 연장될 수 있다. Referring to FIG. 9 , the second pattern 140 may be formed on the first pattern 130. According to one embodiment, the second pattern 140 includes the first portion 131 of the first pattern 130 located in the outer region OR and the first pattern 130 located in the cell region CR. It may be located on the second portion 132. Specifically, the second pattern 140 will cross the second portion 132 of the first pattern 130 in the cell region (CR) and extend over the first pattern 130 in the outer region (OR) in the X direction. You can.

일 실시예에 따르면, 제2 패턴(140)은 셀 영역(CR)에서 외곽 영역(OR)보다 더 두껍게 형성될 수 있다. 여기서, 두께는 기판(100)의 상면에 수직한 Z 방향의 두께를 의미할 수 있다.According to one embodiment, the second pattern 140 may be formed to be thicker in the cell region (CR) than the outer region (OR). Here, the thickness may mean the thickness in the Z direction perpendicular to the upper surface of the substrate 100.

일 실시예에 따르면, 셀 영역(CR)에서의 제2 패턴(140)의 상면과 외곽 영역(OR)에서의 제2 패턴(140)의 상면의 높이 차이(또는 단차)가 존재할 수 있다. 일 실시예에 따르면, 외곽 영역(OR)에서 제1 패턴(130)의 상면의 높이가 셀 영역(CR)에서의 제1 패턴(130)의 상면의 높이보다 높게 형성됨에 따라, 외곽 영역(OR)에서 제2 패턴(140)의 상면의 높이는 셀 영역(CR)에서의 제2 패턴(140)의 높이보다 높을 수 있다.According to one embodiment, there may be a height difference (or step) between the top surface of the second pattern 140 in the cell region CR and the top surface of the second pattern 140 in the outer region OR. According to one embodiment, as the height of the top surface of the first pattern 130 in the outer region OR is formed to be higher than the height of the top surface of the first pattern 130 in the cell region CR, the outer region OR ), the height of the upper surface of the second pattern 140 may be higher than the height of the second pattern 140 in the cell region CR.

일 실시예에 따르면, 제2 패턴(140)은 물리기상증착(physical vapor deposition, PVD), 화학기상증착(chemical vapor deposition, CVD) 또는 원자층 증착(atomic layer deposition, ALD)과 같은 증착공정을 이용하여 도전물질을 제1 패턴(130) 위에 증착함으로써 형성할 수 있다. According to one embodiment, the second pattern 140 is formed by a deposition process such as physical vapor deposition (PVD), chemical vapor deposition (CVD), or atomic layer deposition (ALD). It can be formed by depositing a conductive material on the first pattern 130.

일 실시예에 따르면, 제2 패턴(140)은 제1 패턴(130)과 서로 다른 물질로 형성될 수 있다. 예를 들어, 제2 패턴(140)은 P형 또는 N형 불순물로 도핑된 폴리 실리콘을 포함하는 반도체 패턴일 수 있다.According to one embodiment, the second pattern 140 may be formed of a different material from the first pattern 130. For example, the second pattern 140 may be a semiconductor pattern including polysilicon doped with P-type or N-type impurities.

도 10을 참조하면, 증착공정 조건을 제어하여 게이트 트렌치(GT)가 충분히 매립되도록 제2 패턴(140)을 증착할 수 있다. 이에 따라, 제2 패턴(140)은 제1 패턴(130)을 덮고 게이트 트렌치(GT)를 충분히 매립할 수 있다. Referring to FIG. 10, the second pattern 140 can be deposited so that the gate trench GT is sufficiently filled by controlling the deposition process conditions. Accordingly, the second pattern 140 can cover the first pattern 130 and sufficiently fill the gate trench GT.

도 11 및 도 12를 참조하여 후술할 바와 같이, 제2 패턴(140)은 외곽 영역(OR)에서 제거될 수 있다. 또한, 제2 패턴(140)은 셀 영역(CR)에서는 제거되지 않을 수 있다.As will be described later with reference to FIGS. 11 and 12 , the second pattern 140 may be removed from the outer area OR. Additionally, the second pattern 140 may not be removed from the cell region CR.

도 11 및 도 12를 참조하면, 평탄화 공정을 수행하여 제2 패턴(140)을 연마할 수 있다. 예를 들어, 화학적 기계적 연마(chemical mechanical polishing, CMP) 공정을 수행하여 제2 패턴(140)의 적어도 일부를 제거할 수 있다. Referring to FIGS. 11 and 12 , the second pattern 140 may be polished by performing a planarization process. For example, at least a portion of the second pattern 140 may be removed by performing a chemical mechanical polishing (CMP) process.

일 실시예에 따르면, 제2 패턴(140)을 제거하기 위한 CMP 공정조건을 조절할 수 있다. 예를 들어, 연마 압력, 회전 속도, 슬러리 공급 유량(slurry flow rate) 및 슬러리(slurry) 종류 등을 조절할 수 있다.According to one embodiment, CMP process conditions for removing the second pattern 140 can be adjusted. For example, polishing pressure, rotation speed, slurry flow rate, and slurry type can be adjusted.

일 실시예에 따르면, 연마 압력은 연마 헤드를 반도체 기판에 접촉시킬 때 가해지는 압력을 의미할 수 있다. 연마 압력은 연마 목적에 따라 선택될 수 있다. 예를 들어, 연마 압력은 약 0.5psi 내지 약 2.5psi 일 수 있으나, 이에 제한되는 것은 아니다. 본 발명에 따른 반도체 소자의 제조 방법에서는 저압 조건에서 평탄화 공정을 수행함으로써 산포 열화를 방지할 수 있다.According to one embodiment, the polishing pressure may refer to the pressure applied when the polishing head is brought into contact with the semiconductor substrate. The polishing pressure can be selected depending on the polishing purpose. For example, the polishing pressure may be from about 0.5 psi to about 2.5 psi, but is not limited thereto. In the method of manufacturing a semiconductor device according to the present invention, distribution deterioration can be prevented by performing a planarization process under low pressure conditions.

일 실시예에 따르면, 회전 속도는 반도체 기판과 연마 헤드가 접촉한 채로 회전할 때의 속도를 의미할 수 있다. 이 때, 반도체 기판과 연마 헤드의 회전 방향은 동일한 방향일 수도 있고, 반대 방향일 수도 있다. 연마 헤드의 회전 속도는 목적에 따라 선택될 수 있다. 예를 들어, 회전 속도는 약 10rpm 내지 약 140rpm일 수 있으나, 이에 제한되는 것은 아니다. 상술한 바와 같이, 본 발명에 따른 반도체 소자의 제조 방법에서 회전 속도가 상기 범위를 만족함으로써 원심력에 의한 슬러리의 유동성이 적절하게 확보될 수 있다.According to one embodiment, the rotation speed may refer to the speed when the semiconductor substrate and the polishing head rotate while in contact. At this time, the rotation directions of the semiconductor substrate and the polishing head may be in the same direction or in opposite directions. The rotational speed of the polishing head can be selected depending on the purpose. For example, the rotation speed may be from about 10 rpm to about 140 rpm, but is not limited thereto. As described above, in the method of manufacturing a semiconductor device according to the present invention, the rotation speed satisfies the above range, so that the fluidity of the slurry due to centrifugal force can be properly secured.

일 실시예에 따르면, 슬러리 공급 유량은 평탄화 공정에 필요한 슬러리를 공급하는 양을 의미할 수 있다. 예를 들어, 슬러리는 공급 노즐을 통하여 분사될 수 있다. 공급 노즐을 통하여 분사되는 슬러리의 유량은 목적에 따라 선택될 수 있다. 예를 들어, 슬러리 공급 유량은 약 50ml/min 내지 약 500ml/min일 수 있으나, 이에 제한되는 것은 아니다.According to one embodiment, the slurry supply flow rate may refer to the amount of slurry supplied for the planarization process. For example, the slurry may be sprayed through a supply nozzle. The flow rate of slurry sprayed through the supply nozzle can be selected depending on the purpose. For example, the slurry supply flow rate may be from about 50 ml/min to about 500 ml/min, but is not limited thereto.

일 실시예에 따르면, 평탄화 공정에서는 제1 패턴(130) 및 제2 패턴(140) 중 어느 하나에 대해 높은 연마 선택비를 갖는 연마제를 포함하는 슬러리를 이용하여 제2 패턴(140)을 연마할 수 있다. 구체적으로, 평탄화 공정은 제1 패턴(130) 및 제2 패턴(140) 중 어느 하나에 대해 높은 연마 선택비를 갖는 연마제를 포함하는 슬러리를 이용하여 제2 패턴(140)을 화학적 기계적 연마(CMP)하는 단계를 포함할 수 있다.According to one embodiment, in the planarization process, the second pattern 140 is polished using a slurry containing an abrasive having a high polishing selectivity to either the first pattern 130 or the second pattern 140. You can. Specifically, the planarization process involves chemical mechanical polishing (CMP) of the second pattern 140 using a slurry containing an abrasive having a high polishing selectivity for either the first pattern 130 or the second pattern 140. ) may include the step of.

평탄화 공정에서 사용되는 슬러리는 연마 입자, 첨가제, 산화제, 분산제 및 pH조절제 등을 포함할 수 있다. 연마 입자는 금속 산화물, 유기물 또는 무기물로 코팅된 금속 산화물 또는 콜로이달(colloidal) 상태의 금속 산화물을 포함할 수 있다. 예를 들어, 연마 입자는 실리카(Silica), 알루미나(Alumina), 세리아(Ceria), 티타니아(Titania), 지르코니아(Zirconia), 마그네 시아(Magnesia), 게르마니아(Germania), 망가니아(Mangania) 및 이들의 조합 중 적어도 하나를 포함할 수 있으나, 이에 제한되는 것은 아니다. 예를 들어, 연마 입자는 콜로이달 실리카(colloidal silica)를 포함할 수 있다. 연마 입자의 형상은 구형(spherical shape), 각형(square shape), 침상형(needle shape) 또는 판상형(plate shape) 등 다양할 수 있다.The slurry used in the planarization process may include abrasive particles, additives, oxidizing agents, dispersants, and pH adjusters. The abrasive particles may include metal oxides, metal oxides coated with organic or inorganic materials, or metal oxides in a colloidal state. For example, abrasive particles include silica, alumina, ceria, titania, zirconia, magnesia, germania, mangania and others. It may include at least one of the combinations, but is not limited thereto. For example, the abrasive particles may include colloidal silica. The shape of the abrasive particles may vary, such as spherical shape, square shape, needle shape, or plate shape.

이하에서는, 연마 입자가 콜로이달 실리카(colloidal silica)를 포함하는 경우에 대해 설명한다. 본 발명에 따른 반도체 소자의 제조 방법에서 콜로이달 실리카 계열의 슬러리를 사용함으로써, 금속 물질을 포함하는 제1 패턴(130)에 스크래치를 발생시키지 않아 반도체 소자의 열화를 방지할 수 있다. 또한, 폴리 실리콘보다 경도가 큰 콜로이달 실리카 계열의 슬러리를 사용함으로써, 폴리 실리콘을 포함하는 제2 패턴(140)을 연마할 수 있다.Below, a case where the abrasive particles include colloidal silica will be described. By using a colloidal silica-based slurry in the method of manufacturing a semiconductor device according to the present invention, deterioration of the semiconductor device can be prevented by preventing scratches from occurring in the first pattern 130 containing a metal material. Additionally, the second pattern 140 containing polysilicon can be polished by using a slurry based on colloidal silica, which has a greater hardness than polysilicon.

평탄화 공정에 사용되는 슬러리는 선택비를 가질 수 있다. 선택비란, 슬러리를 이용하여 평탄화 공정을 수행할 때 특정 막질을 제거하는 양의 비율일 수 있다. 일 실시예에 따르면, 슬러리는 폴리 실리콘으로 구성된 제2 패턴(140)을 상대적으로 더 연마하고 도전성 금속 물질로 구성된 제1 패턴(130)을 거의 연마하지 않는 조성으로 구성될 수 있다. 예를 들어, 슬러리 조성물에 의한 폴리 실리콘 막: 금속막의 선택비는 약 5:1 이상일 수 있다.The slurry used in the planarization process may have a selectivity. The selectivity ratio may be the ratio of the amount of removal of a specific film material when performing a planarization process using a slurry. According to one embodiment, the slurry may be composed of a composition that relatively further polishes the second pattern 140 made of polysilicon and hardly polishes the first pattern 130 made of a conductive metal material. For example, the selectivity ratio of the polysilicon film to the metal film by the slurry composition may be about 5:1 or more.

일 실시예에 따르면, 연마 입자를 포함하는 슬러리를 이용하여 평탄화 공정을 수행(또는 실시)함에 따라 외곽 영역(OR) 상의 제2 패턴(140)을 완전히 제거할 수 있다. 외곽 영역(OR) 상의 제2 패턴(140)이 제거됨에 따라 외곽 영역(OR)에서 제1 패턴(130)의 제1 부분(131)의 상면이 노출될 수 있다. According to one embodiment, the second pattern 140 on the outer region OR may be completely removed by performing (or carrying out) a planarization process using a slurry containing abrasive particles. As the second pattern 140 on the outer area OR is removed, the upper surface of the first portion 131 of the first pattern 130 may be exposed in the outer area OR.

일 실시예에 따르면, 평탄화 공정은 외곽 영역(OR)에서 제1 패턴(130)의 제1 부분(131)이 노출될 때까지 수행될 수 있다. 외곽 영역(OR)에서 제1 부분(131)이 노출됨에 따라 제1 부분(131)의 표면은 평탄화 공정에 의해 평평해질 수 있다. 구체적으로, 제1 부분(131)의 상면은 약 50Å 이하의 표면 조도를 가질 수 있다.According to one embodiment, the planarization process may be performed until the first portion 131 of the first pattern 130 is exposed in the outer area OR. As the first part 131 is exposed in the outer area OR, the surface of the first part 131 may be flattened through a planarization process. Specifically, the upper surface of the first portion 131 may have a surface roughness of about 50 Å or less.

일 실시예에 따르면, 평탄화 공정은 슬러리를 이용하여 화학적 기계적 연마(CMP)하여 외곽 영역(OR)에 형성된 제1 패턴(130)의 상부에서 연마 정지되도록 하는 단계를 포함할 수 있다. 구체적으로, 폴리 실리콘을 연마하고 도전성 금속 물질을 연마하지 않는 조성으로 형성된 슬러리를 사용함으로써, 평탄화 공정은 금속 물질을 포함하는 제1 패턴(130)에서 정지될 수 있다. 일 실시예에 따르면, 평탄화 공정은 제1 패턴(130)의 일부 부분이 드러나면 정지될 수 있다. 즉, 제1 패턴(130)이 평탄화 공정의 연마 정지층(polishing stopper) 또는 연마 저항층(polishing resistive layer)으로 이용될 수 있다. According to one embodiment, the planarization process may include the step of chemical mechanical polishing (CMP) using a slurry to stop the first pattern 130 formed in the outer region OR. Specifically, by using a slurry formed with a composition that polishes polysilicon and does not polish the conductive metal material, the planarization process can be stopped at the first pattern 130 including the metal material. According to one embodiment, the planarization process may be stopped when some portions of the first pattern 130 are exposed. That is, the first pattern 130 can be used as a polishing stopper or a polishing resistive layer in a planarization process.

상술한 바와 같이, 본 명세서에 따른 반도체 소자(10)에서는 외곽 영역(OR)에서 폴리 실리콘을 포함하는 제2 패턴(140)을 완전히 제거함에 따라, 후속 에치백(Etch Back) 공정에서 필요한 구조를 형성할 수 있다. As described above, in the semiconductor device 10 according to the present specification, the second pattern 140 containing polysilicon is completely removed from the outer region (OR), thereby creating a structure required in the subsequent etch back process. can be formed.

구체적으로, 외곽 영역(OR)에 폴리 실리콘을 포함하는 제2 패턴(140)을 완전히 제거함으로써 후속 공정에서 폴리 실리콘으로 인하여 발생하는 결함을 예방할 수 있다.Specifically, by completely removing the second pattern 140 containing polysilicon in the outer region OR, defects caused by polysilicon can be prevented in a subsequent process.

일 실시예에 따르면, 평탄화 공정을 수행함에 따라 외곽 영역(OR)에서 제1 패턴(130)의 제1 부분(131)은 균일한 높이를 가질 수 있다. 일 실시예에 따르면, 평탄화 공정을 수행함에 따라 셀 영역(CR)에서 제2 패턴(140)은 균일한 높이를 가질 수 있다.According to one embodiment, as the planarization process is performed, the first portion 131 of the first pattern 130 in the outer area OR may have a uniform height. According to one embodiment, as the planarization process is performed, the second pattern 140 may have a uniform height in the cell region CR.

일 실시예에 따르면, 평탄화 공정을 수행함에 따라 외곽 영역(OR)과 셀 영역(CR) 사이의 단차가 최소화될 수 있다. 구체적으로, 외곽 영역(OR)에서의 제1 패턴(130)의 상면의 높이와 셀 영역(CR)에서의 제2 패턴(140)의 상면의 높이 차이가 최소화될 수 있다. 평탄화 공정을 수행함에 따라, 외곽 영역(OR)에서의 제1 패턴(130)의 상면의 높이와 셀 영역(CR)에서의 제2 패턴(140)의 상면의 높이는 실질적으로 동일해질 수 있다.According to one embodiment, as the planarization process is performed, the step between the outer region (OR) and the cell region (CR) may be minimized. Specifically, the difference between the height of the top surface of the first pattern 130 in the outer area OR and the height of the second pattern 140 in the cell area CR can be minimized. As the planarization process is performed, the height of the top surface of the first pattern 130 in the outer region OR and the height of the second pattern 140 in the cell region CR may become substantially the same.

도 13 및 도 14를 참조하면, 제1 패턴(130) 및 제2 패턴(140)을 일정 깊이만큼 리세스(recess) 시킬 수 있다. 즉, 제1 패턴(130) 및 제2 패턴(140)의 일부를 소정의 높이만큼 제거할 수 있다. 리세스 공정은 에치백 공정으로 진행할 수 있다. 에치백 공정이 수행됨에 따라, 외곽 영역(OR)에서 제1 부분(131)의 일부가 소정의 높이만큼 제거될 수 있다. 또한, 에치백 공정이 수행됨에 따라, 셀 영역(CR)에서 제2 패턴(140)의 일부가 소정의 높이만큼 제거될 수 있다.Referring to FIGS. 13 and 14 , the first pattern 130 and the second pattern 140 may be recessed to a certain depth. That is, a portion of the first pattern 130 and the second pattern 140 can be removed to a predetermined height. The recess process can be performed as an etch-back process. As the etch-back process is performed, a portion of the first portion 131 may be removed to a predetermined height from the outer area OR. Additionally, as the etch-back process is performed, a portion of the second pattern 140 may be removed to a predetermined height from the cell region CR.

일 실시예에 따르면, 에치백 공정이 수행됨에 따라, 외곽 영역(OR)에서 제1 부분(131)의 상면의 높이(또는 레벨)은 낮아질 수 있다. 또한, 에치백 공정이 수행됨에 따라, 셀 영역(CR)에서 제2 패턴(140)의 상면의 높이는 낮아질 수 있다. 일 실시예에 따르면, 제2 패턴(140)의 상면의 높이가 제1 부분(131)의 상면의 높이보다 낮아질 수 있다. 이에 따라, 셀 영역(CR)과 외곽 영역(OR) 사이에 단차가 발생할 수 있다.According to one embodiment, as the etch-back process is performed, the height (or level) of the top surface of the first portion 131 in the outer area OR may be lowered. Additionally, as the etch-back process is performed, the height of the top surface of the second pattern 140 in the cell region CR may be lowered. According to one embodiment, the height of the top surface of the second pattern 140 may be lower than the height of the top surface of the first portion 131. Accordingly, a step may occur between the cell area (CR) and the outer area (OR).

도 14를 참조하면, 에치백 공정이 수행되어 제2 패턴(140)의 일부가 제거됨에 따라, 게이트 트렌치(GT) 내에서 제2 패턴(140)의 상면의 높이는 낮아질 수 있다. 구체적으로, 제2 패턴(140)의 상면의 높이는 도 12의 제2 패턴(140)의 상면의 높이보다 낮아질 수 있다.Referring to FIG. 14 , as an etch-back process is performed to remove a portion of the second pattern 140, the height of the top surface of the second pattern 140 within the gate trench GT may be lowered. Specifically, the height of the top surface of the second pattern 140 may be lower than the height of the top surface of the second pattern 140 of FIG. 12 .

도 3 및 도 4를 참조하여 상술한 바와 같이, 게이트 캡핑층(150)은 워드 라인(WL) 상에 형성될 수 있다. 예를 들어, 게이트 캡핑층(150)은 게이트 절연층(121) 및 워드 라인(WL)이 리세스되고 남은 게이트 트렌치(GT)의 영역을 채울 수 있다. As described above with reference to FIGS. 3 and 4 , the gate capping layer 150 may be formed on the word line WL. For example, the gate capping layer 150 may fill the area of the gate trench GT remaining after the gate insulating layer 121 and the word line WL are recessed.

셀 영역(CR)에서 게이트 캡핑층(150)은 워드 라인(WL)의 상부에서 게이트 트렌치(GT)를 채울 수 있다. 즉, 게이트 캡핑층(150)은 제2 패턴(140)을 덮고 게이트 트렌치(GT)의 상부를 매립할 수 있다. 게이트 캡핑층(150)은 게이트 절연층(121), 제1 패턴(130) 및 제2 패턴(140)이 게이트 트렌치(GT)를 채우지 못하는 공간을 매립할 수 있다.In the cell region CR, the gate capping layer 150 may fill the gate trench GT at the top of the word line WL. That is, the gate capping layer 150 may cover the second pattern 140 and bury the upper part of the gate trench GT. The gate capping layer 150 may fill the space where the gate insulating layer 121, the first pattern 130, and the second pattern 140 do not fill the gate trench GT.

일 실시예에 따르면, 게이트 캡핑층(150)은 셀 영역(CR)에서는 제2 패턴(140)과 접촉하고, 외곽 영역(OR)에서는 제1 패턴(130)과 접촉하도록 배치될 수 있다. 제1 패턴(130)의 제2 부분(132)과 게이트 캡핑층(150) 사이에는 제2 패턴(140)이 위치할 수 있다. 보다 구체적으로, 게이트 캡핑층(150)은 셀 영역(CR)에서는 제2 패턴(140)과 접촉하고, 외곽 영역(OR)에서는 평평한 상면을 가지는 제1 부분(131)과 접촉할 수 있다. According to one embodiment, the gate capping layer 150 may be disposed to contact the second pattern 140 in the cell region CR and to contact the first pattern 130 in the outer region OR. The second pattern 140 may be positioned between the second portion 132 of the first pattern 130 and the gate capping layer 150. More specifically, the gate capping layer 150 may contact the second pattern 140 in the cell region (CR) and may contact the first portion 131 having a flat top surface in the outer region (OR).

이상에서 본 발명의 실시예에 대하여 상세하게 설명하였지만 본 발명의 권리범위는 이에 한정되는 것은 아니고 다음의 청구범위에서 정의하고 있는 본 발명의 기본 개념을 이용한 당업자의 여러 변형 및 개량 형태 또한 본 발명의 권리범위에 속하는 것이다.Although the embodiments of the present invention have been described in detail above, the scope of the present invention is not limited thereto, and various modifications and improvements made by those skilled in the art using the basic concept of the present invention defined in the following claims are also possible. It falls within the scope of rights.

10: 반도체 소자
100: 기판
110: 소자 분리막
110A: 제1 소자 분리막
110B: 제2 소자 분리막
120: 마스크층
121: 게이트 절연층
130: 제1 패턴
131: 제1 부분
132: 제2 부분
140: 제2 패턴
150: 게이트 캡핑층
AC: 활성 영역
CR: 셀 영역
OR: 외곽 영역
PR: 주변 영역
10: Semiconductor device
100: substrate
110: device isolation membrane
110A: first device isolation film
110B: second device separator
120: Mask layer
121: Gate insulating layer
130: first pattern
131: Part 1
132: Part 2
140: second pattern
150: gate capping layer
AC: active area
CR: cell region
OR: Outer Area
PR: Peripheral area

Claims (10)

셀 영역 및 상기 셀 영역을 둘러싸는 외곽 영역을 갖는 기판,
상기 기판 상에서, 상기 외곽 영역에 위치하는 제1 소자 분리막 및 상기 셀 영역의 활성 영역을 정의하는 제2 소자 분리막을 포함하는 소자 분리막, 및
상기 셀 영역에서 상기 활성 영역을 가로지르며 상기 외곽 영역의 상기 제1 소자 분리막 위로 연장되는 워드 라인을 포함하는 게이트 구조물을 포함하고,
상기 게이트 구조물은,
상기 외곽 영역에서 평평한 상면을 갖는 제1 부분 및 상기 셀 영역의 제2 부분을 포함하는 제1 패턴 및,
상기 제1 패턴의 상기 제2 부분 상에 위치하는 제2 패턴을 포함하는 반도체 소자.
A substrate having a cell region and an outer region surrounding the cell region,
On the substrate, a device isolation film including a first device isolation film located in the outer region and a second device isolation film defining an active region of the cell region, and
a gate structure including a word line extending across the active region in the cell region and over the first device isolation layer in the outer region;
The gate structure is,
a first pattern including a first portion having a flat upper surface in the outer region and a second portion of the cell region;
A semiconductor device comprising a second pattern located on the second portion of the first pattern.
제1항에서,
상기 제1 부분의 상기 상면은 50Å 이하의 표면 조도를 갖는 반도체 소자.
In paragraph 1:
The semiconductor device wherein the upper surface of the first portion has a surface roughness of 50 Å or less.
제1항에서,
상기 제2 부분 상에 상기 제2 패턴이 배치되고, 상기 제1 부분 상에는 상기 제2 패턴이 배치되지 않는 반도체 소자.
In paragraph 1:
A semiconductor device in which the second pattern is disposed on the second portion and the second pattern is not disposed on the first portion.
제1항에서,
상기 제1 패턴의 상기 제1 부분은 수직 방향으로 제1 두께를 가지고,
상기 제1 패턴의 상기 제2 부분은 수직 방향으로 상기 제1 두께보다 작은 제2 두께를 갖는 반도체 소자.
In paragraph 1:
The first portion of the first pattern has a first thickness in a vertical direction,
The second portion of the first pattern has a second thickness that is smaller than the first thickness in a vertical direction.
제4항에서,
상기 제2 패턴은 상기 제2 부분 상에서 수직 방향으로 제3 두께를 가지고,
상기 제1 두께는 상기 제2 두께와 상기 제3 두께의 합보다 크거나 같은 반도체 소자.
In paragraph 4,
the second pattern has a third thickness in a vertical direction on the second portion,
A semiconductor device wherein the first thickness is greater than or equal to the sum of the second thickness and the third thickness.
제1항에서,
상기 게이트 구조물은, 상기 셀 영역에서 상기 제2 패턴과 접촉하고 상기 외곽 영역에서는 상기 제1 패턴과 접촉하는 게이트 캡핑층을 더 포함하는 반도체 소자.
In paragraph 1:
The gate structure further includes a gate capping layer in contact with the second pattern in the cell region and in contact with the first pattern in the outer region.
제1항에서,
상기 제1 패턴 및 상기 제2 패턴은 서로 다른 물질로 구성되는 반도체 소자.
In paragraph 1:
A semiconductor device wherein the first pattern and the second pattern are made of different materials.
셀 영역과 상기 셀 영역을 둘러싸는 외곽 영역을 포함하는 기판이 제공되는 단계,
상기 기판을 식각하여 상기 외곽 영역을 정의하는 제1 소자 분리막 및 상기 셀 영역의 활성 영역을 정의하는 제2 소자 분리막을 포함하는 소자 분리막을 형성하는 단계,
상기 기판 상에 상기 활성 영역을 가로지르며 상기 외곽 영역의 상기 제1 소자 분리막 위로 연장되고, 제1 패턴을 형성하는 단계,
상기 제1 패턴 상에 상기 제1 패턴과 다른 물질로 구성되는 제2 패턴을 형성하는 단계, 및
평탄화 공정을 수행하여, 상기 외곽 영역의 상기 제2 패턴을 연마하는 단계를 포함하는 반도체 소자의 제조 방법.
Providing a substrate including a cell region and an outer region surrounding the cell region,
etching the substrate to form a device isolation film including a first device isolation film defining the outer region and a second device isolation film defining an active region of the cell region;
forming a first pattern on the substrate, extending across the active region and over the first device isolation layer in the outer region;
forming a second pattern on the first pattern and made of a material different from the first pattern, and
A method of manufacturing a semiconductor device comprising polishing the second pattern in the outer area by performing a planarization process.
제8항에서,
상기 제2 패턴을 연마하는 단계는,
상기 제1 패턴 및 상기 제2 패턴에 대해 연마 선택비를 갖는 연마제를 포함하는 슬러리를 이용하여 상기 제2 패턴을 화학적 기계적 연마하는 단계를 포함하는 반도체 소자의 제조 방법.
In paragraph 8:
The step of polishing the second pattern is,
A method of manufacturing a semiconductor device comprising chemically and mechanically polishing the second pattern using a slurry containing an abrasive having a polishing selectivity with respect to the first pattern and the second pattern.
제9항에서,
상기 제2 패턴을 연마하는 단계는,
상기 슬러리를 이용하여 화학적 기계적 연마하여 상기 외곽 영역에 형성된 상기 제1 패턴의 상부에서 연마 정지되도록 하는 단계를 포함하는 반도체 소자의 제조 방법.
In paragraph 9:
The step of polishing the second pattern is,
A method of manufacturing a semiconductor device comprising chemical and mechanical polishing using the slurry to stop polishing at an upper portion of the first pattern formed in the outer region.
KR1020240046810A 2024-04-05 2024-04-05 Semiconductor device and method for manufacturing the same KR20240052918A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020240046810A KR20240052918A (en) 2024-04-05 2024-04-05 Semiconductor device and method for manufacturing the same

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020240046810A KR20240052918A (en) 2024-04-05 2024-04-05 Semiconductor device and method for manufacturing the same

Publications (1)

Publication Number Publication Date
KR20240052918A true KR20240052918A (en) 2024-04-23

Family

ID=90881295

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020240046810A KR20240052918A (en) 2024-04-05 2024-04-05 Semiconductor device and method for manufacturing the same

Country Status (1)

Country Link
KR (1) KR20240052918A (en)

Similar Documents

Publication Publication Date Title
US10797056B2 (en) Semiconductor device and method of manufacturing the same
KR102474431B1 (en) Method of manufacturing semiconductor devices
US8492257B2 (en) Semiconductor device with vertical transistor and method for fabricating the same
US8741758B2 (en) Cross-hair cell wordline formation
US6768185B2 (en) Formation of antifuse structure in a three dimensional memory
US7153744B2 (en) Method of forming self-aligned poly for embedded flash
TWI529785B (en) Semiconductor device and formation method thereof
CN108630691B (en) Three-dimensional memory and manufacturing method thereof
US20170029664A1 (en) Polishing compositions and methods of manufacturing semiconductor devices using the same
KR20160129799A (en) Memory devices and method of fabricating same
CN114005791B (en) Memory device and method of forming the same
US11417664B2 (en) Semiconductor device
CN112530861A (en) Method for manufacturing semiconductor device
WO2012067919A1 (en) Double gated fin transistors and methods of fabricating and operating the same
CN110729278A (en) Semiconductor device with maintained height of alignment mark
US6492227B1 (en) Method for fabricating flash memory device using dual damascene process
KR20240052918A (en) Semiconductor device and method for manufacturing the same
US7906418B2 (en) Semiconductor device having substantially planar contacts and body
TWI769797B (en) Dynamic random access memory and method of fabricating the same
KR100973276B1 (en) Method of manufacturing semiconductor device
KR102524807B1 (en) Method of manufacturing a semiconductor device
KR100511925B1 (en) Method for forming element isolating layer of semiconductor device
KR20240081452A (en) Semiconductor device
KR100527590B1 (en) A method for forming a contact plug of a semiconductor device
US20080318428A1 (en) Method for Achieving Uniform Chemical Mechanical Polishing In Integrated Circuit Manufacturing