KR20230171434A - 다중 모드 위상 어레이 엘리먼트 - Google Patents

다중 모드 위상 어레이 엘리먼트 Download PDF

Info

Publication number
KR20230171434A
KR20230171434A KR1020237035292A KR20237035292A KR20230171434A KR 20230171434 A KR20230171434 A KR 20230171434A KR 1020237035292 A KR1020237035292 A KR 1020237035292A KR 20237035292 A KR20237035292 A KR 20237035292A KR 20230171434 A KR20230171434 A KR 20230171434A
Authority
KR
South Korea
Prior art keywords
amplifier
phased array
amplifier path
coupled
transformer
Prior art date
Application number
KR1020237035292A
Other languages
English (en)
Inventor
무함마드 하산
Original Assignee
퀄컴 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 퀄컴 인코포레이티드 filed Critical 퀄컴 인코포레이티드
Publication of KR20230171434A publication Critical patent/KR20230171434A/ko

Links

Classifications

    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04BTRANSMISSION
    • H04B1/00Details of transmission systems, not covered by a single one of groups H04B3/00 - H04B13/00; Details of transmission systems not characterised by the medium used for transmission
    • H04B1/38Transceivers, i.e. devices in which transmitter and receiver form a structural unit and in which at least one part is used for functions of transmitting and receiving
    • H04B1/40Circuits
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04BTRANSMISSION
    • H04B1/00Details of transmission systems, not covered by a single one of groups H04B3/00 - H04B13/00; Details of transmission systems not characterised by the medium used for transmission
    • H04B1/38Transceivers, i.e. devices in which transmitter and receiver form a structural unit and in which at least one part is used for functions of transmitting and receiving
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01PWAVEGUIDES; RESONATORS, LINES, OR OTHER DEVICES OF THE WAVEGUIDE TYPE
    • H01P1/00Auxiliary devices
    • H01P1/18Phase-shifters
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01QANTENNAS, i.e. RADIO AERIALS
    • H01Q21/00Antenna arrays or systems
    • H01Q21/0006Particular feeding systems
    • H01Q21/0025Modular arrays
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01QANTENNAS, i.e. RADIO AERIALS
    • H01Q21/00Antenna arrays or systems
    • H01Q21/06Arrays of individually energised antenna units similarly polarised and spaced apart
    • H01Q21/08Arrays of individually energised antenna units similarly polarised and spaced apart the units being spaced along or adjacent to a rectilinear path
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01QANTENNAS, i.e. RADIO AERIALS
    • H01Q3/00Arrangements for changing or varying the orientation or the shape of the directional pattern of the waves radiated from an antenna or antenna system
    • H01Q3/26Arrangements for changing or varying the orientation or the shape of the directional pattern of the waves radiated from an antenna or antenna system varying the relative phase or relative amplitude of energisation between two or more active radiating elements; varying the distribution of energy across a radiating aperture
    • H01Q3/28Arrangements for changing or varying the orientation or the shape of the directional pattern of the waves radiated from an antenna or antenna system varying the relative phase or relative amplitude of energisation between two or more active radiating elements; varying the distribution of energy across a radiating aperture varying the amplitude
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01QANTENNAS, i.e. RADIO AERIALS
    • H01Q3/00Arrangements for changing or varying the orientation or the shape of the directional pattern of the waves radiated from an antenna or antenna system
    • H01Q3/26Arrangements for changing or varying the orientation or the shape of the directional pattern of the waves radiated from an antenna or antenna system varying the relative phase or relative amplitude of energisation between two or more active radiating elements; varying the distribution of energy across a radiating aperture
    • H01Q3/30Arrangements for changing or varying the orientation or the shape of the directional pattern of the waves radiated from an antenna or antenna system varying the relative phase or relative amplitude of energisation between two or more active radiating elements; varying the distribution of energy across a radiating aperture varying the relative phase between the radiating elements of an array
    • H01Q3/34Arrangements for changing or varying the orientation or the shape of the directional pattern of the waves radiated from an antenna or antenna system varying the relative phase or relative amplitude of energisation between two or more active radiating elements; varying the distribution of energy across a radiating aperture varying the relative phase between the radiating elements of an array by electrical means
    • H01Q3/36Arrangements for changing or varying the orientation or the shape of the directional pattern of the waves radiated from an antenna or antenna system varying the relative phase or relative amplitude of energisation between two or more active radiating elements; varying the distribution of energy across a radiating aperture varying the relative phase between the radiating elements of an array by electrical means with variable phase-shifters
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03FAMPLIFIERS
    • H03F3/00Amplifiers with only discharge tubes or only semiconductor devices as amplifying elements
    • H03F3/189High-frequency amplifiers, e.g. radio frequency amplifiers
    • H03F3/19High-frequency amplifiers, e.g. radio frequency amplifiers with semiconductor devices only
    • H03F3/193High-frequency amplifiers, e.g. radio frequency amplifiers with semiconductor devices only with field-effect devices
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03FAMPLIFIERS
    • H03F3/00Amplifiers with only discharge tubes or only semiconductor devices as amplifying elements
    • H03F3/189High-frequency amplifiers, e.g. radio frequency amplifiers
    • H03F3/19High-frequency amplifiers, e.g. radio frequency amplifiers with semiconductor devices only
    • H03F3/195High-frequency amplifiers, e.g. radio frequency amplifiers with semiconductor devices only in integrated circuits
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03FAMPLIFIERS
    • H03F3/00Amplifiers with only discharge tubes or only semiconductor devices as amplifying elements
    • H03F3/20Power amplifiers, e.g. Class B amplifiers, Class C amplifiers
    • H03F3/21Power amplifiers, e.g. Class B amplifiers, Class C amplifiers with semiconductor devices only
    • H03F3/211Power amplifiers, e.g. Class B amplifiers, Class C amplifiers with semiconductor devices only using a combination of several amplifiers
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03FAMPLIFIERS
    • H03F3/00Amplifiers with only discharge tubes or only semiconductor devices as amplifying elements
    • H03F3/20Power amplifiers, e.g. Class B amplifiers, Class C amplifiers
    • H03F3/24Power amplifiers, e.g. Class B amplifiers, Class C amplifiers of transmitter output stages
    • H03F3/245Power amplifiers, e.g. Class B amplifiers, Class C amplifiers of transmitter output stages with semiconductor devices only
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03FAMPLIFIERS
    • H03F3/00Amplifiers with only discharge tubes or only semiconductor devices as amplifying elements
    • H03F3/50Amplifiers in which input is applied to, or output is derived from, an impedance common to input and output circuits of the amplifying element, e.g. cathode follower
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03FAMPLIFIERS
    • H03F3/00Amplifiers with only discharge tubes or only semiconductor devices as amplifying elements
    • H03F3/68Combinations of amplifiers, e.g. multi-channel amplifiers for stereophonics
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03GCONTROL OF AMPLIFICATION
    • H03G3/00Gain control in amplifiers or frequency changers
    • H03G3/20Automatic control
    • H03G3/30Automatic control in amplifiers having semiconductor devices
    • H03G3/3036Automatic control in amplifiers having semiconductor devices in high-frequency amplifiers or in frequency-changers
    • H03G3/3042Automatic control in amplifiers having semiconductor devices in high-frequency amplifiers or in frequency-changers in modulators, frequency-changers, transmitters or power amplifiers
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03FAMPLIFIERS
    • H03F2200/00Indexing scheme relating to amplifiers
    • H03F2200/294Indexing scheme relating to amplifiers the amplifier being a low noise amplifier [LNA]
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03FAMPLIFIERS
    • H03F2200/00Indexing scheme relating to amplifiers
    • H03F2200/451Indexing scheme relating to amplifiers the amplifier being a radio frequency amplifier
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03FAMPLIFIERS
    • H03F2200/00Indexing scheme relating to amplifiers
    • H03F2200/541Transformer coupled at the output of an amplifier
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03GCONTROL OF AMPLIFICATION
    • H03G2201/00Indexing scheme relating to subclass H03G
    • H03G2201/10Gain control characterised by the type of controlled element
    • H03G2201/103Gain control characterised by the type of controlled element being an amplifying element

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Computer Networks & Wireless Communication (AREA)
  • Signal Processing (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Transmitters (AREA)
  • Variable-Direction Aerials And Aerial Arrays (AREA)
  • Amplifiers (AREA)

Abstract

위상 어레이 엘리먼트(phased array element)는 복수의 증폭기 경로들을 구비하는 송신부(transmit portion) ― 각각의 증폭기 경로는 드라이버 증폭기 및 전력 증폭기를 구비함 ― , 복수의 증폭기 경로들 중 제1 증폭기 경로의 전력 증폭기에 커플링되는 제1 변압기 및 복수의 증폭기 경로들 중 제2 증폭기 경로의 전력 증폭기에 커플링되는 제2 변압기 ― 제1 변압기 및 제2 변압기 각각의 2차 권선(secondary winding)은 공통 변압기 세그먼트에 의해 함께 커플링됨 ― , 복수의 증폭기 경로들에 스위칭 가능하게 커플링되는 송신 위상 시프터, 제2 변압기에 커플링되는 수신부(receive portion) ― 수신부는 LNA(low noise amplifier)를 구비하는 수신 경로를 가짐 ― , 및 LNA에 커플링되는 수신 위상 시프터를 포함한다.

Description

다중 모드 위상 어레이 엘리먼트
[0001] 본 개시내용은 일반적으로 전자기기들에 관한 것이며, 더 구체적으로는 라디오 주파수(radio frequency; RF) 송신기들 및 수신기들에 관한 것이다.
[0002] 무선 통신 디바이스들 및 기술들은 점점 더 많이 보급되고 있다. 무선 통신 디바이스들은 일반적으로 통신 신호들을 송신하고 수신한다. 통신 신호는 전형적으로 여러 가지 상이한 컴포넌트들 및 회로들에 의해 프로세싱된다. 일부 현대 통신 시스템들에서, 통신 빔이 형성되어 하나 이상의 방향들에서 조향될 수 있다. 하나의 타입의 빔 조향 시스템(beam steering system)은 위상 어레이(phased array), 또는 위상 어레이 안테나 시스템으로 지칭되는 것을 사용한다. 위상 어레이는 다수의 상이한 엘리먼트들 및 안테나들을 사용할 수 있는데, 여기서 각각의 엘리먼트는, 위상 어레이 시스템의 상이한 엘리먼트들이 송신 및/또는 수신 신호의 약간 위상 시프트된 버전들을 프로세싱하는 것으로 이어지는 어떤 양만큼 위상이 오프셋되는 송신 및/또는 수신 신호를 프로세싱할 수 있다. 위상 어레이 시스템은 좁고 조향 가능하고, 고출력 통신 빔들을 생성할 수 있다. 위상 어레이 안테나 시스템은 대규모 다중 입력 다중 출력(multiple-input, multiple-output; MIMO) 시스템의 일부를 또한 형성할 수 있다.
[0003] 첨부된 청구항들의 범위 내의 시스템들, 방법들 및 디바이스들의 다양한 구현예들은 각각은 여러 가지 양태들을 가지는데, 그들 중 어떤 단일의 하나도 본원에서 설명되는 바람직한 속성들을 전적으로 담당하지는 않는다. 첨부된 청구항들의 범위를 제한하지 않으면서, 일부 두드러진 특징들이 본원에서 설명된다.
[0004] 본 명세서에서 설명되는 청구대상의 하나 이상의 구현예들의 세부사항들은 첨부하는 도면들 및 하기의 설명에서 기술된다. 다른 특징들, 양태들, 및 이점들은 설명, 도면들, 및 청구항들로부터 명백해질 것이다. 다음의 도면들의 상대적 치수들은 축적대로 묘화되지 않을 수 있다는 것을 유의한다.
[0005] 본 개시내용의 하나의 양태는 복수의 증폭기 경로들을 구비하는 송신부(transmit portion) ― 각각의 증폭기 경로는 드라이버 증폭기 및 전력 증폭기를 구비함 ― , 복수의 증폭기 경로들 중 제1 증폭기 경로의 전력 증폭기에 커플링되는 제1 변압기 및 복수의 증폭기 경로들 중 제2 증폭기 경로의 전력 증폭기에 커플링되는 제2 변압기 ― 제1 변압기 및 제2 변압기 각각의 2차 권선(secondary winding)은 공통 변압기 세그먼트에 의해 함께 커플링됨 ― , 복수의 증폭기 경로들에 스위칭 가능하게 커플링되는 송신 위상 시프터(transmit phase shifter), 제2 변압기에 커플링되는 수신부(receive portion) ― 수신부는 LNA(low noise amplifier)를 구비하는 수신 경로를 가짐 ― , 및 LNA에 커플링되는 수신 위상 시프터(receive phase shifter)를 포함하는 위상 어레이 엘리먼트를 제공한다.
[0006] 본 개시내용의 다른 양태는 통신 신호를 송신을 위해 복수의 전력 레벨들 중 하나의 전력 레벨로 선택적으로 증폭하는 것, 및 하나의 전력 레벨로 증폭하면서 주파수 응답을 유지하도록 가변 커패시턴스를 제어하는 것을 포함하는 밀리미터파 통신 신호를 프로세싱하기 위한 방법을 제공한다.
[0007] 본 개시내용의 다른 양태는 밀리미터파 통신 신호를 송신을 위해 복수의 전력 레벨들 중 하나의 전력 레벨로 선택적으로 증폭하기 위한 수단, 증폭된 통신 신호를 송신을 위해 선택적으로 결합하기 위한 수단, 및 복수의 전력 레벨들 각각에 대해 선택적으로 증폭하면서 주파수 응답을 선택적으로 유지하기 위한 수단을 포함하는 디바이스를 제공한다.
[0008] 본 개시내용의 다른 양태는 송신 위상 시프터, 복수의 송신 경로들, 송신 위상 시프터를 복수의 송신 경로들의 입력들에 선택적으로 커플링하도록 구성되는 복수의 스위치들, 복수의 스위치들과 송신 위상 시프터 사이의 노드에 커플링되는 제1 단자 및 접지에 커플링되는 제2 단자를 포함하는 가변 커패시터, 송신 경로들 중 하나 이상의 경로들의 출력에 커플링되는 수신부 ― 수신부는 LNA(low noise amplifier)를 포함하는 적어도 제1 수신 경로를 포함함 ― , 및 LNA에 커플링되는 수신 위상 시프터를 포함하는 위상 어레이 엘리먼트를 제공한다.
[0009] 도면들에서, 동일한 참조 번호들은, 달리 표시되지 않는 한, 다양한 도면들 전체에 걸쳐 동일한 부분들을 가리킨다. "102a" 또는 "102b" 와 같은 글자 문자 지정(letter character designation)들을 갖는 참조 번호들의 경우, 글자 문자 지정들은 동일한 도면에서 존재하는 두 개의 유사한 부품들 또는 엘리먼트들을 구별할 수 있다. 참조 번호들에 대한 글자 문자 지정들은, 한 참조 번호가 모든 도면들에서 동일한 참조 번호를 갖는 모든 부분들을 포괄한다는 것이 의도되는 경우 생략될 수 있다.
[0010] 도 1은 무선 통신 시스템과 통신하는 무선 디바이스를 도시하는 다이어그램이다.
[0011] 도 2a는 본 개시내용의 예시적인 기술들이 구현될 수 있는 무선 디바이스를 도시하는 블록 다이어그램이다.
[0012] 도 2b는 본 개시내용의 예시적인 기술들이 구현될 수 있는 무선 디바이스를 도시하는 블록 다이어그램이다.
[0013] 도 2c는 도 2b의 컴포넌트들 중 일부를 더욱 상세하게 도시하는 블록 다이어그램이다.
[0014] 도 3은 본 개시내용의 예시적인 실시예에 따른 위상 어레이 엘리먼트의 블록 다이어그램이다.
[0015] 도 4a는 본 개시내용의 예시적인 실시예에 따른 1×8 위상 어레이를 갖는 밀리미터파(millimeter wave; mmW) 모듈의 블록 다이어그램이다.
[0016] 도 4b는 본 개시내용의 예시적인 실시예에 따른 1×4 위상 어레이를 갖는 밀리미터파(mmW) 모듈의 블록 다이어그램이다.
[0017] 도 5는 본 개시내용의 예시적인 실시예에 따른 HP TX 모드에서 구성되는 위상 어레이 엘리먼트의 블록 다이어그램이다.
[0018] 도 6은 본 개시내용의 예시적인 실시예에 따른 LP TX 모드에서 구성되는 위상 어레이 엘리먼트의 블록 다이어그램이다.
[0019] 도 7은 본 개시내용의 예시적인 실시예에 따른 RX 모드에서 구성되는 위상 어레이 엘리먼트의 블록 다이어그램이다.
[0020] 도 8은 본 개시내용의 예시적인 실시예에 따른 위상 어레이 엘리먼트의 블록 다이어그램이다.
[0021] 도 9는 본 개시내용의 예시적인 실시예에 따른 위상 어레이 엘리먼트의 블록 다이어그램이다.
[0022] 도 10a, 도 10b, 도 10c 및 도 10d는 본 개시내용의 예시적인 실시예들에 따른 밀리미터파 RF 모듈을 예시하는 블록 다이어그램들이다.
[0023] 도 11은 신호 증폭을 위한 방법의 동작의 예를 설명하는 플로우차트이다.
[0024] 도 12는 신호 증폭을 위한 장치의 기능 블록 다이어그램이다.
[0025] 본원에서 단어 "예시적인"은 "예, 인스턴스, 또는 예시로서 역할을 하는 것"을 의미하도록 사용된다. 본원에서 "예시적인"으로서 설명되는 임의의 양태는 다른 양태들에 비해 반드시 바람직한 것으로 또는 유리한 것으로 해석되어서는 안된다.
[0026] 위상 어레이 안테나 시스템을 사용하는 통신 시스템에서, 위상 어레이의 안테나들에 커플링될 수 있는 위상 어레이 엘리먼트들의 수를 표준화하는 것, 단일의 라디오 주파수 집적 회로(radio frequency integrated circuit; RFIC)를 사용하여 다수의 전력 출력 구성들을 지원하는 것, 및/또는 단일의 RFIC 모듈을 사용하여 다수의 디바이스 타입들, 예컨대 유저 기기(user equipment; UE) 및 고객 댁내 기기(customer premises equipment; CPE)를 지원하는 것이 바람직할 수 있다. RFIC와 안테나 또는 안테나 어레이 사이의 전력 결합기(power combiner)를 제거하는 것이 또한 바람직할 수 있다.
[0027] 핵심 성과 지표(key performance indicator; KPI)들을 손상시키지 않으면서 통신 디바이스의 비용을 낮추는 것이 바람직하다. 게다가, 다수의 디바이스들 및/또는 디바이스들의 계층(tier)들에 걸쳐 단일의 칩 또는 설계를 활용하는 것이 바람직할 수 있다. 그러나, 상이한 디바이스들 또는 계층들에 대한 설계들이 항상 일관된 이점들 또는 이익들을 제공하는 것은 아닐 수 있다. 예를 들면, 프리미엄 계층 통신 디바이스는 안테나들을 사용하여 전력 결합을 수행할 수 있지만, 중간 계층 통신 디바이스는 디바이스의 전체 사이즈를 감소시키기 위해, 예를 들면, 더 적은 수의 안테나들이 필요로 되도록, 전도성 전력 결합(conductive power combining)을 사용할 수 있다. 그러나, 전도성 전력 결합은 일부 설계들에서 위상 어레이 엘리먼트의 송신 효율성 및 수신 성능(예컨대, 수신기 노이즈 지수(noise figure; NF))을 저하시킬 수 있다.
[0028] 따라서, 예를 들면, 일관된 위상 어레이 엘리먼트들이 구현될 수 있도록 그리고/또는 상이한 통신 디바이스들 전체에 걸쳐 상이한 모듈 사이즈들 또는 개수의 안테나들이 활용될 수 있도록, 다수의 전력 레벨들을 제공할 수 있는 그리고 밀리미터파 집적 회로(millimeter wave integrated circuit; mmWIC)에 통합될 수 있는 위상 어레이 엘리먼트를 갖는 것이 바람직할 것이다. 예를 들면, 위상 어레이 엘리먼트 및 그 엘리먼트를 통합하는 안테나 모듈은 중간 계층 통신 디바이스에 대한 고전력(high power; HP) 모드 및 프리미엄 계층 통신 디바이스에 대한 저전력(low power; LP) 모드 둘 모두를 지원하도록 구성될 수 있다.
[0029] 도 1은 무선 통신 시스템(120)과 통신하는 무선 디바이스(110)를 도시하는 다이어그램이다. 무선 통신 시스템(120)은 롱 텀 에볼루션(Long Term Evolution; LTE) 시스템, 코드 분할 다중 액세스(Code Division Multiple Access; CDMA) 시스템, 이동 통신용 글로벌 시스템(Global system for mobile communications; GSM) 시스템, 무선 로컬 영역 네트워크(wireless local area network; WLAN) 시스템, 5G NR(new radio; 뉴 라디오) 시스템, 또는 어떤 다른 무선 시스템일 수 있다. CDMA 시스템은 광대역 CDMA(Wideband CDMA; WCDMA), CDMA 1X, 에볼루션 데이터 최적화(Evolution-Data Optimized; EVDO), 시분할 동기식 CDMA(Time Division Synchronous CDMA; TD-SCDMA), 또는 어떤 다른 버전의 CDMA를 구현할 수 있다. 간략화를 위해, 도 1은 두 개의 기지국들(130 및 132) 및 하나의 시스템 컨트롤러(140)를 포함하는 무선 통신 시스템(120)을 도시한다. 일반적으로, 무선 통신 시스템은 임의의 개수의 기지국들 및 임의의 세트의 네트워크 엔티티들을 포함할 수 있다.
[0030] 무선 디바이스(110)는 유저 기기(UE), 이동국, 단말, 액세스 단말, 가입자 유닛, 스테이션 등으로 또한 지칭될 수 있다. 무선 디바이스(110)는 셀룰러 폰, 스마트폰, 태블릿, 무선 모뎀, 개인 휴대형 정보 단말(personal digital assistant; PDA), 핸드헬드식 디바이스, 랩탑 컴퓨터, 스마트북, 넷북, 태블릿, 코드리스(cordless) 전화기, 의료 디바이스, (예를 들면, 사물 인터넷을 통해) 하나 이상의 다른 디바이스들에 연결되도록 구성되는 디바이스, 무선 로컬 루프(wireless local loop; WLL) 스테이션, 블루투스(Bluetooth) 디바이스 등일 수 있다. 무선 디바이스(110)는 무선 통신 시스템(120)과 통신할 수 있다. 무선 디바이스(110)는 브로드캐스트 스테이션들(예를 들면, 브로드캐스트 스테이션(134))로부터의 신호들 및/또는 위성들(예를 들면, 하나 이상의 글로벌 내비게이션 위성 시스템(global navigation satellite system; GNSS)들 등의 위성(150))로부터 신호들을 또한 수신할 수 있다. 무선 디바이스(110)는 LTE, WCDMA, CDMA 1X, EVDO, TD-SCDMA, GSM, 802.11, 5G 등과 같은 무선 통신을 위한 하나 이상의 라디오 기술들을 지원할 수 있다.
[0031] 무선 통신 시스템(120)은 무선 디바이스(160)를 또한 포함할 수 있다. 예시적인 실시예에서, 무선 디바이스(160)는 무선 액세스 포인트, 또는 무선 로컬 영역 네트워크(WLAN)을 포함하는, 또는 그 일부를 포함하는 다른 무선 통신 디바이스일 수 있다. 예시적인 실시예에서, 무선 디바이스(110)는, 기지국(130) 및 무선 디바이스(110), 또는 무선 통신 시스템(120) 내의 다른 디바이스들과 통신할 수 있는 고객 댁내 기기(CPE)로서 지칭될 수 있다. 일부 실시예들에서, CPE는 WAN 시그널링을 사용하여 무선 디바이스(160)와 통신하도록 그리고 무선 디바이스(160)가 기지국(130)과 직접적으로 통신하는 대신 그러한 통신에 기초하여 기지국(130)과 인터페이싱하도록 구성될 수 있다. 무선 디바이스(160)가 WLAN 시그널링을 사용하여 통신하도록 구성되는 예시적인 실시예들에서, WLAN 신호는 WiFi(와이파이) 또는 다른 통신 신호들을 포함할 수 있다.
[0032] 무선 디바이스(110)는, 예를 들면 하나 이상의 LTE 또는 5G 표준들에서 설명되는 바와 같이, 캐리어 어그리게이션을 지원할 수 있다. 일부 실시예들에서, 단일 스트림의 데이터가, 예를 들면, 별개의 캐리어들이 개개의 데이터 스트림들에 사용되는 것과는 대조적으로, 캐리어 어그리게이션을 사용하여 다수의 캐리어들을 통해 송신된다. 무선 디바이스(110)는, 예를 들면, LTE, WiFi, 5G 또는 다른 통신 대역들에 의해 사용되는 통신 대역들을 포함하는 다양한 통신 대역들에서 광범위한 주파수들에 걸쳐 동작할 수 있을 수 있다. 무선 디바이스(110)는 네트워크를 통해 통신하지 않고 다른 무선 디바이스들과 직접적으로 또한 통신할 수 있을 수 있다.
[0033] 일반적으로, 캐리어 어그리게이션(carrier aggregation; CA)은 두 가지 타입들 ― 대역내(Intra-Band) CA와 대역간(Inter-Band) CA ― 으로 분류될 수 있다. 대역내 CA는 동일한 대역내의 다수의 캐리어들 상에서의 동작을 지칭한다. 대역간 CA는 상이한 대역들 내의 다수의 캐리어들 상에서의 동작을 지칭한다.
[0034] 도 2a는 본 개시내용의 예시적인 기술들이 구현될 수 있는 무선 디바이스(200)를 도시하는 블록 다이어그램이다. 무선 디바이스(200)는, 예를 들면, 도 1에서 예시되는 무선 디바이스(110)의 실시예일 수 있다.
[0035] 도 2a는 송신기(230) 및 수신기(250)를 구비하는 트랜스시버(220)의 한 예를 도시한다. 일반적으로, 송신기(230) 및 수신기(250)에서의 신호들의 컨디셔닝은 증폭기, 필터, 업컨버터, 다운컨버터 등의 하나 이상의 스테이지들에 의해 수행될 수 있다. 이들 회로 블록들은 도 2a에서 도시되는 구성과는 상이하게 배열될 수 있다. 더구나, 송신기(230) 및 수신기(250)에서의 신호들을 컨디셔닝하기 위해, 도 2a에서 도시되지 않는 다른 회로 블록들이 또한 사용될 수 있다. 달리 언급되지 않는 한, 도 2a, 또는 도면들 중 임의의 다른 도면에서의 임의의 신호는 싱글 엔드형 또는 차분형(differential) 중 어느 하나일 수 있다. 도 2a의 일부 회로 블록들은 또한 생략될 수 있다.
[0036] 도 2a에서 도시되는 예에서, 무선 디바이스(200)는 일반적으로 트랜스시버(220) 및 데이터 프로세서(210)를 포함한다. 데이터 프로세서(210)는 메모리(298)에 동작 가능하게 커플링되는 프로세서(296)를 포함할 수 있다. 메모리(298)는 일반적으로 참조 번호(299)를 사용하여 도시되는 데이터 및 프로그램 코드들을 저장하도록 구성될 수 있고, 아날로그 및/또는 디지털 프로세싱 컴포넌트들을 일반적으로 포함할 수 있다. 트랜스시버(220)는 양방향 통신을 지원하는 송신기(230) 및 수신기(250)를 포함한다. 일반적으로, 무선 디바이스(200)는 임의의 수의 통신 시스템들 및 주파수 대역들에 대한 임의의 수의 송신기들 및/또는 수신기들을 포함할 수 있다. 트랜스시버(220)의 모두 또는 일부는 하나 이상의 아날로그 집적 회로(integrated circuit; IC)들, RF IC(RFIC)들, 혼합 신호 IC들 등 상에서 구현될 수 있다.
[0037] 송신기 또는 수신기는 수퍼헤테로다인 아키텍쳐 또는 직접 변환 아키텍쳐를 사용하여 구현될 수 있다. 수퍼헤테로다인 아키텍쳐에서, 신호는 다수의 스테이지들에서 라디오 주파수(RF)와 베이스밴드 사이에서 주파수 변환되는데, 예를 들면, 수신기의 경우, 하나의 스테이지에서는 RF로부터 중간 주파수(intermediate frequency; IF)로, 그 다음, 다른 스테이지에서는 IF로부터 베이스밴드로 변환된다. 직접 변환 아키텍쳐에서, 신호가 하나의 스테이지에서 RF와 베이스밴드 사이에서 주파수 변환된다. 수퍼헤테로다인 및 직접 변환 아키텍쳐들은 상이한 회로 블록들을 사용할 수 있고 그리고/또는 상이한 요건들을 가질 수 있다. 도 2a에서 도시되는 예에서, 송신기(230) 및 수신기(250)는 직접 변환 아키텍쳐를 사용하여 구현된다.
[0038] 송신 경로에서, 데이터 프로세서(210)는 송신될 데이터를 프로세싱하고 동위상(in-phase; I) 및 직교(quadrature; Q) 아날로그 출력 신호들을 송신기(230)에 제공한다. 예시적인 실시예에서, 데이터 프로세서(210)는 데이터 프로세서(210)에 의해 생성되는 디지털 신호들을, 추가적인 프로세싱을 위해, I 및 Q 아날로그 출력 신호들, 예를 들면, I 및 Q 아날로그 출력 전류들로 변환하기 위한 디지털 대 아날로그 컨버터(digital-to-analog-converter; DAC)들(214a 및 214b)을 포함한다. 다른 실시예들에서, DAC들(214a 및 214b)은 트랜스시버(220)에 포함되고 데이터 프로세서(210)는 (예를 들면, I 및 Q에 대한) 데이터를 트랜스시버(220)에 디지털 방식으로 제공한다.
[0039] 송신기(230) 내에서, 저역 통과 필터들(232a 및 232b)은 I 및 Q 아날로그 송신 신호들을 각각 필터링하여 이전의 디지털 대 아날로그 변환에 의해 야기되는 소망되지 않는 이미지들을 제거한다. 증폭기(Amplifier; Amp)들(234a 및 234b)은 각각 저역 통과 필터들(232a 및 232b)로부터의 신호들을 증폭하고 I 및 Q 베이스밴드 신호들을 제공한다. 상향 변환 믹서(upconversion mixer)들(241a 및 241b)을 구비하는 업컨버터(240)는 TX LO 신호 생성기(290)로부터의 I 및 Q 송신(transmit; TX) 국부 발진기(local oscillator; LO) 신호들을 사용하여 I 및 Q 베이스밴드 신호들을 상향 변환하고 상향 변환된 신호를 제공한다. 필터(242)는 상향 변환된 신호를 필터링하여 주파수 상향 변환에 의해 야기되는 소망되지 않는 이미지들뿐만 아니라 수신 주파수 대역의 노이즈를 제거한다. 전력 증폭기(power amplifier; PA)(244)는 필터(242)로부터의 신호를 증폭하여 소망되는 출력 전력 레벨을 획득하고 송신 RF 신호를 제공한다. 송신 RF 신호는 듀플렉서 또는 스위치(246)를 통해 라우팅되고 안테나(248)를 통해 송신된다. 본원에서 논의되는 예들이 I 및 Q 신호들을 활용하지만, 기술 분야의 숙련된 자들은 트랜스시버의 컴포넌트들이 극 변조(polar modulation)를 활용하도록 구성될 수 있다는 것을 이해할 것이다.
[0040] 수신 경로에서, 안테나(248)는 통신 신호들을 수신하고 수신된 RF 신호를 제공하는데, 이것은 듀플렉서 또는 스위치(246)를 통해 라우팅되고 LNA(low noise amplifier)(252)에 제공된다. 듀플렉서(246)는 특정한 RX 대 TX 듀플렉서 주파수 분리를 사용하여 동작하도록 설계되며, 그 결과, RX 신호들은 TX 신호들로부터 분리된다. 수신된 RF 신호는 LNA(252)에 의해 증폭되고 필터(254)에 의해 필터링되어 소망되는 RF 입력 신호를 획득한다. 다운컨버터(260)의 하향 변환 믹서(downconversion mixer)들(261a 및 261b)은 필터(254)의 출력을 RX LO 신호 생성기(280)로부터의 I 및 Q 수신(receive; RX) LO 신호들(즉, LO_I 및 LO_Q)과 혼합하여 I 및 Q 베이스밴드 신호들을 생성한다. I 및 Q 베이스밴드 신호들은 증폭기들(262a 및 262b)에 의해 증폭되고 저역 통과 필터들(264a 및 264b)에 의해 추가로 필터링되어 I 및 Q 아날로그 입력 신호들을 획득하는데, 이들은 데이터 프로세서(210)에 제공된다. 도시되는 예시적인 실시예에서, 데이터 프로세서(210)는 아날로그 입력 신호들을 데이터 프로세서(210)에 의해 추가로 프로세싱될 디지털 신호들로 변환하기 위한 아날로그 대 디지털 컨버터(ADC)들(216a 및 216b)을 포함한다. 일부 실시예들에서, ADC들(216a 및 216b)은 트랜스시버(220)에 포함되고 데이터 프로세서(210)에 디지털 방식으로 데이터를 제공한다.
[0041] 도 2a에서, TX LO 신호 생성기(290)는 주파수 상향 변환을 위해 사용되는 I 및 Q TX LO 신호들을 생성하고, 한편, RX LO 신호 생성기(280)는 주파수 하향 변환을 위해 사용되는 I 및 Q RX LO 신호들을 생성한다. 각각의 LO 신호는 특정한 기본 주파수를 갖는 주기적인 신호이다. 위상 동기 루프(phase locked loop; PLL)(292)는 데이터 프로세서(210)로부터 타이밍 정보를 수신하고 LO 신호 생성기(290)로부터의 TX LO 신호들의 주파수 및/또는 위상을 조정하기 위해 사용되는 제어 신호를 생성한다. 유사하게, PLL(282)은 데이터 프로세서(210)로부터 타이밍 정보를 수신하고 LO 신호 생성기(280)로부터의 RX LO 신호들의 주파수 및/또는 위상을 조정하기 위해 사용되는 제어 신호를 생성한다.
[0042] 예시적인 실시예에서, RX PLL(282), TX PLL(292), RX LO 신호 생성기(280), 및 TX LO 신호 생성기(290)는 대안적으로 단일의 LO 생성기 회로(295)에 결합될 수 있는데, 이것은 TX LO 신호들 및 RX LO 신호들을 제공하기 위한 공통의 또는 공유된 LO 신호 생성기 회로부(circuitry)를 포함할 수 있다. 대안적으로, TX LO 신호들 및 RX LO 신호들을 생성하기 위해 별개의 LO 생성기 회로들이 사용될 수 있다.
[0043] 무선 디바이스(200)는 CA를 지원할 수 있고 (i) 상이한 주파수들에서 다수의 다운링크 캐리어들 상에서 하나 이상의 셀들에 의해 송신되는 다수의 다운링크 신호들을 수신할 수 있고 그리고/또는 (ii) 다수의 업링크 캐리어들 상에서 다수의 업링크 신호들을 하나 이상의 셀들로 송신할 수 있다. 그러나, 기술 분야의 숙련된 자들은, 본원에서 설명되는 양태들이 캐리어 어그리게이션을 지원하지 않는 시스템들, 디바이스들, 및/또는 아키텍쳐들에서 구현될 수 있다는 것을 이해할 것이다.
[0044] 트랜스시버(220)의 특정한 컴포넌트들이 도 2a에서 기능적으로 예시되며, 거기에서 예시되는 구성은 특정한 구현예들에서의 물리적 디바이스 구성을 나타낼 수 있거나 또는 나타내지 않을 수 있다. 예를 들면, 상기에서 설명되는 바와 같이, 트랜스시버(220)는 다양한 집적 회로(IC)들, RF IC(RFIC)들, 혼합 신호 IC들 등에서 구현될 수 있다. 일부 실시예들에서, 트랜스시버(220)는 다양한 모듈들, 칩들, 및/또는 컴포넌트들을 구비하는 인쇄 회로 보드(printed circuit board; PCB)와 같은 기판 또는 보드 상에서 구현된다. 예를 들면, 전력 증폭기(244), 필터(242), 및 듀플렉서(246)는 별개의 모듈들에서 또는 별개의 컴포넌트들로서 구현될 수 있고, 한편, 트랜스시버(220)에서 예시되는 나머지 컴포넌트들은 단일의 트랜스시버 칩에서 구현될 수 있다.
[0045] 전력 증폭기(244)는, 하나 이상의 주파수들 상에서, 하나 이상의 주파수 대역들에서, 그리고 하나 이상의 전력 레벨들에서 통신 신호를 증폭시키기 위해 구성될 수 있는, 예를 들면, 드라이버 스테이지들, 전력 증폭기 스테이지들, 또는 다른 컴포넌트들을 포함하는, 하나 이상의 스테이지들을 포함할 수 있다. 다양한 요인들에 따라, 전력 증폭기(244)는 하나 이상의 드라이버 스테이지들, 하나 이상의 전력 증폭기 스테이지들, 하나 이상의 임피던스 매칭 네트워크들을 사용하여 동작하도록 구성될 수 있고, 양호한 선형성, 효율성, 또는 양호한 선형성과 효율성의 조합을 제공하도록 구성될 수 있다.
[0046] 수퍼헤테로다인 아키텍쳐의 예시적인 실시예에서, 필터(242), PA(244), LNA(252) 및 필터(254)는 송신기(230) 및 수신기(250)의 다른 컴포넌트들과는 별개로 구현될 수 있으며, 밀리미터파 집적 회로 상에서 구현될 수 있다. 예시적인 수퍼헤테로다인 아키텍쳐가 도 2b에서 예시되어 있다.
[0047] 도 2b는 본 개시내용의 예시적인 기술들이 구현될 수 있는 무선 디바이스를 도시하는 블록 다이어그램이다. 도 2b의 무선 디바이스(200a)의, 예를 들면 동일한 참조 번호들에 의해 표시될 수 있는, 특정한 컴포넌트들은 도 2a에서 도시되는 무선 디바이스(200)에서의 것들과 유사하게 구성될 수 있으며, 도 2b에서 동일하게 번호가 매겨진 아이템들의 설명은 반복되지 않을 것이다.
[0048] 무선 디바이스(200a)는 업컨버터(240) 및 다운컨버터(260)가 베이스밴드와 중간 주파수(IF) 사이에서 통신 신호를 프로세싱하도록 구성되는 헤테로다인(heterodyne)(또는 수퍼헤테로다인) 아키텍쳐의 한 예이다. 예를 들면, 업컨버터(240)는 IF 신호를 업컨버터(275)에 제공하도록 구성될 수 있다. 예시적인 실시예에서, 업컨버터(275)는 합산 기능부(summing function; 278) 및 상향 변환 믹서(276)를 포함할 수 있다. 합산 기능부(278)는 업컨버터(240)의 I 및 Q 출력들을 결합하고 비직교 신호를 믹서(276)에 제공한다. 비직교 신호는 싱글 엔드형 또는 차분형일 수 있다. 믹서(276)는 업컨버터(240)로부터 IF 신호를 그리고 TX RF LO 신호 생성기(277)로부터 TX RF LO 신호들을 수신하도록, 그리고 상향 변환된 RF 신호를 위상 시프트 회로부(281)에 제공하도록 구성된다. PLL(292)이 신호 생성기들(290, 277)에 의해 공유되는 것으로 도 2b에서 예시되어 있지만, 각각의 신호 생성기에 대한 개개의 PLL이 구현될 수 있다.
[0049] 예시적인 실시예에서, 위상 시프트 회로부(281)의 컴포넌트들은 하나 이상의 조정 가능한 또는 가변 위상 어레이 엘리먼트들을 포함할 수 있고, 연결부(connection)(294)를 통해 데이터 프로세서(210)로부터 하나 이상의 제어 신호들을 수신할 수 있고 수신된 제어 신호들에 기초하여 조정 가능한 또는 가변 위상 어레이 엘리먼트들을 동작시킬 수 있다.
[0050] 예시적인 실시예에서, 위상 시프트 회로부(281)는 위상 시프터들(283) 및 위상 어레이 엘리먼트들(287)을 포함한다. 예시의 용이성을 위해 세 개의 위상 시프터들(283) 및 세 개의 위상 어레이 엘리먼트들(287)이 도시되지만, 위상 시프트 회로부(281)는 더 많은 또는 더 적은 위상 시프터들(283) 및 위상 어레이 엘리먼트들(287)을 포함할 수 있다.
[0051] 각각의 위상 시프터(283)는 업컨버터(275)로부터 RF 송신 신호를 수신하도록, 위상을 어떤 양만큼 변경하도록, 그리고 RF 신호를 개개의 위상 어레이 엘리먼트(287)에 제공하도록 구성될 수 있다. 각각의 위상 어레이 엘리먼트(287)는 하나 이상의 필터들, 증폭기들, 드라이버 증폭기들, 및 전력 증폭기들을 포함하는 송신 및 수신 회로부를 포함할 수 있다. 일부 실시예들에서, 위상 시프터들(283)은 개개의 위상 어레이 엘리먼트들(287) 내에 통합될 수 있다.
[0052] 위상 시프트 회로부(281)의 출력은 안테나 어레이(248)에 제공된다. 예시적인 실시예에서, 예를 들면, 각각의 안테나 엘리먼트가 개개의 위상 어레이 엘리먼트(287)에 커플링되도록, 안테나 어레이(248)는 전형적으로 위상 시프터들(283) 및 위상 어레이 엘리먼트들(287)의 수에 대응하는 수의 안테나들을 포함한다. 예시적인 실시예에서, 위상 시프트 회로부(281) 및 안테나 어레이(248)는 위상 어레이로서 지칭될 수 있다.
[0053] 수신 방향에서, 위상 시프트 회로부(281)의 출력은 다운컨버터(285)에 제공된다. 예시적인 실시예에서, 다운컨버터(285)는 I/Q 생성 기능부(291) 및 하향 변환 믹서(286)를 포함할 수 있다. 예시적인 실시예에서, 믹서(286)는 RX RF LO 신호 생성기(279)에 의해 제공되는 RX RF LO 신호들에 따라 위상 시프트 회로부(281)에 의해 제공되는 수신 RF 신호를 IF 신호로 하향 변환한다. 상기에서 설명되는 바와 같이, I/Q 생성 기능부(291)는 믹서(286)로부터 IF 신호를 수신하고 IF 신호들을 베이스밴드로 하향 변환하는 다운컨버터(260)에 대한 I 및 Q 신호들을 생성한다. PLL(282)은 신호 생성기들(280, 279)에 의해 공유되는 것으로 도 2b에서 예시되지만, 각각의 신호 생성기에 대한 개개의 PLL이 구현될 수 있다.
[0054] 일부 실시예들에서, 업컨버터(275), 다운컨버터(285), 및 위상 시프트 회로부(281)는 공통 IC 상에서 구현된다. 일부 실시예들에서, 믹서들(276, 286) 및 위상 시프트 회로부(281)가 공통 IC 상에서 구현되지만, 합산 기능부(278) 및 I/Q 생성 기능부(291)는 그렇지 않도록, 합산 기능부(278) 및 I/Q 생성 기능부(291)는 믹서들(276 및 286)과는 별개로 구현된다(예를 들면, 합산 기능부(278) 및 I/Q 생성 기능부(291)는 믹서들(276, 286)을 구비하는 IC에 커플링되는 다른 IC에서 구현됨). 일부 실시예들에서, LO 신호 생성기들(277, 279)은 공통 IC에 포함된다. 위상 시프트 회로부가 276, 286, 277, 278, 279 및/또는 291를 갖는 공통 IC 상에 구현되는 일부 실시예들에서, 공통 IC 및 안테나 어레이(248)는 한 모듈에 포함되는데, 이것은 커넥터를 통해 트랜스시버(220)의 다른 컴포넌트들에 커플링될 수 있다. 일부 실시예들에서, 위상 시프트 회로부(281), 예를 들면, 위상 시프트 회로부(281)가 구현되는 칩은 인터커넥트에 의해 안테나 어레이(248)에 커플링된다. 예를 들면, 안테나 어레이(248)의 컴포넌트들은 기판 상에서 구현될 수 있고 유연한 인쇄 회로를 통해 위상 시프트 회로부(281)를 구현하는 집적 회로에 커플링될 수 있다.
[0055] 일부 실시예들에서, 도 2a에서 예시되는 아키텍쳐 및 도 2b에서 예시되는 아키텍쳐 둘 모두는 동일한 디바이스에서 구현된다. 예를 들면, 무선 디바이스(110 또는 200)는 도 2a에서 예시되는 아키텍쳐를 사용하여 약 10 GHz 미만의 주파수를 갖는 신호들과 통신하도록 그리고 도 2b에서 예시되는 아키텍쳐를 사용하여 약 10 GHz를 초과하는 주파수를 갖는 신호들과 통신하도록 구성될 수 있다. 아키텍쳐들 둘 모두가 구현되는 디바이스들에서, 동일하게 번호가 매겨진 도 2a 및 도 2b의 하나 이상의 컴포넌트들은 두 아키텍쳐들 사이에서 공유될 수 있다. 예를 들면, RF로부터 베이스밴드로 직접적으로 하향 변환된 신호들 및 IF 스테이지를 통해 RF로부터 베이스밴드로 하향 변환된 신호들 둘 모두는 동일한 베이스밴드 필터(264)에 의해 필터링될 수 있다. 다른 실시예들에서, 필터(264)의 제1 버전은 도 2a의 아키텍쳐를 구현하는 디바이스의 부분에서 포함되고, 필터(264)의 제2 버전은 도 2b의 아키텍쳐를 구현하는 디바이스의 부분에서 포함된다.
[0056] 도 2c는 도 2b의 컴포넌트들 중 일부의 실시예를 더욱 상세하게 도시하는 블록 다이어그램(297)이다. 예시적인 실시예에서, 업컨버터(275)는 RF 송신 신호를 위상 시프트 회로부(281)에 제공하고, 다운컨버터(285)는 위상 시프트 회로부(281)로부터 RF 수신 신호를 수신한다. 예시적인 실시예에서, 위상 시프트 회로부(281)는 RF 가변 이득 증폭기(284), 분할기/결합기(288), 위상 시프터들(283) 및 위상 어레이 엘리먼트들(287)을 포함한다. 예시적인 실시예에서, 위상 시프트 회로부(281)는 밀리미터파 집적 회로(mmWIC) 상에서 구현될 수 있다. 일부 그러한 실시예들에서, 업컨버터(275) 및/또는 다운컨버터(285)(또는 단지 믹서들(276, 286))도 mmWIC 상에서 또한 구현된다. 예시적인 실시예에서, RF VGA(284)는 TX VGA(293) 및 RX VGA(294)를 포함할 수 있다. 일부 실시예들에서, TX VGA(293) 및 RX VGA(294)는 독립적으로 구현될 수 있다. 다른 실시예들에서, VGA(284)는 양방향성이다. 예시적인 실시예에서, 분할기/결합기(288)는 전력 분배 네트워크 및 전력 결합 네트워크의 한 예일 수 있다. 일부 실시예들에서, 분할기/결합기(288)는 단일의 컴포넌트로서 또는 별개의 신호 분할기 및 신호 결합기로서 구현될 수 있다. 위상 시프터들(283)은 개개의 위상 어레이 엘리먼트들(287)에 커플링된다. 각각의 개개의 위상 어레이 엘리먼트들(287)은 안테나 어레이(248)의 개개의 안테나 엘리먼트에 커플링된다. 예시적인 실시예에서, 위상 시프터들(283) 및 위상 어레이 엘리먼트들(287)은 연결부(294)를 통해 데이터 프로세서(210)로부터 제어 신호들을 수신한다. 도 2c에서 도시되는 예시적인 실시예는 네 개의 위상 시프터들(283-1, 283-2, 283-3 및 283-n), 네 개의 위상 어레이 엘리먼트들(287-1, 287-2, 287-3 및 287-n), 및 네 개의 안테나들(248-1, 248-2, 248-3 및 248-n)을 구비하는 1×4 어레이를 포함한다. 그러나, 1×4 위상 어레이는 단지 예를 위해 도시되며, 다른 구성들, 예컨대 1×2, 1×6, 1×8, 2×3, 2×4, 또는 다른 구성들이 가능하다.
[0057] 도 3은 본 개시내용의 예시적인 실시예에 따른 위상 어레이 엘리먼트(300)의 블록 다이어그램이다. 예시적인 실시예에서, 위상 어레이 엘리먼트(300)는 밀리미터파 집적 회로(mmWIC) 상의 위상 어레이에서 구현될 수 있는 단일의 엘리먼트의 한 예이다. 위상 어레이 엘리먼트(300)는 도 2b, 도 2c로부터의 위상 어레이 엘리먼트들(287) 중 임의의 것의 한 예일 수 있다.
[0058] 예시적인 실시예에서, 위상 어레이 엘리먼트(300)는 송신부(310) 및 수신부(360)를 포함할 수 있다. 예시적인 실시예에서, 송신부(310)는 위상 시프터(314), 가변 이득 증폭기(316), 가변 커패시턴스(317), 예를 들면 예시적인 증폭기 경로(320) 및 증폭기 경로(324)가 도시되어 있는 하나 이상의 증폭기 경로들, 및 자기 회로(330)를 포함할 수 있다.
[0059] 위상 시프터(314)는 신호 분할기, 예컨대 도 2c의 분할기/결합기(288)로부터 연결부(312)를 통해 송신 신호를 수신할 수 있다. 예시적인 실시예에서, 연결부(312) 상의 신호는 믹서 회로에 의해 제공되는 라디오 주파수(RF) 신호일 수 있다. 예시적인 실시예에서, 위상 시프터(314)는 연결부(315)를 통해 VGA(316)에 제공되는 송신 신호의 위상을 제어하는 제어 신호를 연결부(294)를 통해 수신할 수 있다. 예시적인 실시예에서, 위상 시프터(314)는, 연결부(312) 상의 신호의 위상을, 연결부(294)를 통해 제공되는 제어 신호에 기초하여 0도와 360도 사이에 있을 수 있는 양만큼 변경한다.
[0060] 도 3에서 도시되는 실시예는 위상 어레이 엘리먼트(287)(도 2c)에 위상 시프터가 포함되는 예이고, 위상 시프터(314)는 위상 시프터(283)(도 2c)의 예시적인 실시예이다.
[0061] 가변 이득 증폭기(316)는 하나 이상의 스테이지들을 포함할 수 있는데, 추가적인 스테이지들은 점선으로 도시되어 있다. 예를 들면, 밀리미터파 주파수들에서, VGA의 다수의 스테이지들이 사용되어 소망되는 이득 제어 및 전력 제어를 생성할 수 있다. 예시적인 실시예에서, VGA(316)는 연결부(318)를 통해 증폭기 경로(320) 및 증폭기 경로(324)에 제공되는 송신 신호의 이득 및 전력을 제어하는 제어 신호를 연결부(294)를 통해 수신할 수 있다.
[0062] 예시적인 실시예에서, 증폭기 경로(320)는 스위치(321), 드라이버 증폭기(322), 및 전력 증폭기(323)를 포함할 수 있다. 예시적인 실시예에서, 증폭기 경로(324)는 스위치(325), 드라이버 증폭기(326), 및 전력 증폭기(327)를 포함할 수 있다. 예시적인 실시예에서, 스위치들(321 및 325)은 증폭기 경로(320) 및 증폭기 경로(324) 중 하나 또는 둘 모두가 연결부(318) 상의 송신 신호에 연결되는지의 여부를 제어하는 제어 신호를 연결부(294)를 통해 수신할 수 있다.
[0063] 예시적인 실시예에서, 자기 회로(330)는 변압기(331) 및 변압기(335)를 포함한다. 예시적인 실시예에서, 변압기(331)는 1차 권선(primary winding)(332), 2차 권선(333) 및 3차 권선(tertiary winding)(334)을 포함한다. 예시적인 실시예에서, 변압기(335)는 1차 권선(336), 2차 권선(337) 및 3차 권선(338)을 포함한다.
[0064] 예시적인 실시예에서, 변압기(331)의 2차 권선(333) 및 변압기(335)의 2차 권선(337)은 변압기 세그먼트(339)에 의해 함께 커플링된다. 예시적인 실시예에서, 변압기 세그먼트(339)는 스위치(302)를 통해 시스템 접지에 선택적으로 커플링될 수 있다. 예시적인 실시예에서, 변압기 세그먼트(339)는 공통 변압기 세그먼트로서 지칭될 수 있는데, 그 이유는, 그것이 2차 권선(333) 및 2차 권선(337)의 일부를 형성하기 때문이다. 예시적인 실시예에서, 스위치(302)는 연결부(294)를 통해 제어 신호를 수신할 수 있다. 변압기(331)의 2차 권선(333)은 연결부(306)를 통해 시스템 접지에 또한 커플링될 수 있다. 변압기(335)의 2차 권선(337)은 연결부(304)를 통해 안테나 엘리먼트에 출력을 제공할 수 있다.
[0065] 예시적인 실시예에서, 전력 증폭기(323)는 1차 권선(332)에 커플링되고, 전력 증폭기(327)는 1차 권선(336)에 커플링된다.
[0066] 예시적인 실시예에서, 제1 변압기(331)의 3차 권선(334)은 연결부(341)를 통해 AC 접지에 커플링될 수 있고 연결부(342)를 통해 임피던스(344)에 커플링될 수 있다.
[0067] 예시적인 실시예에서, 수신부(360)는 LNA(low noise amplifier)(365)를 포함할 수 있는데, 이것은 예시적인 실시예에서 LNA 스테이지(366) 및 LNA 스테이지(367)를 포함하는 2 스테이지 LNA일 수 있다.
[0068] LNA(365)의 출력은 연결부(362)를 통해 위상 시프터(363)에 제공될 수 있다. 위상 시프터(363)는 연결부(362)를 통해 신호 결합기, 예컨대 도 2c의 분할기/결합기(288)에 수신 신호를 제공할 수 있다. 예시적인 실시예에서, 연결부(362) 상의 신호는 믹서 회로에 제공되는 RF 신호일 수 있다. 예시적인 실시예에서, 위상 시프터(363)는 연결부(362)를 통해 제공되는 수신 신호의 위상을 제어하는 제어 신호를 연결부(294)를 통해 수신할 수 있다.
[0069] 예시적인 실시예에서, 제2 변압기(335)의 3차 권선(338)은 연결부(369)를 통해 AC 접지에 커플링될 수 있고 연결부(368)를 통해 LNA(365)에 커플링될 수 있다. 예시적인 실시예에서, 연결부(369)는 LNA 스테이지(366)를 바이어싱하기 위한 그리고 LNA 스테이지(367)를 바이어싱하기 위한 DC 바이어스 신호를 또한 제공할 수 있다.
[0070] 예시적인 실시예에서, 본원에서 전력 결합 모드로서 또한 지칭되는 고전력(HP) 송신(TX) 모드에서, 스위치(321)(S1)는 전도성이고(즉, ON(온)이고), 스위치(325)(S2))는 전도성(ON)이고 스위치(302)(S3)는 비전도성이다(즉, OFF(오프)이다). 이러한 구성은 전력 증폭기(323) 및 전력 증폭기(327)의 전력 출력이 2차 권선(333) 및 2차 권선(337)에서 결합되는 것을 허용하는데, 결합된 전력은 안테나 엘리먼트(도시되지 않음)로의 전달을 위해 연결부(304)로 전달된다.
[0071] 예시적인 실시예에서, 전력 증폭기(323) 및 전력 증폭기(327)는 동일한 사이즈일 수 있거나 또는 상이한 사이즈들일 수 있고, 동일한 또는 상이한 바이어스 구성을 가질 수 있으며, 결과적으로 동일한 또는 상이한 전력 레벨들을 초래할 수 있다. 예시적인 실시예에서, 전력 증폭기(323) 및 전력 증폭기(327)의 바이어스 및 사이즈는 또한, 예를 들면 전력 백오프시 효율성을 증가시키기 위해, 메인 및 보조 또는 피킹 증폭기 구조를 생성하도록 각각 배열될 수 있다. 유사하게, 드라이버 증폭기(322) 및 드라이버 증폭기(326)는 동일한 사이즈일 수 있거나 또는 상이한 사이즈들일 수 있고, 동일한 또는 상이한 바이어스 구성을 가질 수 있어서, 결과적으로 동일한 또는 상이한 전력 레벨들을 초래할 수 있다.
[0072] 예시적인 실시예에서, 저전력(LP) 송신(TX) 모드에서, 스위치(321)(S1)는 비전도성이고(즉, OFF이고), 스위치(325)(S2)는 전도성(ON)이며, 스위치(302)는(S3)은 전도성이다(즉, ON이다). 이 구성은 단일의 증폭기 경로(이 예에서는 증폭기 경로(324))만이 안테나 엘리먼트(도시되지 않음)로의 전달을 위해 연결부(304)에 출력을 제공하는 것을 허용한다. 도 3에서 도시되는 예시적인 실시예에서, 증폭기 경로(324)는 TX LP 모드에서 인에이블되는데, 그 이유는, 증폭기 경로(324) 및 LNA(365) 둘 모두가 제2 변압기(335)의 3차 권선(338)에 커플링되기 때문이다.
[0073] 예시적인 실시예에서, 증폭기 경로(320) 및 증폭기 경로(324)의 스위칭 가능한 제어는 위상 어레이 엘리먼트(300)가, 백오프 전력 레벨들에서 전력 효율성을 손상시키지 않으면서, 단일의 증폭기 경로만을 갖는 위상 어레이 엘리먼트와 비교하여, 대략 3 dB만큼 증가될 수 있는 전력 출력을 제공하는 것을 허용한다. 단일의 더 큰 전력 증폭기 대신, 전력 증폭기들(323 및 327) 중 하나를 사용하여 LP 모드에서 소망되는 전력을 생성하는 것, 및 두 개의 전력 증폭기들(323 및 327)을 사용하여 HP 모드에서 소망되는 전력(예를 들면, LP 모드에서의 전력보다 대략 3 dB 더 높은 전력)을 생성하는 것은 효율적인 저전력 모드 동작을 허용한다. 예를 들면, LP 모드에서 동작하는 더 큰 전력 증폭기(예를 들면, 단일의 대형 전력 증폭기)는 LP 모드를 위해 사용되는 전력 백오프에서 비효율성의 문제를 겪을 수 있는데, 그 이유는 단일의 대형 전력 증폭기가, 그것의 피크 효율성 포인트보다 대략 3 dB 더 많은 백오프에서 동작할 수 있기 때문이다. 또한, 위상 어레이 엘리먼트(300)의 이중 증폭기 경로 아키텍쳐는, 단일의 증폭기 경로를 갖는 위상 어레이 엘리먼트와 비교하여 회로 면적을 증분적으로(예를 들면, 일부 실시예들에서는 대략 ~8 %만큼) 증가시키는데, 그 이유는, 다른 신호 경로 컴포넌트들(예를 들면, 위상 시프터, 분할기/결합기, 믹서, LO 및 IF 회로부) 중 일부가 공유되기 때문이다. 예시적인 실시예에서, 두 개의 전력 증폭기들(323 및 327)이 활성일 때 대략 3 dB 더 높은 전력은 전력 결합으로부터 유래하는 손실들에 기인하여 3 dB보다 다소 더 낮을 수 있다. 예를 들면, 두 개의 전력 증폭기들(323 및 327)이 활성일 때의 결합된 전력 출력은, 예를 들면, 대략 2.5 dB 내지 대략 3 dB일 수 있다.
[0074] 예시적 실시예에서, 수신(RX) 모드에서, 송신부가 HP 모드에 있는지 또는 LP 모드에 있는지의 여부에 관계없이, 스위치(302)(S3)는 전도성(ON)이고, 따라서, 단일의 전력 증폭기(PA(327))만이 연결부(368) 상의 LNA(365)에 대한 입력에서 임피던스에 영향을 끼치는 것을 허용한다. 스위치(302)가 전도성(ON)인 경우, 증폭기 경로(320)의 임의의 컴포넌트의 어떠한 영향도 연결부(368) 상에서 나타나지 않도록, 변압기(331)의 2차 권선(333)은 시스템 접지에 접지된다. 이러한 방식에서, 증폭기 경로들(320 및 324) 둘 모두가 전력을 제공하고 있을 때 스위치(302)(S3)가 비전도성(OFF)일 수 있기 때문에; 그리고 하나의 증폭기 경로(예를 들면, 증폭기 경로(324))가 전력을 제공하고 있을 때 스위치(302)(S3)가 전도성(ON)일 수 있고, 수신부(360)가 인에이블될 때 전도성(ON)일 수 있고, 그에 의해, RX 모드에서 LNA(366)으로의 입력으로부터 전력 증폭기(323)의 부하를 제거하기 때문에, 수신부(360)는, 심지어 이중 증폭기 경로들(320 및 324)에 의해 대략 2.5 dB 내지 대략 3 dB 더 높은 전력이 제공되는 것을 허용하는 배열에서도, 노이즈 지수(NF) 성능을 유지한다. 예를 들면, 송신부(310) 및 수신부(360)는 그들이 서로 자연스럽게 부하를 걸 안테나에 대한 연결부(304)를 공유하기 때문에, 그리고 송신부(310)에 의해 제공될 더 높은 전력이 소망되는 경우, 안테나에 커플링되는 전력 증폭기의 사이즈를 증가시키는 것이 필요할 수 있는데, 이것은 LNA(365)에 제시되는 부하를 증가시킬 수 있고 노이즈 지수(NF)를 저하시킬 수 있다. 전력 증폭기들(323 및 327)을 구현하고 스위치(302)(S3)를 RX 모드에서 전도성으로 만드는 것에 의해, LNA(365)는 전력 증폭기(327)로부터의 부하에만 노출될 것이고, 그에 의해, 전력 증폭기(323) 및 전력 증폭기(327) 둘 모두의 부하 또는 단일의 더 큰 전력 증폭기의 부하에 노출되는 경우보다 더 높은 NF를 LNA(365)이 유지하는 것을 허용할 것이다.
[0075] 예시적인 실시예에서, 예를 들면, 설계 재사용 목적들을 위해 및/또는 밸런싱을 위해 변압기(331)에 대해 그리고 변압기(335)에 대해 동일한 회로 아키텍쳐를 사용하는 것이 가능하도록, 임피던스(344)는 TX HP 모드에서 종단 임피던스(termination impedance)로서 작용한다. 그렇지 않으면, 종단 임피던스(344)는 연결부(342)를 개방 회로/부동 권선으로 남겨두면서 생략될 수 있고, 그 결과, TX HP 모드에서, 연결부(342)는 높은 임피던스로서 유지되고 3차 권선(334)에서 와전류들을 생성하는 것에 의해 변압기(331)의 품질 인자(Q)를 저하시키지 않는다. 대안적으로, 변압기(331)는 3차 권선(334) 없이 구현될 수 있다.
[0076] 예시적인 실시예에서, 위상 어레이 엘리먼트(300)는 전압 결합(voltage combining) 동작을 수행하는 것으로 묘사된다; 그러나, 위상 어레이 엘리먼트(300)는, 하기에서 설명될 바와 같이, 전류 결합(current combining)을 위해 또한 구성될 수 있다.
[0077] 예시적인 실시예에서, 가변 커패시턴스(317)(C_match)는 동작의 두 개 이상의 상이한 송신 모드들(즉, 스위치(321) 및 스위치(325) 둘 모두가 ON인 HP 모드, 및 스위치(321)가 OFF이고 스위치(325)가 ON인 LP 모드)을 위해 노드(318)에서 주파수 응답을 유지한다. 예시적인 실시예에서, 가변 커패시턴스(317)는 연결부(294)를 통해 제어 신호에 커플링될 수 있고 하나의 증폭기 경로(320 또는 324)가 인에이블되어 신호 증폭을 제공하는지 또는 증폭기 경로들(320 및 324) 둘 모두가 인에이블되어 신호 증폭을 제공하는지의 여부에 따라 상이한 값들로 조정될 수 있다.
[0078] 예시적인 실시예에서, 단일의 위상 시프터(314)가 증폭기 경로(320) 및 증폭기 경로(324) 둘 모두를 구동하기 위해 사용된다. 따라서, 전력 결합(HP) 모드 및 LP 모드 둘 모두는 위상 어레이 엘리먼트마다 단일의 송신 위상 시프터를 사용할 수 있다. 예시적인 실시예에서, 단일의 출력은 연결부(304)를 통해 안테나에 제공된다.
[0079] 도 4a는 본 개시내용의 예시적인 실시예에 따른 1×8 위상 어레이를 갖는 밀리미터파(mmW) RF 모듈(400)의 블록 다이어그램이다. RF 모듈(400)이 1×8 위상 어레이를 포함하는 것으로 예시되고 설명되지만, RF 모듈(400)에 대한 다른 어레이 구성들도 가능하다. 본원에서 사용될 때, 용어들 "모듈" 및 "RF 모듈"은, 예를 들면, 모든 컴포넌트들이 공통 패키지에 포함되도록, 단일의 기판 또는 구조물 상에 RF 컴포넌트들 중 일부 또는 모두를 통합하는 하드웨어 구성을 지칭한다.
[0080] 예시적인 실시예에서, RF 모듈(400)은 밀리미터파 집적 회로(mmWIC)(410)(라디오 주파수 집적 회로(RFIC)로서 또한 지칭됨), 안테나 어레이(420), 전력 관리 집적 회로(power management integrated circuit; PMIC)(415), 및 커넥터(417)를 포함할 수 있다.
[0081] 예시적인 실시예에서, mmWIC(410)는 복수의 위상 어레이 엘리먼트들, 예컨대 도 3에서 설명되는 위상 어레이 엘리먼트(300)를 포함할 수 있다. 도 4a에서 도시되는 1×8 위상 어레이 예에는, 여덟(8) 개의 위상 어레이 엘리먼트들(300a, 300b, 300c, 300d, 300e, 300f, 300g 및 300h)이 있다. 일부 실시예들에서, mmWIC(410)는 기판에 커플링되고 안테나들(300a-300h) 중 하나 이상은 모듈(400)의 기판의 표면 상에서 및/또는 하나 이상의 내부 층들 상에서 구현된다.
[0082] 예시적인 실시예에서, mmWIC(410)는 국부 발진기 생성기 회로(277 및 279)(도 2b), 업컨버터(275) 및 다운컨버터(285)를 포함할 수 있다. 업컨버터(275)는 분할기/결합기(288)(도 2c)에 커플링될 수 있는 신호 연결부(312)에 커플링될 수 있고, 다운컨버터(285)는 분할기/결합기(288)(도 2c)에 또는 다른 결합기에 또한 커플링될 수 있는 신호 연결부(362)에 커플링될 수 있다. 예시의 명확화를 위해, 신호 연결부(312)는 굵은 선들을 사용하여 도시되고 신호 연결부(362)는 굵지 않은 선들을 사용하여 도시된다. 수퍼헤테로다인 아키텍쳐에서의 예시적인 실시예에서, 업컨버터(275)는 업컨버터(240)(도 2b)의 출력을 수신하도록 구성될 수 있고, 다운컨버터(285)는 다운컨버터(260)(도 2b)에 출력을 제공하도록 구성될 수 있다. 직접 변환 아키텍쳐에서, LO 생성기 회로(277/279)는 LO 생성기 회로(295)를 사용하여 도 2a에서 설명되는 바와 같이 구현될 수 있고, 업컨버터(275)는 업컨버터(240)를 사용하여 도 2a에서 설명되는 바와 같이 구현될 수 있으며, 다운컨버터(285)는 다운컨버터(260)를 사용하여 도 2a에서 설명되는 바와 같이 구현될 수 있다.
[0083] 예시적인 실시예에서, 위상 어레이 엘리먼트들(300a 내지 300h)은 도 3의 위상 어레이 엘리먼트(300)와 유사하다. 게다가, 위상 어레이 엘리먼트(300b)는, 위상 어레이 엘리먼트(300b)가 위상 어레이 엘리먼트(300a)의 "미러 이미지"이다는 점을 제외하면, 위상 어레이 엘리먼트(300a)와 유사하다. 위상 어레이 엘리먼트들(300c, 300e, 및 300g)은 위상 어레이 엘리먼트(300a)와 유사할 수 있고; 위상 어레이 엘리먼트들(300d, 300f, 및 300h)은 위상 어레이 엘리먼트(300b)와 유사할 수 있다. 위상 어레이 엘리먼트들(300c, 300d, 300e, 300f, 300g, 및 300h)의 세부사항들은 예시의 명확화를 위해 생략된다.
[0084] 예시적인 실시예에서, 위상 어레이 엘리먼트(300a)는 안테나(421)에 출력을 제공하고, 위상 어레이 엘리먼트(300b)는 안테나(422)에 출력을 제공한다. 마찬가지로, 위상 어레이 엘리먼트(300c)는 안테나(423)에 출력을 제공하고, 위상 어레이 엘리먼트들(300d)은 안테나(424)에 출력을 제공한다; 위상 어레이 엘리먼트(300e)는 안테나(425)에 출력을 제공하고, 위상 어레이 엘리먼트(300f)는 안테나(426)에 출력을 제공한다; 그리고 위상 어레이 엘리먼트(300g)는 안테나(427)에 출력을 제공하고, 위상 어레이 엘리먼트(300h)는 안테나(428)에 출력을 제공한다.
[0085] 예시적인 실시예에서, PMIC 모듈(415)은 RF 모듈(400) 상의 컴포넌트들에 의해 사용되는 전력을 제공 및 제어하고 커넥터(417)는 RF 모듈(400)을 통신 디바이스의 다른 컴포넌트들에 커플링한다.
[0086] 예시적인 실시예에서, mmWIC(410) 내의 모두보다 더 적은 수의 위상 어레이 엘리먼트들이 안테나 엘리먼트에 커플링될 수 있다. 예를 들면, TX HP 모드가 더 작은 모듈, 예를 들면, 1×4 위상 어레이에서 사용될 수 있는 상황에서, 그러면, mmWIC(410) 상의 위상 어레이 엘리먼트들 총 수 중 하나 이상이 안테나 엘리먼트로부터 연결되지 않은 상태로 남아 있을 수 있는데, 그 이유는 mmWIC(410) 상의 모두보다 더 적은 수의 위상 어레이 엘리먼트들이 특정한 애플리케이션에 대한 충분한 출력 전력 레벨을 제공할 수 있기 때문이다. 예를 들면, 도 4a에서 도시되는 mmWIC(410)가 여덟 개의 위상 어레이 엘리먼트들(300a 내지 300h)을 포함하지만, 1×4 위상 어레이가 구현될 수 있는 애플리케이션에서, 위상 어레이 엘리먼트들(300a, 300b, 300c 및 300d)만이 개개의 안테나들(421, 422, 423, 및 424)에 커플링될 수 있다. 그러한 구현예에서, 도 4a에서 도시되는 바와 같이, 위상 어레이 엘리먼트들(300e, 300f, 300g 및 300h)은, 위상 어레이 엘리먼트들(300e, 300f, 300g 및 300h)이 개개의 안테나들에 연결되지 않는다는 것을 표시하기 위해, 개개의 안테나들(425, 426, 427 및 428)에 대해 점선의 연결을 갖는 것으로 도시된다.
[0087] 예시적인 실시예에서, UE에 대해서는 1×4 위상 어레이가 사용될 수 있고, 고객 댁내 기기(CPE)에 대해서는 1×8 위상 어레이가 사용될 수 있다. 이러한 방식에서, RF 모듈(400)은 다수의 애플리케이션들에서, 예컨대 UE에서 그리고 CPE에서 구현될 수 있다. 게다가, 동일한 mmWIC(410)가 이들 다양한 애플리케이션들에서(예를 들면, 상이한 수들의 안테나들이 mmWIC(410)에 커플링되는 애플리케이션들에서) 사용될 수 있다. 대안적으로, 모든 위상 어레이 엘리먼트들은 개개의 안테나들에 커플링될 수 있다. 모두보다 더 적은 수의 위상 어레이 엘리먼트들(300)이 안테나 엘리먼트에 커플링되는 일부 실시예들에서, 안테나에 커플링되는 위상 어레이 엘리먼트들 중 적어도 하나는 HP 모드에서 동작한다. 위상 어레이 엘리먼트들(300) 모두가 개개의 안테나들에 커플링되는 일부 실시예들에서, 위상 어레이 엘리먼트들 모두는 특정한 시나리오들에서, 예를 들면 적어도 모든 안테나들로부터 송신할 때, LP 모드에서 동작한다. 예를 들면, 위상 어레이 엘리먼트(300a)는 스위치(302a)가 전도성인 것으로 도시되고 위상 어레이 엘리먼트(300b)는 스위치(302b)가 전도성인 것으로 도시되는데, 위상 어레이 엘리먼트들(300a 및 300b)이 LP TX 모드에 있다는 것을 표시한다.
[0088] 도 4b는 본 개시내용의 예시적인 실시예에 따른 1×4 위상 어레이를 갖는 밀리미터파(mmW) RF 모듈(450)의 블록 다이어그램이다. RF 모듈(450)이 1×4 위상 어레이로서 예시되고 설명되지만, RF 모듈(450)에 대한 다른 구성들도 가능하다. 도 4b의 컴포넌트들과 동일하게 번호가 매겨진 컴포넌트들의 설명은 반복되지 않을 것이다.
[0089] 예시적인 실시예에서, mmWIC(460)는 복수의 위상 어레이 엘리먼트들, 예컨대 도 3에서 설명되는 위상 어레이 엘리먼트(300)를 포함할 수 있다. 도 4b에서 도시되는 1×4 위상 어레이 예에는, 네(4) 개의 위상 어레이 엘리먼트들(300a, 300b, 300c, 및 300d)이 있다.
[0090] 예시적인 실시예에서, 위상 어레이 엘리먼트(300a)는 안테나 엘리먼트(421)에 출력을 제공하고, 위상 어레이 엘리먼트(300b)는 안테나 엘리먼트(422)에 출력을 제공한다. 마찬가지로, 위상 어레이 엘리먼트(300c)는 안테나 엘리먼트(423)에 출력을 제공하고, 위상 어레이 엘리먼트(300d)는 안테나 엘리먼트(424)에 출력을 제공한다.
[0091] TX HP 모드가 더 작은 모듈에서 사용될 수 있는 예시적인 실시예에서, 도 4b에서 도시되는 1×4 위상 어레이가 구현될 수 있다. 예시적인 실시예에서, 도 4b에서 도시되는 1×4 위상 어레이는 UE에 대해 사용될 수 있고, 1×8 위상 어레이는 고객 댁내 기기(CPE)에 대해 사용될 수 있다. 예를 들면, 위상 어레이 엘리먼트(300a)는 스위치(302a)가 비전도성인 것으로 도시되고, 위상 어레이 엘리먼트(300b)는 스위치(302b)가 비전도성인 것으로 도시되는데, 위상 어레이 엘리먼트들(300a 및 300b)이 도 4b에서 도시되는 실시예들에서 HP TX 모드에 있다는 것을 표시한다. 이러한 일부 실시예들에서, 위상 어레이 엘리먼트들(300d-300h)(도 4a)은 mmWIC(460)에 포함되지만, 안테나에는 연결되지 않는다. 이들 실시예들 중 일부에서, mmWIC(460)은 mmWIC(410)에 포함되는 컴포넌트들 모두를 포함할 수 있지만, 두 개의 mmWIC들은 상이하게 구성될 수 있고(예를 들면, 스위치들(302, 321, 및/또는 325)과 같은 특정한 연결부들이 상이하게 설정될 수 있음) 상이한 개수의 안테나들에 커플링된다.
[0092] 도 4a 및 도 4b에서 도시되는 구성들은 단지 예들에 불과하다. 위상 어레이 엘리먼트들 각각은 HP TX 모드, LP TX 모드 및 RX 모드 중 임의의 것에서 동작할 수 있다. 게다가 이들 컴포넌트들 모두는 모듈에 패키징되지 않고 디바이스에 포함될 수 있다. 예를 들면, 위상 어레이 엘리먼트들은, 모듈에서 안테나들과 함께 커플링되는 대신, 안테나들이 구현되는 별개의 기판에 커플링될 수 있다.
[0093] 도 5는 본 개시내용의 예시적인 실시예에 따른 HP TX 모드에서 구성되는 위상 어레이 엘리먼트(500)의 블록 다이어그램이다. 위상 어레이 엘리먼트(500)는 위상 어레이 엘리먼트(300)의 예시적인 구성일 수 있다. 위상 어레이 엘리먼트(500)는, 본원에서 전력 결합 모드로서 또한 지칭되는 고전력(HP) 송신(TX) 모드에서, 스위치(321)(S1)가 전도성이고(즉, ON(온)이고), 스위치(325)(S2))가 전도성(ON)이고 스위치(302)(S3)가 비전도성이다(즉, OFF(오프)이다)는 것을 예시한다. 이러한 구성은 전력 증폭기(323) 및 전력 증폭기(327)의 전력 출력이 결합되는 것 및 안테나 엘리먼트(도시되지 않음)로의 전달을 위해 연결부(304)로 전달되는 것을 허용한다. LNA 스테이지(366), LNA 스테이지(367) 및 위상 시프터(363)는, 그들이 이 모드에서 비활성이다는 것을 표시하기 위해 가상 라인으로 도시되어 있다.
[0094] 도 6은 본 개시내용의 예시적인 실시예에 따른 LP TX 모드에서 구성되는 위상 어레이 엘리먼트(600)의 블록 다이어그램이다. 위상 어레이 엘리먼트(600)는, 저전력(LP) 송신(TX) 모드에서, 스위치(321)(S1)가 비전도성이고(즉, OFF이고), 스위치(325)(S2)가 전도성(ON)이며, 스위치(302)는(S3)가 전도성이다(즉, ON이다)는 것을 예시한다. 드라이버 증폭기(322), 전력 증폭기(323), 변압기(331), LNA 스테이지(366), LNA 스테이지(367) 및 위상 시프터(363)는, 그들이 이 모드에서 비활성이다는 것을 표시하기 위해 가상 라인으로 도시되어 있다. 이 구성은 단일의 전력 증폭기(이 예에서는 327)만이 안테나 엘리먼트(도시되지 않음)로의 전달을 위해 연결부(304)에 출력을 제공하는 것을 허용한다.
[0095] 도 7은 본 개시내용의 예시적인 실시예에 따른 RX 모드에서 구성되는 위상 어레이 엘리먼트(700)의 블록 다이어그램이다. 위상 어레이 엘리먼트(700)는, 수신(RX) 모드에서, 송신부가 HP 모드에서 동작하는지 또는 LP 모드에서 동작하는지의 여부에 관계없이, 스위치들(321 및 325)이 비전도성(OFF)이고, 스위치(302)(S3)가 전도성(ON)이고, 따라서, 단일의 전력 증폭기(PA(327))만이 연결부(368) 상의 LNA(365)에 대한 입력에서 임피던스에 영향을 끼치는 것을 허용한다는 것을 예시한다. 스위치(302)가 전도성(ON)인 경우, 증폭기 경로(320)의 임의의 컴포넌트의 어떠한 영향도 연결부(368) 상에서 나타나지 않도록, 변압기(331)의 2차 권선(333)은 시스템 접지에 접지된다. 이 RX 모드에서, 드라이버 증폭기(322), 전력 증폭기(323), 변압기(331), 드라이버 증폭기(326), 및 전력 증폭기(327), 및 변압기(335)의 일부들은, 그들이 이 모드에서 비활성이다는 것을 표시하기 위해 가상 라인으로 도시되어 있다. 변압기(335)는, 수신(RX) 모드의 경우 변압기로서 작용하는 2차 권선(337) 및 3차 권선(338)을 통해 수신(RX) 모드에서 LNA(365)에 대한 연결부(304) 상에서 안테나를 커플링하는 3중 코일(tri-coil)로서 구현될 수 있다는 점에서 부분적으로 비활성이다. 일부 실시예들에서, 비활성인 것으로 설명되는 컴포넌트들(예를 들면, LNA(365), 송신 경로들(320, 324)에 있는 증폭기들, 위상 시프터(363) 등)은 컴포넌트를 특정한 전압(예를 들면, 특정한 바이어스 또는 접지)에 커플링하는 것에 의해 디스에이블될 수 있다.
[0096] 도 8은 본 개시내용의 예시적인 실시예에 따른 위상 어레이 엘리먼트(800)의 블록 다이어그램이다. 위상 어레이 엘리먼트(800)는, 변압기들(331 및 335)의 2차 권선들(333 및 337)이, 각각, 병렬로 커플링된다는 점에서, 도 3에서 도시되는 위상 어레이 엘리먼트(300)와는 상이하다. 스위치(802)는 변압기 세그먼트(333)와 변압기 세그먼트(337) 사이에서, 예를 들면, 개개의 연결부들(839 및 803) 사이에서 로케이팅된다. 예시적인 실시예에서, 스위치(802)가 전도성인 경우, 변압기 세그먼트(333) 및 변압기 세그먼트(337)는 공통 변압기 세그먼트를 형성할 수 있다. 출력 연결부(804)도 또한 연결부(803)에 커플링된다. 도 8에서 도시되는 위상 어레이 엘리먼트(800)의 예시적인 실시예는 유사한 기능성(functionality)을 수행하지만 그러나 증폭기 경로(320)의 출력을 증폭기 경로(324)의 출력과 결합하기 위한 전압 결합과는 대조적으로, 전류 결합을 사용한다. 위상 어레이 엘리먼트(800)에서, 전력 증폭기(323) 및 전력 증폭기(327)는 동일한 사이즈일 수 있거나 또는 상이한 사이즈들일 수 있고, 동일한 또는 상이한 바이어스들을 또한 가질 수 있다. 변압기(331) 및 변압기(335)도 또한 유사하게 제조될 수 있거나 또는 상이한 값들로 최적화될 수 있다. 도 3과 관련하여 상기에서 설명되는 바와 같이, 위상 어레이 엘리먼트(800)의 실시예는, 전력 증폭기(327)가 메인 증폭기(예를 들면, 클래스 AB 바이어스)로서 작용하고, 한편 전력 증폭기(323)가 피킹/보조 증폭기(예를 들면, 클래스 C 바이어스)로서 작용하도록 또는 그 반대로 작용하도록 또한 구성될 수 있다. 게다가, 점선으로 도시되는 옵션 사항의 인덕터(815)는 스위치(802)의 오프 절연을 개선하기 위해 스위치(802) 양단에 로케이팅될 수 있으며, 따라서 LP TX 모드에서 전력 증폭기(327)에 제시되는 전력 증폭기(323)의 부하를 낮출 수 있고, 뿐만 아니라, RX 모드에서 LNA(365)에 제시되는 부하를 낮출 수 있다.
[0097] 일부 실시예들에서, 두 개보다 더 많은 증폭기 경로들이 위상 어레이 엘리먼트(900)에 포함된다. 예를 들면, 제3 증폭기 경로가 경로들(320, 324)과 병렬로 VGA(316)에 선택적으로 커플링될 수 있다. 제3 증폭기 경로의 출력들은, 예를 들면, 제3 증폭기 경로가 출력 연결부(804) 상의 안테나로 출력되는 신호에 기여하는 것을 선택적으로 가능하게 하기 위해, 연결부(803)에 스위칭 가능하게 커플링될 수 있는 제3 변압기에 커플링될 수 있다.
[0098] 도 9는 본 개시내용의 예시적인 실시예에 따른 위상 어레이 엘리먼트(900)의 블록 다이어그램이다. 위상 어레이 엘리먼트(900)는 위상 어레이 엘리먼트(900)의 전력 증폭기(323) 및 전력 증폭기(327)의 출력들이 개개의 범프 전이부(bump transition)들(905 및 915)에 제공된다는 점에서 도 3에서 도시되는 위상 어레이 엘리먼트(300)와는 상이하다. 범프 전이부는 집적 회로(IC) 패키지를 다이에 연결하여, 다이 측 범프(즉, PA 출력 핀)를 볼 그리드 어레이(ball grid array; BGA) IC 패키지의 패키지 볼에 연결하는 연결부를 지칭한다. 범프로부터 BGA 볼까지의 범프 전이 연결부는, mm파 주파수들에서 PA/LNA 성능을 최적화하기 위한 특정한 소망되는 임피던스를 제공하기 위해, 인덕턴스(L) 및 커패시턴스(C) 관점들에서 커스터마이징될 수 있다.
[0099] 범프 전이부들이 이전 도면들에서 예시되지 않지만, 그들은 (예를 들면, 도 4a 및 도 4b의 위상 어레이 엘리먼트들과 안테나들 사이에서, 또는 도 3, 도 5, 도 6, 도 7, 및 도 8의 "ANT로" 화살표에서) 포함될 수 있다. 도 3, 도 5, 도 6, 도 7, 및 도 8에서 도시되는 일부 실시예들에서, 도 9에서 도시되는 엘리먼트마다 두 개의 범프 전이부들과는 대조적으로, 엘리먼트마다 하나의 범프 전이부가 있을 수 있다.
[00100] 이 예시적인 실시예에서, LNA(965)는 연결부(969)를 통해 연결부(917)에 커플링되는 LNA 스테이지(966), 및 연결부(968)를 통해 연결부(907)에 커플링되는 LNA 스테이지(366)를 포함한다. LNA 스테이지(966) 및 LNA 스테이지(366)는 다른 LNA 스테이지(367)에 출력을 제공한다.
[00101] 도 9에서 도시되는 예시적인 실시예에서, 각각의 증폭기 경로(320 및 324)는, 각각, 별개의 범프 전이부(905 및 915)로의 별개의 출력을 갖는다. 이 예시적인 실시예에서, 각각의 증폭기 경로는 스위치들(321 및 325)을 통해 개별적으로 인에이블될 수 있으며, 상이한 전력 출력 레벨들을 제공하도록, 예를 들면, 범프 전이부(915)를 통해 저전력(LP) 출력을 그리고 범프 전이부(905)를 통해 고전력(HP) 출력을 제공하도록 또한 구성될 수 있다. 도 9에서 도시되는 예시적인 실시예에서, 전력 증폭기(323)는 전력 증폭기(327)보다 더 큰 사이즈의 디바이스를 사용하여 구현될 수 있다. 예를 들면, 예시적인 실시예에서, 전력 증폭기(323)가 전력 증폭기(327)보다 대략 3 dB 더 높은 전력을 가지도록 설계될 수 있는 위상 어레이 엘리먼트가 구성될 수 있다. 그러나, 동작 손실들에 기인하여, 전력 증폭기(323)는 전력 증폭기(327)보다 대략 2.5 dB 내지 대략 3 dB 더 높은 전력을 가질 수 있다. 그러한 위상 어레이는 전력 증폭기(327)보다 더 큰 전력 증폭기(323)를 갖는 더 적은 수의 위상 어레이 엘리먼트들(900)이 전력 증폭기(327)와 유사하게 구성되는 전력 증폭기(323)를 갖는 위상 어레이와 비교하여 등가의 유효 등방성 방사 전력(effective isotropic radiated power; EIRP)을 전달하기 위해 사용될 수 있도록 더 높은 전력을 전달하도록 최적화될 수 있다. 예를 들면, 전력 증폭기(323)가 전력 증폭기(327)보다 대략 3 dB 더 높은 전력을 갖도록 설계되면, 전력 증폭기(327)보다 더 높은 전력을 갖는 전력 증폭기(323)를 구비하는 위상 어레이 엘리먼트들(900)에 대한 위상 어레이 사이즈는, 전력 증폭기(327)가 전력 증폭기(323)와 동일한 위상 어레이 엘리먼트의 경우에서의 1×8 대신, 1×6일 수 있다. 이득이 공간 전력 결합에 의해 실현되기 때문에 더 큰 위상 어레이가 더 작은 위상 어레이보다 일반적으로 더 전류 효율적이기 때문에, 이러한 배열은 일부 효율성을 희생할 수 있다. 그러나, 일부 애플리케이션들에서, 위상 어레이의 사이즈는 비용 이유들에 기인하여 전류 효율성보다 더 중요할 수 있다. 예시적인 실시예에서, LNA(965)는 HP 및 LP TX 모드에 대한 최상의 노이즈 지수를 위해 개별적으로 최적화될 수 있다. 도 9에서 도시되는 아키텍쳐는 스위치(302)(도 3) 또는 스위치(802)(도 8)가 생략되기 때문에 어떠한 추가적인 부하 또는 손실도 제시하지 않는다.
[00102] 예시적인 실시예에서, 위상 어레이 엘리먼트마다 두 개의 송신 경로들이 도 9에서 도시되어 있다; 그러나, 상이한 전력 레벨들을 제공하고 및/또는 상이한 수들의 안테나들을 수용하기 위해, 세(3) 개 이상의 송신 경로들(각각은 개개의 범프 전이부에 커플링됨)이 있을 수 있다. 유사하게, 수신 경로는, 세 개 이상의 수신 경로들(예를 들면, 증폭기(367) 또는 위상 시프터(363)로 출력하는 개개의 증폭기들을 포함함)이 구현되도록, 각각의 송신 경로/범프 전이부에 커플링될 수 있다.
[00103] 도 3, 도 5, 도 6, 도 7, 도 8, 및 도 9와 관련하여 본원에서 설명되는 예시적인 실시예들에서, 집적 회로(IC)의 위상 어레이 엘리먼트들 모두가 동일하게 구성될 필요는 없다. 예를 들면, 모든 엘리먼트에서 저전력(LP) 경로를 갖는 것이 바람직할 수 있지만, 더 적은 안테나들이 있을 때 전형적으로 고전력(HP) 경로가 사용된다면, HP 경로는 위상 어레이 엘리먼트들 중 일부에서 생략될 수 있다.
[00104] 위상 어레이 엘리먼트(900)가 안테나들에 연결되는 예시적인 실시예에서, 다수의 범프 전이부들이 연결되지 않은 상태로 남아 있을 수 있다. 예를 들면, 제조사는 어떤 타입의 디바이스가 구현되고 있는지에 따라 어떤 것들을 사용할지를 선택할 수 있다.
[00105] 일부 실시예들에서, 각각의 위상 어레이 엘리먼트에서 얼마나 많은 경로들이 구현되는지에 관계없이, 단일의 TX 위상 시프터만이 사용될 수 있으며 RX 경로들 모두는 단일의 RX 위상 시프터로 수렴될 수 있다.
[00106] 도 10a, 도 10b 및 도 10c는 본 개시내용의 예시적인 실시예에 따른 밀리미터파(mmW) RF 모듈의 예시적인 실시예를 집합적으로 예시하는 블록 다이어그램들이다.
[00107] 도 10a는 밀리미터파(mmW) RF 모듈(1000)의 측면도를 도시한다. RF 모듈(1000)은 도 4a에서 도시되는 RF 모듈(400)의 한 예일 수 있다. 예시적인 실시예에서, RF 모듈(1000)은 기판(1003) 상에 제조되는 1×8 위상 어레이를 포함할 수 있다.
[00108] 예시적인 실시예에서, RF 모듈(1000)은 기판(1003) 상에 제조되는 mmWIC(1010), PMIC(1015), 커넥터(1017) 및 복수의 안테나들(1021, 1022, 1023, 1024, 1025, 1026, 1027 및 1028)을 포함할 수 있다.
[00109] 도 10b는 기판(1003) 상의 mmWIC(1010), PMIC(1015), 커넥터(1017) 및 복수의 안테나들(1021, 1022, 1023, 1024, 1025, 1026, 1027 및 1028)을 도시하는 RF 모듈(1000)의 상면 사시도(top perspective view)이다.
[00110] 도 10c는 기판(1003) 상의 안테나들(1021, 1022, 1023, 1024, 1025, 1026, 1027 및 1028)을 도시하는 RF 모듈(1000)의 저부 사시도(bottom perspective view)이다.
[00111] 도 10d는 밀리미터파(mmW) RF 모듈(1050)의 대안적인 실시예를 도시한다. RF 모듈(1050)은 도 10a에서 도시되는 RF 모듈(1000)과 유사할 수 있지만, 1×6 어레이로서 배열된다. 예시적인 실시예에서, RF 모듈(1050)은 기판(1053) 상에 제조되는 1×6 위상 어레이를 포함할 수 있다.
[00112] 예시적인 실시예에서, RF 모듈(1050)은 기판(1053) 상에 제조되는 복수의 안테나들(1071, 1072, 1073, 1074, 1075 및 1076)을 포함할 수 있다.
[00113] 예시적인 실시예에서, RF 모듈(1050) 상의 각각의 안테나(1071, 1072, 1073, 1074, 1075 및 1076)와 연관되는 모든 위상 어레이 엘리먼트는 LP 모드에서 전달하는 것보다 대략 2.5 dB 내지 3 dB 더 높은 전력을 전달하는 HP 모드에 대해 구성될 수 있다. 예시적인 실시예에서, mmWIC(1010)는 모듈(1050)에서 1×6 어레이를 가지고 사용될 수 있고, 설명되는 바와 같이 HP 모드에서 동작하도록 구성될 수 있다. 대조적으로, mmWIC(1010)이 도 10c에서 도시되는 1×8 구성을 가지고 사용되는 경우, mmWIC(1010)는 LP 모드에서 동작하도록 구성될 수 있다.
[00114] 예를 들면, 프리미엄 계층 통신 디바이스는 가능한 가장 낮은 전류 소비를 가지고 가장 높은 핵심 성과 지표(KPI)들을 제공할 수 있지만, 소비되는 회로 면적의 양 및 비용은 상대적으로 덜 중요할 수 있다. 중간 계층 통신 디바이스에서, 적은 회로 면적 및 저비용의 추구에서 전류 효율성을 절충하는 것이 바람직할 수 있다. 회로 면적의 관점에서, 면적은 통신 디바이스의 인쇄 회로 보드 상에서의 mmWIC의 면적 및 mmWIC에 의해 소비되는 면적을 지칭한다. 중간 계층 통신 디바이스에서 모듈 사이즈를 감소시키기 위해서는, 더 적은 위상 어레이 엘리먼트들 및 안테나들을 갖는 것이 바람직할 것이지만, 엘리먼트당 전력을 변경(증가)하지 않으면서 위상 어레이 엘리먼트들 및 안테나들의 개수를 감소시키는 것은 EIRP를 대가로 다가올 수 있는데, 이것은, 더 낮은 EIRP가 셀 커버리지를 감소시킬 수 있기 때문에, 일부 상황들/디바이스들에서는 허용 가능하지 않을 수 있다. 따라서, 중간 계층 통신 디바이스에서, 엘리먼트당 전력이 증가되지 않는 경우 더 많은 수의 위상 어레이 엘리먼트들에 의해 제공되는 동일한 EIRP를 유지하면서 더 적은 안테나들(이들은 더 작은 RF 모듈에 대응함)이 사용될 수 있도록, 위상 어레이 엘리먼트당 전력은 증가될 수 있다. 일부 그러한 실시예들에서, 필요로 되는 면적이 안테나들의 수에 의해 좌우될 수 있기 때문에, 동일한 mmWIC가 프리미엄 및 중간 계층 디바이스들 둘 모두에서 사용될 수 있다. 도 10에서 확인될 수 있는 바와 같이, mmWIC(1010)에 의해 소비되는 면적은 6 개 또는 8 개의 안테나들에 대해 필요로 되는 면적보다 더 작고, 따라서, 6 개 또는 8 개(또는 다른 수, 예컨대 4 개)의 안테나들을 포함하는 모듈에 대해 mmWIC를 사용하는 것이 충분할 수 있다. 다른 실시예들에서, 상이한 mmWIC(예를 들면, 더 적은 위상 어레이 엘리먼트들을 포함함)가 상이한 모듈 구성들에 대해 사용될 수 있다. 효율성에 관하여, 동일한 EIRP에 대해 더 큰 위상 어레이들을 구축하는 것은, (대체로 등가의 EIRP가 달성되도록) 더 높은 엘리먼트당 전력을 갖는 더 작은 위상 어레이들과 비교하여 더욱 전류 효율적일 수 있다. 이것은, M×N 위상 어레이에서 TX 모드 전력인 EIRP가 엘리먼트들의 수의 제곱((M×N)2)에 따라 증가하기 때문인데, 여기서 M×N은 안테나들의 수이다. EIRP는 엘리먼트들의 수의 제곱에 따라 증가하며 더 큰 위상 어레이들에서 최상의 효율성을 제공한다. 예를 들면, M×N 곱하기 소비되는 엘리먼트당 DC/배터리 전류는 (M×N)2 방사 전력(EIRP) 증가를 초래한다. 더 낮은 전력 모드에 대해 효율성을 실질적으로 저하시키지 않으면서 RF 모듈의 엘리먼트당 전력을 증가시키는 능력을 갖는 것은, 상이한 사이즈들을 갖는 RF 모듈들을 구축하는 것을 허용하고, 동시에 실질적으로 유사한 EIRP를 또한 제공한다. 예를 들면, 엘리먼트당 전력이, 예를 들면, 대략 2.5 dB(즉, 1.78 배)만큼 증가될 수 있는 경우, 그러면, 위상 어레이의 사이즈는, 실질적으로 등가의 EIRP를 달성하기 위해, 1×8로부터 1×6(즉, 8×8 = 6×6 * 1.78)으로 감소될 수 있다. 그러한 1×6 위상 어레이가 1×8 위상 어레이 보다 더 낮은 수신 감도(RX EIS(Effective Isotropic Sensitivity; 유효 등방성 감도))를 가질 수 있지만, 거의 모든 실제 네트워크들에서, 커버리지/셀 사이즈는 EIS가 아니라 EIRP에 의해 제한된다.
[00115] 도 11은 신호 증폭을 위한 방법의 동작의 예를 설명하는 플로우차트(1100)이다. 방법(1100)에서의 블록들은 도시된 순서대로 또는 그 순서를 벗어나 수행될 수 있고, 일부 실시예들에서, 적어도 부분적으로 병렬로 수행될 수 있다.
[00116] 블록(1102)에서, 통신 신호가 선택적으로 증폭될 수 있다. 예를 들면, 도 3의 위상 어레이 엘리먼트(300)는 제1 증폭기 경로(320)와 제2 증폭기 경로(324) 중 하나 또는 둘 모두를 사용하여 통신 신호를 증폭할 수 있거나, 또는 도 9의 위상 어레이 엘리먼트(900)는 제1 증폭기 경로(320) 또는 제2 증폭기 경로(324)를 사용하여 통신 신호를 증폭할 수 있다.
[00117] 블록(1104)에서, 증폭된 통신 신호는 일부 실시예들에서 송신을 위해 선택적으로 결합될 수 있다. 예를 들면, HP TX 모드에서, 도 3의 제1 증폭기 경로(320)의 출력 및 제2 증폭기 경로(324)의 출력은, 스위치(302)가 비전도성(OFF)인 동안, 변압기 세그먼트(339)에 의해 결합될 수 있고, 그 결과, 제1 증폭기 경로(320)의 출력 및 제2 증폭기 경로(324) 출력 둘 모두는 연결부(304)를 통해 안테나 엘리먼트에 제공된다. 다른 실시예들에서, 예를 들면, LP 모드에서 또는 도 9의 구성을 사용할 때, 증폭된 신호들의 조합이 생략된다.
[00118] 블록(1106)에서, 복수의 전력 레벨들 중 하나의 전력 레벨에 대한 주파수 응답이 선택적으로 유지된다. 예를 들면, 가변 커패시터(317)는 위상 어레이 엘리먼트(300 또는 900)의 주파수 응답이 LP TX 모드에서 또는 HP TX 모드에서 유지되도록 설정 또는 조정될 수 있다.
[00119] 도 12는 신호 증폭을 위한 장치의 기능 블록 다이어그램이다. 장치(1200)는 통신 신호를 선택적으로 증폭하기 위한 수단(1202)을 포함한다. 특정한 실시예들에서, 통신 신호를 선택적으로 증폭하기 위한 수단(1202)은 방법(1100)(도 11)의 동작 블록(1102)에서 설명되는 기능들 중 하나 이상을 수행하도록 구성될 수 있다. 예시적인 실시예에서, 통신 신호를 선택적으로 증폭하기 위한 수단(1202)은 제1 증폭기 경로(320) 및 제2 증폭기 경로(324)를 포함할 수 있으며, 일부 실시예들에서, 스위치들(321 및 325)을 포함할 수 있다.
[00120] 장치(1200)는 증폭된 통신 신호를 송신을 위해 선택적으로 결합하기 위한 수단(1204)을 또한 포함할 수 있다. 특정한 실시예들에서, 증폭된 통신 신호를 송신을 위해 선택적으로 결합하기 위한 수단(1204)은 방법(1100)(도 11)의 동작 블록(1104)에서 설명되는 기능들 중 하나 이상을 수행하도록 구성될 수 있다. 예시적인 실시예에서, 증폭된 통신 신호를 송신을 위해 선택적으로 결합하기 위한 수단(1204)은 회로(330)를 포함할 수 있다.
[00121] 장치(1200)는 복수의 전력 레벨들 각각에 대한 주파수 응답을 선택적으로 유지하기 위한 수단(1206)을 또한 포함한다. 특정한 실시예들에서, 복수의 전력 레벨들 각각에 대한 주파수 응답을 선택적으로 유지하기 위한 수단(1206)은 방법(1100)(도 11)의 동작 블록(1106)에서 설명되는 기능들 중 하나 이상을 수행하도록 구성될 수 있다. 예시적인 실시예에서, 복수의 전력 레벨들 각각에 대한 주파수 응답을 선택적으로 유지하기 위한 수단(1206)은 가변 커패시터(317)를 포함할 수 있다. 예를 들면, 가변 커패시터(317)는 위상 어레이 엘리먼트(300)의 주파수 응답이 LP TX 모드에서 또는 HP TX 모드에서 유지되도록 설정 또는 선택적으로 조정될 수 있다.
[00122] 본원에서 설명되는 회로 아키텍쳐는 하나 이상의 IC들, 아날로그 IC들, RFIC들, 혼합 신호 IC들, ASIC들, 인쇄 회로 보드(PCB)들, 전자 디바이스들 등 상에서 구현될 수 있다. 본원에서 설명되는 회로 아키텍쳐는 상보형 금속 산화물 반도체(complementary metal oxide semiconductor; CMOS), N 채널 MOS(N-channel MOS; NMOS), P 채널 MOS(P-channel MOS; PMOS), 바이폴라 접합 트랜지스터(bipolar junction transistor; BJT), 바이폴라-CMOS(BiCMOS; BJT), 실리콘 게르마늄(silicon germanium; SiGe), 갈륨 비화물(GaAs), 헤테로접합 바이폴라 트랜지스터(heterojunction bipolar transistor; HBT)들, 고 전자 이동도 트랜지스터(high electron mobility transistor; HEMT), 실리콘 온 인슐레이터(silicon-on-insulator; SOI) 등과 같은 다양한 IC 프로세스 기술들을 사용하여 또한 제조될 수 있다.
[00123] 본원에서 설명되는 회로를 구현하는 장치는 독립형 디바이스일 수 있거나 또는 더 큰 디바이스의 일부일 수 있다. 디바이스는 (i) 독립형 IC, (ii) 데이터 및/또는 명령어들을 저장하기 위한 메모리 IC들을 포함할 수 있는 하나 이상의 IC들의 세트, (iii) RF 수신기(RF receiver; RFR) 또는 RF 송신기/수신기(RF transmitter/receiver; RTR)와 같은 RFIC, (iv) 이동국 모뎀(mobile station modem; MSM)과 같은 ASIC, (v) 다른 디바이스들 내에 임베딩될 수 있는 모듈, (vi) 수신기, 셀룰러 폰, 무선 디바이스, 핸드셋, 또는 모바일 유닛, (vii) 등일 수 있다.
[00124] 선택된 양태들이 상세하게 예시되고 설명되었지만, 다음의 청구항들에 의해 정의되는 바와 같은, 본 발명의 취지 및 범위로부터 벗어나지 않으면서, 다양한 대체예들 및 변경예들이 그 안에서 이루어질 수 있다는 것이 이해될 것이다.

Claims (30)

  1. 위상 어레이 엘리먼트(phased array element)로서,
    복수의 증폭기 경로들을 구비하는 송신부(transmit portion) ― 각각의 증폭기 경로는 드라이버 증폭기 및 전력 증폭기를 구비함 ― ;
    상기 복수의 증폭기 경로들 중 제1 증폭기 경로의 상기 전력 증폭기에 커플링되는 제1 변압기 및 상기 복수의 증폭기 경로들 중 제2 증폭기 경로의 상기 전력 증폭기에 커플링되는 제2 변압기 ― 상기 제1 변압기 및 상기 제2 변압기 각각의 2차 권선(secondary winding)은 공통 변압기 세그먼트에 의해 함께 커플링됨 ― ;
    상기 복수의 증폭기 경로들에 스위칭 가능하게 커플링되는 송신 위상 시프터(transmit phase shifter);
    상기 제2 변압기에 커플링되는 수신부(receive portion) ― 상기 수신부는 LNA(low noise amplifier)를 구비하는 수신 경로를 가짐 ― ; 및
    상기 LNA에 커플링되는 수신 위상 시프터(receive phase shifter)
    를 포함하는, 위상 어레이 엘리먼트.
  2. 제1항에 있어서,
    상기 제2 변압기의 상기 2차 권선은 범프 전이부(bump transition)를 통해 안테나 엘리먼트에 커플링되는, 위상 어레이 엘리먼트.
  3. 제1항에 있어서,
    상기 위상 어레이 엘리먼트는 밀리미터파 집적 회로(millimeter wave integrated circuit; mmWIC) 상에 로케이팅되는, 위상 어레이 엘리먼트.
  4. 제1항에 있어서,
    복수의 위상 어레이 엘리먼트들이 밀리미터파 집적 회로(mmWIC) 상에 로케이팅되며, 개개의 안테나들에 커플링되는 위상 어레이 엘리먼트들의 수는 상기 복수의 위상 어레이 엘리먼트들의 총 수보다 더 적은, 위상 어레이 엘리먼트.
  5. 제1항에 있어서,
    상기 위상 어레이 엘리먼트는 상기 제1 증폭기 경로의 상기 전력 증폭기의 출력을 상기 제2 증폭기 경로의 상기 전력 증폭기의 출력과 결합하도록 그리고 상기 결합된 출력들을 안테나 엘리먼트에 제공하도록 구성되는, 위상 어레이 엘리먼트.
  6. 제1항에 있어서,
    상기 제1 변압기는 3차 권선(tertiary winding)을 더 포함하고, 상기 제2 변압기는 3차 권선을 포함하며, 상기 제1 변압기의 상기 3차 권선은 종단 임피던스(termination impedance)에 커플링되고, 상기 제2 변압기의 상기 3차 권선은 상기 LNA에 커플링되는, 위상 어레이 엘리먼트.
  7. 제1항에 있어서,
    상기 제1 증폭기 경로는 디스에이블되고, 상기 제2 증폭기 경로는 인에이블되어 안테나 엘리먼트에 커플링되며, 상기 공통 변압기 세그먼트는 시스템 접지에 커플링되는, 위상 어레이 엘리먼트.
  8. 제1항에 있어서,
    상기 제1 증폭기 경로 및 상기 제2 증폭기 경로 둘 모두는 인에이블되고, 상기 공통 변압기 세그먼트는 상기 제1 증폭기 경로 및 상기 제2 증폭기 경로의 전력을 결합하도록 구성되는, 위상 어레이 엘리먼트.
  9. 제1항에 있어서,
    상기 송신부는 제1 송신 모드 및 제2 송신 모드에 대한 주파수 응답을 유지하도록 구성되는 가변 커패시턴스를 더 포함하는, 위상 어레이 엘리먼트.
  10. 제1항에 있어서,
    상기 제1 증폭기 경로 및 상기 제2 증폭기 경로는 실질적으로 동일한 전력 레벨들을 제공하도록 구성되는, 위상 어레이 엘리먼트.
  11. 제1항에 있어서,
    상기 제1 증폭기 경로 및 상기 제2 증폭기 경로는 상이한 전력 레벨들을 제공하도록 구성되는, 위상 어레이 엘리먼트.
  12. 제10항에 있어서,
    상기 제1 증폭기 경로 및 상기 제2 증폭기 경로는 함께, 상기 제1 증폭기 경로 및 상기 제2 증폭기 경로 중 어느 하나보다 대략 3 dB 더 높은 전력 레벨을 제공하도록 구성되는, 위상 어레이 엘리먼트.
  13. 제1항에 있어서,
    상기 제1 증폭기 경로는 상기 제2 증폭기 경로에 의해 제공되는 전력 레벨보다 대략 3 dB 더 높은 전력 레벨을 갖는 출력을 제공하도록 구성되는, 위상 어레이 엘리먼트.
  14. 제1항에 있어서,
    상기 공통 변압기 세그먼트를 시스템 접지에 스위칭 가능하게 커플링하도록 구성되는 스위치를 더 포함하고,
    상기 제1 변압기, 상기 제2 변압기, 및 상기 공통 변압기 세그먼트는, 상기 제1 증폭기 경로 및 상기 제2 증폭기 경로가 인에이블되고 상기 스위치가 비전도성인 경우, 상기 제1 증폭기 경로 및 상기 제2 증폭기 경로의 전력을 전압 결합(voltage combining)에 의해 결합하도록 구성되는, 위상 어레이 엘리먼트.
  15. 제1항에 있어서,
    상기 제1 변압기에 커플링되는 상기 공통 변압기 세그먼트의 제1 부분을 상기 제2 변압기에 커플링되는 상기 공통 변압기 세그먼트의 제2 부분에 스위칭 가능하게 커플링하도록 구성되는 스위치를 더 포함하고,
    상기 제1 변압기, 상기 제2 변압기, 및 상기 공통 변압기 세그먼트는, 상기 제1 증폭기 경로 및 상기 제2 증폭기 경로가 인에이블되고 상기 스위치가 전도성인 경우에 상기 제1 증폭기 경로 및 상기 제2 증폭기 경로의 전력을 전류 결합(current combining)에 의해 결합하도록 구성되는, 위상 어레이 엘리먼트.
  16. 밀리미터파 통신 신호를 프로세싱하기 위한 방법으로서,
    상기 통신 신호를 송신을 위해 복수의 전력 레벨들 중 하나의 전력 레벨로 선택적으로 증폭하는 단계; 및
    상기 하나의 전력 레벨로 증폭하면서 주파수 응답을 유지하도록 가변 커패시턴스를 제어하는 단계
    를 포함하는, 밀리미터파 통신 신호를 프로세싱하기 위한 방법.
  17. 제16항에 있어서,
    상기 통신 신호가 송신을 위해 증폭되는 상기 하나의 전력 레벨에 관계없이 수신 신호에 제시되는 임피던스를 유지하도록 변압기 연결부를 제어하는 단계를 더 포함하는, 밀리미터파 통신 신호를 프로세싱하기 위한 방법.
  18. 제16항에 있어서,
    상기 증폭하는 단계는 상기 통신 신호를 제1 증폭기 경로 및 제2 증폭기 경로를 사용하여 증폭하는 단계를 포함하고,
    상기 방법은 상기 제1 증폭기 경로의 출력을 상기 제2 증폭기 경로의 출력과 결합하는 단계를 더 포함하는, 밀리미터파 통신 신호를 프로세싱하기 위한 방법.
  19. 제16항에 있어서,
    선택적으로 증폭하기 이전에 상기 통신 신호의 위상을 시프트하는 단계를 더 포함하는, 밀리미터파 통신 신호를 프로세싱하기 위한 방법.
  20. 제18항에 있어서,
    상기 결합하는 단계는 상기 제1 증폭기 경로의 출력 및 상기 제2 증폭기 경로의 출력을 전압 결합하는 단계를 포함하는, 밀리미터파 통신 신호를 프로세싱하기 위한 방법.
  21. 제18항에 있어서,
    상기 결합하는 단계는 상기 제1 증폭기 경로의 출력 및 상기 제2 증폭기 경로의 출력을 전류 결합하는 단계를 포함하는, 밀리미터파 통신 신호를 프로세싱하기 위한 방법.
  22. 제18항에 있어서,
    상기 제1 증폭기 경로 및 상기 제2 증폭기 경로로부터 실질적으로 동일한 전력 레벨 출력을 제공하는 단계를 더 포함하는, 밀리미터파 통신 신호를 프로세싱하기 위한 방법.
  23. 제18항에 있어서,
    상기 제1 증폭기 경로로부터 출력되는 제1 전력 레벨 및 상기 제2 증폭기 경로로부터 출력되는 제2 전력 레벨을 제공하는 단계를 더 포함하는, 밀리미터파 통신 신호를 프로세싱하기 위한 방법.
  24. 제22항에 있어서,
    상기 제1 증폭기 경로 및 상기 제2 증폭기 경로 중 어느 하나에 의해 단독으로 제공되는 전력 레벨보다 대략 3 dB 더 높은 결합된 전력 레벨을 상기 제1 증폭기 경로 및 상기 제2 증폭기 경로로부터 제공하는 단계를 더 포함하는, 밀리미터파 통신 신호를 프로세싱하기 위한 방법.
  25. 디바이스로서,
    밀리미터파 통신 신호를 송신을 위해 복수의 전력 레벨들 중 하나의 전력 레벨로 선택적으로 증폭하기 위한 수단;
    증폭된 통신 신호를 송신을 위해 선택적으로 결합하기 위한 수단; 및
    상기 복수의 전력 레벨들 각각에 대해 선택적으로 증폭하면서 주파수 응답을 선택적으로 유지하기 위한 수단
    을 포함하는, 디바이스.
  26. 제25항에 있어서,
    상기 통신 신호가 송신을 위해 증폭되는 상기 전력 레벨에 관계없이 수신 신호에 제시되는 임피던스를 스위칭 가능하게 유지하기 위한 수단을 더 포함하는, 디바이스.
  27. 제25항에 있어서,
    선택적으로 증폭하기 이전에 상기 통신 신호의 위상을 시프트하기 위한 수단을 더 포함하는, 디바이스.
  28. 위상 어레이 엘리먼트로서,
    송신 위상 시프터;
    복수의 송신 경로들;
    상기 송신 위상 시프터를 상기 복수의 송신 경로들의 입력들에 선택적으로 커플링하도록 구성되는 복수의 스위치들;
    상기 복수의 스위치들과 상기 송신 위상 시프터 사이의 노드에 커플링되는 제1 단자 및 접지에 커플링되는 제2 단자를 포함하는 가변 커패시터;
    상기 송신 경로들 중 하나 이상의 경로들의 출력에 커플링되는 수신부 ― 상기 수신부는 적어도 LNA(low noise amplifier)를 구비하는 제1 수신 경로를 포함함 ― ; 및
    상기 LNA에 커플링되는 수신 위상 시프터
    를 포함하는, 위상 어레이 엘리먼트.
  29. 제28항에 있어서,
    상기 복수의 송신 경로들 중 각각의 경로의 출력은 개개의 범프 전이부에 커플링되고, 상기 수신부는 각각이 LNA를 구비하는 복수의 수신 경로들을 포함하고, 각각의 LNA는 상기 범프 전이부들의 개개의 전이부에 커플링되며, 모든 LNA들의 출력들은 상기 수신 위상 시프터에 커플링되는, 위상 어레이 엘리먼트.
  30. 제28항에 있어서,
    복수의 송신 경로들의 출력들을 결합하도록 구성되는 회로, 및 상기 복수의 송신 경로들 중 하나를 제외한 모든 송신 경로들로부터 상기 수신부를 분리하도록 구성되는 하나 이상의 스위치들을 더 포함하는, 위상 어레이 엘리먼트.
KR1020237035292A 2021-04-21 2022-03-24 다중 모드 위상 어레이 엘리먼트 KR20230171434A (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US17/236,435 2021-04-21
US17/236,435 US12009564B2 (en) 2021-04-21 2021-04-21 Multi mode phased array element
PCT/US2022/021682 WO2022225636A2 (en) 2021-04-21 2022-03-24 Multi mode phased array element

Publications (1)

Publication Number Publication Date
KR20230171434A true KR20230171434A (ko) 2023-12-20

Family

ID=81307914

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020237035292A KR20230171434A (ko) 2021-04-21 2022-03-24 다중 모드 위상 어레이 엘리먼트

Country Status (5)

Country Link
US (1) US12009564B2 (ko)
EP (1) EP4327463A2 (ko)
KR (1) KR20230171434A (ko)
CN (1) CN117121387A (ko)
WO (1) WO2022225636A2 (ko)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20230088332A1 (en) * 2021-09-21 2023-03-23 Apple Inc. Phased array systems and methods with phase shifter

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5868885B2 (ja) 2013-03-07 2016-02-24 株式会社東芝 可変利得増幅回路
US10910714B2 (en) 2017-09-11 2021-02-02 Qualcomm Incorporated Configurable power combiner and splitter
FI3743999T3 (fi) * 2018-01-23 2023-10-02 Teknologian Tutkimuskeskus Vtt Oy Muuntajapohjaiset kytkimet ja järjestelmät PALNA-lähetin-vastaanottimia varten
US10573965B2 (en) * 2018-05-14 2020-02-25 Viasat, Inc. Phased array antenna system
WO2021046206A1 (en) 2019-09-06 2021-03-11 Kumu Networks, Inc. Systems and methods for split-frequency amplification
US11398853B2 (en) 2019-10-08 2022-07-26 Qualcomm Incorporated Transformer-based antenna switching network

Also Published As

Publication number Publication date
US20220344811A1 (en) 2022-10-27
CN117121387A (zh) 2023-11-24
US12009564B2 (en) 2024-06-11
WO2022225636A3 (en) 2022-11-24
WO2022225636A2 (en) 2022-10-27
EP4327463A2 (en) 2024-02-28

Similar Documents

Publication Publication Date Title
US10637412B2 (en) Apparatus and methods for low noise amplifiers
US10615756B2 (en) Apparatus and methods for low noise amplifiers with mid-node impedance networks
US10230332B2 (en) Apparatus and methods for biasing low noise amplifiers
US20210099140A1 (en) Wide bandwidth radio frequency (rf) amplifier
KR20230171434A (ko) 다중 모드 위상 어레이 엘리먼트
US20230091253A1 (en) Doherty transceiver interface
US20240106407A1 (en) Balun having asymmetric inductors and adjustable impedance transformation ratio
US11990876B2 (en) Multi-mode multi-port driver for transceiver interface
US11736150B2 (en) Compact low-loss reflection type phase shifter
EP4393065A1 (en) Doherty transceiver interface
US20230403052A1 (en) Radio architecture for switching among transmission paths
US20240214037A1 (en) Millimeter wave (mmw) downlink multiple input multiple output (mimo) and carrier aggregation (ca) architecture
Huang et al. A 24–30-GHz Four-Element Phased Array Transceiver With Low Insertion Loss Compact T/R Switch and Bidirectional Phase Shifter for 5G Communication
US11942971B2 (en) Split chaining for large phase array systems
US20240204806A1 (en) Phased array antenna architecture
US11637581B2 (en) System and method for power amplifier control in a millimeter wave communication system
US20220376731A1 (en) System and method for sharing circuitry between transmit and receive path
US20220109405A1 (en) Distributed active power combining amplifier
EP4388662A1 (en) Multi-mode multi-port driver for transceiver interface
CN117769807A (zh) 用于收发器接口的多模式多端口驱动器
EP4264830A1 (en) Variable gain control system and method for an amplifier