KR20230098225A - 촉매 지원 화학적 식각의 장치 및 공정 기술 - Google Patents

촉매 지원 화학적 식각의 장치 및 공정 기술 Download PDF

Info

Publication number
KR20230098225A
KR20230098225A KR1020237017084A KR20237017084A KR20230098225A KR 20230098225 A KR20230098225 A KR 20230098225A KR 1020237017084 A KR1020237017084 A KR 1020237017084A KR 20237017084 A KR20237017084 A KR 20237017084A KR 20230098225 A KR20230098225 A KR 20230098225A
Authority
KR
South Korea
Prior art keywords
catalyst
etch
etchant
collapse
cice
Prior art date
Application number
KR1020237017084A
Other languages
English (en)
Inventor
시들가타 브이. 스레니바산
아킬라 말라바라푸
파라스 어제이
Original Assignee
보드 오브 리전츠, 더 유니버시티 오브 텍사스 시스템
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 보드 오브 리전츠, 더 유니버시티 오브 텍사스 시스템 filed Critical 보드 오브 리전츠, 더 유니버시티 오브 텍사스 시스템
Publication of KR20230098225A publication Critical patent/KR20230098225A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • H01L21/30608Anisotropic liquid etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67075Apparatus for fluid treatment for etching for wet etching
    • H01L21/6708Apparatus for fluid treatment for etching for wet etching using mainly spraying means, e.g. nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/6715Apparatus for applying a liquid, a resin, an ink or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • Ceramic Engineering (AREA)
  • Weting (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

촉매 지원 화학적 식각을 사용하여 반도체 기판을 식각하는 방법 및 시스템. 독립적으로 제어되는 이산된 액추에이터들의 그룹이 기판 상의 소재의 식각 깊이를 제어하도록 구성되는데, 여기서 독립적으로 제어되는 이산된 액추에이터들의 그룹 중의 적어도 2개가 고유한 작동 값들을 갖는다. 뿐만 아니라, 식각 깊이는 기판에 걸쳐 세부 높이의 10% 미만의 변동을 갖는다.

Description

촉매 지원 화학적 식각의 장치 및 공정 기술
관련 출원들과의 상호참조
본원은 2020년 10월 29일자로 출원된 "촉매 지원 화학적 식각의 장치 및 공정 기술(Equipment and Process Technologies for Catalyst Influenced Chemical Etching)"이라는 명칭의 미국특허가출원 제63/107,354호에 대한 우선권을 주장하는 바, 이는 그 전체로서 이 명세서에 참고로 포함되어 있다.
기술분야
본 발명은 일반적으로 식각(etching)에 관한 것으로, 더 구체적으로는 촉매 지원(catalyst influenced) 화학적 식각(chemical etching)에 관한 것이다.
반도체 디바이스 제조에 있어서, 식각은 (그 표면 상에 이전 구조가 있거나 없는 상태로) 기판(substrate) 상의 박막(thin film)으로부터 선택적으로 소재를 제거하고 이 제거가 기판 상에 그 소재의 패턴(pattern)을 생성하는 어떤 기술을 지칭한다. 이 패턴은 식각 공정에 대해 내식성인(resistant) 마스크(mask)로 정의될 수 있다. 마스크가 제자리에 위치되고 나면, 습식(wet) 화학적 방법 또는 "건식(dry)" 물리적 방법들의 어느 하나에 의해 마스크로 보호되지 않은 소재의 식각이 이뤄질 수 있다.
식각의 한 종류가 촉매 지원 화학적 식각(Catalyst Influenced Chemical Etching; CICE)인데, 이는 실리콘, 게르마늄 등의 반도체에 세부(feature)들을 제조하는 데 사용될 수 있으며, 여기서 이러한 세부들은 고 형상비(high aspect ratio), 낮은 측벽 테이퍼(taper), 낮은 측벽 조도(roughness), 및/또는 통제 가능한 공극률(porosity)을 가진다. 이 방법은 더 높은 밀도와 더 높은 성능의 정적 램(Static Random-Access Memory; SRAM)과 함께 저손실(low-loss) 도파관(waveguide)의 생성에 사용된다.
불행히도, CICE를 사용하여 반도체에 세부들을 제조하는 데는 현재 제한들이 존재한다.
본 발명의 하나의 실시예에서, 촉매 지원 화학적 식각을 사용하여 반도체 기판을 식각하는 시스템은 기판 상의 소재의 식각의 깊이를 제어하도록 구성된 독립적으로 제어되는 이산된 액추에이터(discrete actuator)들의 그룹(group)을 구비하는데, 여기서 독립적으로 제어되는 이산된 액추에이터들의 그룹의 적어도 2개가 고유한(distinct) 작동 값(actuation value)들을 갖고, 여기서 식각 깊이는 기판 전체에 걸친 세부 높이의 10% 미만의 변동(variation)을 갖는다.
본 발명의 다른 실시예에서, 촉매 지원 화학적 식각을 사용하여 반도체 기판을 식각하는 시스템은 기판 상의 소재의 하나의 식각의 깊이를 제어하도록 구성된 독립적으로 제어되는 이산된 액추에이터들의 그룹을 구비하는데, 여기서 식각은 기판의 전체에 걸친 특징 높이의 10% 미만의 식각 깊이 변동으로 결과되면서 개시 및 중단되고, 여기서 기판은 타입 B의 디바이스 패턴 옆에(next to) 타입 A의 디바이스 패턴을 갖는다.
본 발명의 또 다른 실시예에서, 촉매 지원 화학적 식각(CICE)을 사용하여 반도체 소재를 식각하는 방법은 반도체 소재 상에 반도체 소재와 다른 소재들의 하나 이상의 층들을 제공하는 단계를 포함하는데, 여기서 촉매 층은 다른 소재들의 하나 이상의 층들의 하나이다. 방법은 다른 소재들의 하나 이상의 층들의 하나 이상을 촉매 층의 촉매 활성을 변경시키는 공정에 노출시키는 단계를 더 포함한다. 방법은 또한, 촉매 층을 포함한 다른 소재들의 하나 이상의 층들을 변경된 촉매 활성에 노출시키고 반도체 소재를 CICE 식각액(etchant)에 노출시키는 단계를 포함한다.
본 발명의 다른 실시예에서, 촉매 지원 화학적 식각을 사용하여 반도체 소재를 식각하는 방법은 반도체 소재를 제공하는 단계를 포함한다. 방법은 반도체 소재의 표면 상에 촉매 층을 제공하는 단계를 더 포함한다. 방법은 또한 촉매 층과 반도체 소재를 식각액에 노출시키는 단계를 포함하는데, 여기서 식각액에 노출되는 촉매 층의 표면적은 촉매 지원 화학적 식각 동안의 공극을 저감시키도록 최적화된다.
본 발명의 또 다른 실시예에서, 촉매 지원 화학적 식각에 의한 고 형상비의 반도체 구조들의 상당한 붕괴(substantial collapse)를 방지하는 방법은 반도체 소재를 제공하는 단계를 포함한다. 방법은 반도체 소재 상에 촉매 층을 패터닝(patterning)하는 단계를 더 포함하는데, 여기서 촉매 층은 복수의 세부(feature)들을 구비하고, 복수의 세부들에 인접한 패턴의 식각되지 않은 영역들은 붕괴 방지(collapse-avoiding) 세부들을 구비한다. 방법은 또한, 패터닝된(patterned) 촉매 층과 붕괴 방지 세부들을 식각액에 노출시키는 단계를 포함하는데, 여기서 패터닝된 촉매 층과 식각액은 반도체 소재를 식각시켜 복수의 세부들에 대응하는 제조된 구조들을 형성하도록 유발하고, 여기서 붕괴 방지 세부들은 식각된 반도체 소재의 상당한 붕괴를 방지한다.
본 발명의 다른 실시예에서, 고 형상비의 나노구조(nanostructure)들의 상당한 붕괴를 방지하는 방법은 식각될 소재를 갖는 기판을 제공하는 단계를 포함한다. 방법은 기판 상에 패터닝된 식각 마스크를 제공하는 단계를 더 포함한다. 방법은 또한, 패터닝된 식각 마스크를 사용하여 식각될 소재를 식각하는 단계를 포함하는데, 여기서 패터닝된 식각 마스크의 일부(portion)가 식각된 소재의 상당한 붕괴를 방지한다.
본 발명의 또 다른 실시예에서, 고 형상비의 나노구조들의 상당한 붕괴를 방지하는 방법은 붕괴 방지 캡(cap)들을 갖는 고 형상비의 나노구조들을 제공하는 단계를 포함한다. 방법은 고 형상비의 나노구조들의 일부의 둘레에 안정화 소재를 적층(deposit)하여 안정화 소재 영역(stabilizing material region)들을 형성하는 단계를 더 포함한다. 방법은 또한, 안정화 소재 영역이 아닌 영역들로부터 붕괴 방지 캡들을 제거하는 단계를 포함한다.
본 발명의 다른 실시예에서, 고 형상비의 나노구조들의 상당한 붕괴를 방지하는 방법은 붕괴 방지 캡들을 갖는 고 형상비의 나노구조들을 제공하는 단계를 포함한다. 방법은 소재를 붕괴 방지 캡들에 접착(bonding)하여 천정(ceiling)을 생성하는 단계를 더 포함한다.
본 발명의 또 다른 실시예에서, 반도체 웨이퍼의 다른 영역들에 소정의 식각 깊이를 갖는 구조들을 생성하기 위해 조정 가능한(tunable) 마이크로 또는 나노구조를 형성하도록 촉매 지원 화학적 식각(CICE)을 사용하는 방법은 CICE 식각액 화학작용(etchant chemistries)에 내식성인(resistant) 소정의 패턴을 소재에 생성하는 단계를 포함하는데, 여기서 소정의 패턴은 패터닝된 촉매의 상부(top) 상에 생성된다.
본 발명의 다른 실시예에서, 반도체 웨이퍼의 다른 영역들에 소정의 식각 깊이를 갖는 구조들을 생성하기 위해 조정 가능한 나노구조를 형성하도록 촉매 지원 화학적 식각(CICE)을 사용하는 방법은 소정의 온도 제어된 프로파일(pre-determined temperature-controlled profile)이 반도체 웨이퍼의 다른 영역들에 소정의 식각 깊이를 유발하도록 반도체 기판의 표면 상에 소정의 온도 제어된 프로파일을 생성하는 단계를 포함한다.
이상의 설명은 이하의 본 발명의 상세한 설명을 더 잘 이해시키기 위해 본 발명의 하나 이상의 실시예들의 특징과 기술적 이점들을 다소 일반적으로 개괄하였다. 본 발명의 추가적인 특징과 이점들이 이하에 설명될 것인데, 이들은 본 발명의 청구항들의 주제를 형성할 것이다.
이하의 설명을 다음 도면들과 연계하여 고려하면 본 발명을 더 잘 이해할 수 있을 것인데, 도면에서:
도 1은 본 발명의 하나의 실시예에 따른, 횡방향(side-to-side) 식각액 흐름의 예시적인 설계를 도시하고;
도 2는 본 발명의 하나의 실시예에 따른, 공정 웨이퍼의 전체 구역에 걸친 식각액의 도입 및 배출 설계를 도시하며;
도 3a-3b는 본 발명의 하나의 실시예에 따른, 편심 회전 식각 분사 아암 및 수동적 중력 구동 식각액 유출을 갖는 예시적인 스핀 분사 방식 식각액 흐름 시스템을 도시하고;
도 4는 본 발명의 하나의 실시예에 따른, 슬라이딩 식각 구역을 갖는 예시적인 설계를 도시하며;
도 5a-5b는 본 발명의 하나의 실시예에 따른, 식각액 교반의 예시적인 설계를 도시하고;
도 6은 본 발명의 하나의 실시예에 따른, 혼합 챔버와 전구물 저장을 보이는 예시적인 식각액 흐름 경로를 도시하며;
도 7은 본 발명의 하나의 실시예에 따른, 예시적인 진공 기반 탈기 전략을 도시하고;
도 8a-8d는 본 발명의 하나의 실시예에 따른, 예시적인 식각액 동결 기반 반응 퀘엔칭(quenching)을 도시하며;
도 9a-9d는 본 발명의 하나의 실시예에 따른, 예시적인 식각액 동결 및 승화 기반 반응 퀘엔칭을 도시하고;
도 10은 본 발명의 하나의 실시예에 따른, 반응 퀘엔칭 및 시작 동안의 열 보상의 예시적인 설계를 도시하며;
도 11a-11b는 본 발명의 하나의 실시예에 따른, 전체 웨이퍼 커버리지를 갖는 예시적인 현장 측정 시스템을 도시하고;
도 12는 본 발명의 하나의 실시예에 따른, 주사 가능한 광학계를 갖는 예시적인 측정 시스템을 도시하며;
도 13은 본 발명의 하나의 실시예에 따른, 디지털 미세거울 디바이스(DMD) 변조(modulated) 웨이퍼 열 제어를 위한 예시적 시스템을 도시하고;
도 14는 본 발명의 하나의 실시예에 따른, CICE 툴(CICE 툴의 단면만이 보임)에서의 전계 제어를 위한 예시적 시스템을 도시하며;
도 15는 본 발명의 하나의 실시예에 따른, 공정 웨이퍼의 외측 모서리 상에 접촉하는 전면 밀봉재를 보이는 예시적 모서리 접촉 설계를 도시하고;
도 16은 본 발명의 하나의 실시예에 따른, 배면 유체를 가진 예시적인 배면 접촉을 도시하며;
도 17은 본 발명의 하나의 실시예에 따른, 진공 척을 사용한 예시적인 배면 접촉을 도시하고;
도 18은 본 발명의 하나의 실시예에 따른, 희박 잉크젯 액적에 의한 수정된 제트 앤 플래시 임프린트 리소그래피를 사용한 Ru 미니 메시 패터닝 공정의 방법의 흐름도이며;
도 19a-19d는 도 18에 기술된 단계들을 사용하는 희박 잉크젯 액적에 의한 수정된 제트 앤 플래시 임프린트 리소그래피를 사용한 Ru 미니 메시 패터닝 공정의 단면들을 도시하고;
도 20a-20c는 본 발명의 하나의 실시예에 따른 희박 액적 임프린트 이후의 레지스트 패턴의 경사 단면 SEM 및 톱다운 광학 현미경 화상을 도시하며;
도 21a-21d는 본 발명의 하나의 실시예에 따른, 비교적 효과 없는 모서리 구역들을 갖는 전체 미니 메시에 다른 결과들을 야기하는 Ru 미니 메시 CICE 상의 디스컴 식각에 사용되는 플라즈마의 효과를 도시하고;
도 22a-22f는 본 발명의 하나의 실시예에 따른, 디스컴 식각을 위한 장시간의 Ar/CFr 플라즈마를 사용하여 얻어진 Ru 미니 메시를 갖는 바람직한 CICE 결과들을 도시하며;
도 23a는 본 발명의 하나의 실시예에 따른, 나노와이어 붕괴 전의 최대 높이(마이크로미터) 대 직경(나노미터)의 그래프이고;
도 23b는 본 발명의 하나의 실시예에 따른, 붕괴된 하전 나노와이어들의 쌍의 개략도이며;
도 23c는 본 발명의 하나의 실시예에 따른, 금 레지스트 캡을 제거한 후 특대의 실리콘 나노와이어들의 경사 단면 SEM 화상을 도시하고;
도 24는 본 발명의 하나의 실시예에 따른, 붕괴 방지 캡을 갖는 임의의 자립형 고 종횡비 나노구조를 제조하는 방법의 흐름도이며;
도 25a-25d는 본 발명의 하나의 실시예에 따른, 도 24에 기재된 단계들을 사용하는 붕괴 방지 캡을 갖는 임의의 자립형 고 종횡비 나노구조를 제조하는 평면도들을 도시하고;
도 26a-26d는 본 발명의 하나의 실시예에 따른, 도 24에 기재된 단계들을 사용하는 붕괴 방지 캡을 갖는 임의의 자립형 고 종횡비 나노구조를 제조하는 단면도들을 도시하며;
도 27은 본 발명의 하나의 실시예에 따른, 붕괴 방지 캡을 갖는 임의의 자립형 고 종횡비 나노구조를 제조하는 대체적인 방법(2700)의 흐름도이고;
도 28a-28d는 본 발명의 하나의 실시예에 따른, 도 27에 기재된 단계들을 사용하는 붕괴 방지 캡을 갖는 임의의 자립형 고 종횡비 나노구조를 제조하는 평면도들을 도시하며;
도 29a-29d는 본 발명의 하나의 실시예에 따른, 도 27에 기재된 단계들을 사용하는 붕괴 방지 캡을 갖는 임의의 자립형 고 종횡비 나노구조를 제조하는 단면도들을 도시하고;
도 30은 본 발명의 하나의 실시예에 따른, 붕괴 방지 캡을 갖는 임의의 자립형 고 종횡비 나노구조를 제조하는 대체적인 방법의 흐름도이며;
도 31a-31d는 본 발명의 하나의 실시예에 따른, 도 27에 기재된 단계들을 사용하는 붕괴 방지 캡을 갖는 임의의 자립형 고 종횡비 나노구조를 제조하는 평면도들을 도시하고;
도 32a-32d는 본 발명의 하나의 실시예에 따른, 도 30에 기재된 단계들을 사용하는 붕괴 방지 캡을 갖는 임의의 자립형 고 종횡비 나노구조를 제조하는 단면도들을 도시하며;
도 33은 본 발명의 하나의 실시예에 따른, CICE를 사용하여 붕괴 방지 캡을 갖는 finFET를 제조하는 방법의 흐름도이고;
도 34a-34g, 35a-35g, 및 36a-36g는 본 발명의 하나의 실시예에 따른, 도 33에 기재된 단계들을 사용하고, CICE를 사용하여 붕괴 방지 캡을 갖는 finFET를 제조하는 다른 도면들을 도시하며;
도 37은 본 발명의 하나의 실시예에 따른 덮개 판의 접합 방법(3700)의 흐름도이고;
도 38a-38b는 본 발명의 하나의 실시예에 따른, 도 37에 기재된 단계들을 사용한 덮개 판 접합의 단면도들을 도시한다.
도 39는 본 발명의 하나의 실시예에 따른, 덮개 판의 다른 접합 방법(3900)의 흐름도이고;
도 40a-40c는 본 발명의 하나의 실시예에 따른, 도 39에 기재된 단계들을 사용한 덮개 판 접합의 단면도들을 도시하며;
도 41은 본 발명의 하나의 실시예에 따른, 덮개 판의 대체적인 접합 방법(4100)의 흐름도이고;
도 42a-42e는 본 발명의 하나의 실시예에 따른, 도 41에 기재된 단계들을 사용한 덮개 판 접합의 단면도들을 도시하며;
도 43은 본 발명의 하나의 실시예에 따른, 사진식각법을 사용하여 금에 금속 파단을 생성하는 방법의 흐름도이고;
도 44a-44c는 본 발명의 하나의 실시예에 따른, 도 43에 기재된 단계들을 사용하여 금에 금속 파단을 생성하는 단면도들을 도시하며;
도 45는 본 발명의 하나의 실시예에 따른, 금속 파단 층을 갖는 광/전자빔 리소그래피를 사용하여 금에 금속 파단을 생성하는 방법의 흐름도이고;
도 46a-46c는 본 발명의 하나의 실시예에 따른, 도 45에 기재된 단계들을 사용하여 금에 금속 파단을 생성하는 단면들을 도시하며;
도 47은 본 발명의 하나의 실시예에 따른, 금속 파단 층을 갖는 나노임프린트 리소그래피를 사용하여 금에 금속 파단을 생성하는 방법의 흐름도이고;
도 48a-48c는 본 발명의 하나의 실시예에 따른, 도 47에 기재된 단계들을 사용하여 금에 금속 파단을 생성하는 단면들을 도시하며;
도 49는 본 발명의 하나의 실시예에 따른, 금속 파단 층을 갖는 나노임프린트 리소그래피를 사용하여 금에 금속 파단을 생성하는 대체적인 방법의 흐름도이고;
도 50a-50d는 본 발명의 하나의 실시예에 따른, 도 49에 기재된 단계들을 사용하여 금에 금속 파단을 생성하는 단면들을 도시하며;
도 51a는 본 발명의 하나의 실시예에 따라 형성된 결과적인 구조를 도시하고;
도 51b는 본 발명의 하나의 실시예에 따른 식각 수행 후의 금속 파단 층의 SEM 화상을 도시하며;
도 52a는 본 발명의 하나의 실시예에 따라 형성된 결과적인 구조를 도시하고;
도 52b는 본 발명의 하나의 실시예에 따른 식각 수행 후의 금속 파단 층의 SEM 화상을 도시하며;
도 53은 본 발명의 하나의 실시예에 따른, 루테늄을 사용하는 패터닝 및 MACE 방법의 흐름도이고;
도 54a-54h는 본 발명의 하나의 실시예에 따른, 도 53에 기재된 단계들을 사용하는, 루테늄을 사용하는 패터닝 및 MACE의 단면도들이며;
도 55a-55c는 본 발명의 하나의 실시예에 따른, 변동하는 핀 높이의 영역들을 갖는 예시적 디바이스를 보이고;
도 56은 본 발명의 하나의 실시예에 따른, 다른 기술 노드들서 최대 달성 가능한 핀 높이에 대한 식각 테이퍼 각의 영향을 도시하며;
도 57a-57b는 본 발명의 하나의 실시예에 따른, 12.5M HF 및 1M H2O2에 의한 Ar/CF4 디스컴(descum) 및 20 s의 MacEtch에 대한 Ru MACE 식각품질의 미니 메시 공간 밀도의 영향을 도시하고;
도 58a-58d는 본 발명의 하나의 실시예에 따른, 다른 형상들을 갖는 실리콘 사각형 필라 어레이의 제조를 위한 루테늄 MACE를 도시하며;
도 59는 본 발명의 하나의 실시예에 따른, 핀 반피치(또는 핀 폭)의 함수로, 핀의 길이(이 경우는 50 nm)에 따른 횡방향 붕괴 전에 테이퍼가 없는 핀의 최대 높이를 보이는 그래프이고;
도 60a-60d는 본 발명의 하나의 실시예에 따른, 금 촉매 물질과 던 큰 촉매 크기와 비교한 루테늄에 더 적은 유리를 갖는 촉매 유리 거동에 대한 촉매 물질 및 형상의 영향을 보이며;
도 61a-61c는 본 발명의 하나의 실시예에 따른, MACE + ALD를 사용한 DRAM깊은 트렌치 캐패시터를 위한 고 형상비 구멍들을 도시하고;
도 62a-62d는 본 발명의 하나의 실시예에 따른, 다른 배율들에서의 다른 형상의 경사 단면 SEM과 톱다운 SEM들을 갖는 실리콘 사각형 필라들을 제조하는 루테늄 MACE를 도시하며;
도 63a-63h는 본 발명의 하나의 실시예에 따른, 실리콘 핀들의 고해상도 TEM 및 EDS 매핑을 도시하고;
도 64a-64b는 본 발명의 하나의 실시예에 따른, 교번 하는 도핑 농도의 에피택셜 Si 층들의 실리콘 초격자 식각을 도시하며;
도 65a는 본 발명의 하나의 실시예에 따른, 필수적 공정들을 보이는 전통적인 finFET 제조 흐름의 개요이고; 그리고
도 65b는 본 발명의 하나의 실시예에 따른, 붕괴 없는 초고 형상비 핀들을 가능하게 하도록 링크된 핀들이 형성된 수정된 FinFET 공정 흐름이다.
배경기술 부분에서 논의한 바와 같이, 반도체 디바이스 제조에 있어서 식각은 (그 표면에 이전 구조들이 있거나 없는 상태로) 기판 상의 박막(thin film)으로부터 소재를 선택적으로 제거하고 이 제거가 기판 상에 그 소정의 패턴을 형성하는 어떤 기술을 지칭한다. 이 패턴은 식각 공정에 내식성인(resistant) 마스크로 정의될 수 있다. 마스크가 제자리에 위치하고 나면 습식(wet) 화학적 또는 "건식(dry)" 물리적 방법들 중의 어느 하나로 마스크로 보호되지 않은 소재의 식각이 이뤄질 수 있다.
식각의 하나의 종류가 촉매 지원 화학적 식각(Catalyst Influenced Chemical Etching; CICE)으로, 이는 실리콘, 게르마늄 등의 반도체에 세부(feature)들을 제조하는 데 사용될 수 있는 촉매 기반 식각 방법인데, 여기서 이러한 세부들은 고 형상비와 낮은 측벽 테이퍼, 및/또는 제어 가능한 공극을 갖는다. 이 방법은 더 높은 밀도와 더 고성능의 정적 램(Static Random-Access Memory; SRAM)과 함께 저손실 도파관을 생성하는 데 사용된다.
불행히도 CICE를 사용하여 반도체에 세부들을 제조하는 데는 현재 제한이 있다.
본 발명의 원리는 본 발명의 촉매 지원 화학적 식각을 위한 장치 및 공정 기술들을 사용하여 반도체에 세부들을 효율적으로 제조하기 위해 CICE 공정을 사용할 수단을 제공한다.
촉매 지원 화학적 식각(CICE)을 위한 툴(tool)은 다음 목적 - 목표 수율(target yield) (또는 그 이상) 및 목표 스루풋(target throughput) (또는 그 이상)으로 CICE 공정을 사용하여 나노크기(nanoscale) 세부들을 식각할 목적을 갖는다. 목표 수율의 달성은 또한 식각 높이 변동이 식각 높이의 어떤 비율(fraction)(예를 들어 하나의 실시예에서 30%, 다른 실시예들에서 20%, 10%, 5%, 또는 그 미만) 미만일 것을 요구한다. 이 목표의 달성은 몇 가지 하부 시스템들과, 오염물(금속 또는 다른 것)의 침출(leaching) 없이 부식성 CICE 식각액을 취급할 능력을 포함하지만 이에 한정되지는 않는 능력을 요구한다. PTFE, PFA, HDPE 등의 불활성(inert) 폴리머들이 이 요건들을 충족한다.
뿐만 아니라, 이 목적은 300 mm 이상의 웨이퍼 크기를 취급할 능력을 요구한다. 이는 툴의 내부 챔버(internal chamber)들과 툴의 입/출력 포트들 간의 300 mm 웨이퍼의 자동 로딩 및 언로딩을 요구한다. 또한 이는 300 mm 웨이퍼를 취급할 크기의 툴 챔버들을 요구한다. 이 요건들을 충족하는 자동화된 웨이퍼 취급과 툴 가공 해법들이 사용 가능하다. 하나의 실시예에서, 공정 웨이퍼(process wafer)는 수직 구조에서 처리되지만, 식각이 수행되고 나면 웨이퍼는 예를 들어 SCARA-방식 로봇 아암을 사용하여 취급될 수 있도록 수평 구조로 회전된다.
또한 이 목적은 전면측(frontside) 식각액 흐름 제어를 요구한다. CICE 공정이 이뤄지는, 공정 웨이퍼의 전면 상에서 CICE 공정의 반응물(reactant)들은 CICE 공정의 산물들이 반응 부위(reaction site)로부터 연속적으로 제거될 것을 또한 보장하면서, 공정 웨이퍼의 크기(extent)에 걸쳐 균일한 농도를 유지할 필요가 있다. 균일한 반응물 농도는 식각액 균일성을 향상시키는 설계 구성들을 사용하는, 그리고 식각액 정체(stagnation) 영역들을 방지하는 챔버내(in-chamber) 식각액 교반을 사용하는 연속적 또는 단속적(intermittent)인 식각액 순환으로 유지될 수 있다.
식각액 순환은 몇 가지 방법들로 이뤄질 수 있다. 예를 들어 하나의 실시예에서, 식각액 수납을 위한 전면측 챔버 내에 주변 접촉(peripheral contact)이 사용된다면, (노즐(nozzle)의 형태를 가질 수 있을) 하나 이상의 유입 포트(inlet port)들의 그룹이 식각액을 챔버에 도입시키는 데 사용될 수 있고 하나 이상의 유출 포트(outlet port)들의 그룹이 식각액을 챔버 밖으로 배출시키는 데 사용될 수 있다. 하나의 실시예에서, 유입 포트들과 유출 포트들의 그룹은 전면측 챔버와 일체로 제조된다. 그 전체로서 이 명세서에 참고로 포함된 Wendt, John F., ed. 전산 유체역학: 개론(Computational Fluid Dynamics: An Introduction). Springer Science & Business Media, 2008에서 논의된 것 등의 전산 유체역학(Computational Fluid Dynamics; CFD) 기반 유체 시뮬레이션과 함께, 그 전체로서 이 명세서에 참고로 포함된 Rao, R. Venkata, and Vimal J. Savsani. 고급 최적화 기법들을 사용한 기계 설계 최적화(Mechanical Design Optimization Using Advanced Optimization Techniques). Springer Science & Business Media, 2012에 논의된 바와 같은 설계 최적화 기법들이 흐름의 불균일성과 정체의 최소화를 보장하기 위해 사용될 수 있을 것이다.
이제 도면들을 참조하면, 도 1은 본 발명의 하나의 실시예에 따른, 횡방향(side-to-side) 식각액 흐름의 예시적 설계를 도시한다. 도 2는 본 발명의 하나의 실시예에 따른, 공정 웨이퍼의 전체 구역에 걸친 식각액 도입 및 배출의 예시적 설계를 도시한다.
도 1에 보인 바와 같이, 이러한 설계는 유입 매니폴드(101)와, 식각액(102)으로 채워진 공정 챔버와, 공정 웨이퍼(103)와, 및 유출 매니폴드(104)를 포함한다. 도 2에 보인 바와 같이, 이러한 설계는 다층 전면 커버(multilayer frontside cover; 201)와, 공정 웨이퍼(202)와, 식각액 유입구(203)와, 식각액 유출구(204)와, 식각 산물(etch product; 205)과, 및 국부적 식각액 흐름 방향(206)을 포함한다.
또한 도 1에 보인 바와 같이, 유체는 챔버(102)의 측부로 도입 및 배출된다. 도 2에서는 유체가 챔버 벽들의 전체 범위(extent)에 걸쳐 위치한 유입 및 유출 포트(203, 204)들을 사용하여 도입 및 배출된다. 이 설계들은 표준 컴퓨터 수치제어(Computer Numerical Control; CNC) 기계를 사용하여 제작할 수 있다. 하나의 실시예에서, 다층 전면 커버(도 2에 도시)는 예를 들어, 그 전체로서 이 명세서에 참고로 포함된 Stokes, Vijay K. "플라스틱 및 플라스틱 복합재의 결합 방법: 개론(Joining methods for plastics and plastic composites: an overview,)" Polymer Engineering & Science, Vol. 29 No. 19, 1989, pp. 1310-1324에 논의된 바와 같은 가공된 PTFE 부품들의 폴리머 용접 등 복수의 2차원 가공된 부품들의 접착으로 제조될 수 있을 것이다.
하나의 실시예에서, 스핀 분사 방식(spin-spray-type) 시스템이 전면측 식각액 이송 및 순환에 사용될 수 있다. 시스템의 하나의 실시예에서, 회전 아암(rotating arm)이 새로운 식각액을 프로세서 웨이퍼에 공급(dispense)하는 데 사용되는데, 여기서 공정 웨이퍼는 정지 상태를 유지한다. 사용된 식각액을 웨이퍼 표면으로부터 제거하기 위해 적극적 전략이 사용될 수 있는데, 여기서 제1 아암과 통합되거나 되지 않은 제2 아암이 사용된 식각액을 원심력으로 배출시키는 데 사용될 수 있을 것이다. 이와는 달리 소극적 전략도 사용될 수 있는데, 여기서는 웨이퍼가 수직 방향으로 유지되어 사용된 식각액을 수집 챔버로 끌어내리는 데 중력이 사용된다. 아암들의 회전축은 고정 또는 가동이고, 공정 웨이퍼와 동축이거나 편심될 수 있다. 제2 실시예에서는, 공정 웨이퍼 자체가 회전하는 반면, 식각액 공급 아암이 고정될 수 있다. 스핀 분사 방식 시스템의 모든 실시예들에서, CICE 호환(compatible) 챔버가 공정 웨이퍼의 전체 전면측을 둘러싸, 회전하는 공정 웨이퍼 및/또는 식각액 공급 아암에 의해 여러 방향들로 투입되는 모든 식각액을 포함(contain)하는 데 사용될 수 있을 것이다. 도 3a-3b는 전술한 실시예들 중의 하나를 도시한다. 구체적으로 도 3a-3b는 본 발명의 하나의 실시예에 따른, 편심 회전(eccentrically rotating) 식각액 분사 아암과 수동적(passive) 중력 구동(gravity-driven) 식각액 유출(outflow)을 갖는 예시적인 스핀 분사 식각액 흐름 시스템(300)을 도시한다.
측면(단면)을 도시하는 도 3a에서, 시스템(300)은 전면 커버(301)와, 식각액 유입구(302)와, 중력 구동인 식각액 유출구(303)와, 식각액(304)과, 편심 회전 식각액 분사 아암(305)과, 및 고전되고 수직인 공정 웨이퍼(306)를 포함한다. 또한 도 3b는 평면도를 도시하는데, 하나의 실시예에서 이는 분사 아암(305)의 회전 방향을 보인다.
하나의 실시예에서, 슬라이딩 식각 구역(sliding etch zone)을 갖는 시스템이 사용될 수 있을 것이다. 서로 밀접하게 위치한 유입 및 유출 노즐들의 그룹이 국부적으로 순환하는 식각 구역을 생성하는 데 사용될 수 있을 것이다. 노즐들의 그룹은 웨이퍼를 가로질러 주사(scan)될 수 있어 도 4에 보인 바와 같이 전체 웨이퍼를 식각할 수 있을 것이다. 도 4는 본 발명의 하나의 실시예에 따른 슬라이딩 식각 구역의 예시적 설계를 도시한다.
도 4를 참조하면, 도 4는 유입 및 유출구들의 주사 가능한 그룹(401)과, 식각액 유출구(402)와, 식각액 유입구(403)와, 슬라이딩 식각 구역(404)과, 식각액(405)과, 전면 커버(406)와, 및 고정되고 수직인 공정 웨이퍼(407)를 도시한다.
하나의 실시예에서, 배플(baffle) 및 핀(fin) 등의 기하학적 부재들이 식각액 챔버 내부에 위치하여 원하는 유체 흐름을 보장할 수 있을 것이다. 하나의 실시예에서, 이들은 전면측 챔버와 일체로 제작될 수 있을 것이다. 전산 유체역학(Computational Fluid Dynamics; CFD) 기반의 유체 시뮬레이션이 설계 최적화 기법들과 함께 이 기하학적 부재들의 설계에 사용될 수 있을 것이다.
적극적 식각액 교반에 관해서는, 챔버내 가동 조립체(in-chamber moving assembly)들이 식각액을 교번하고 정체 구역들을 방비하기 위해 사용될 수 있을 것이다. 하나의 실시예에서, 이 조립체들은 교차된(crossed) 아암들의 그룹의 형태를 가질 수 있을 것이다. 다른 실시예에서, 이 조립체들은 구분되는 회전 중심들(centers-of-rotation)을 갖는 아암들의 그룹이 될 수 있을 것이다. 다른 실시예에서, 이 가동 조립체들은 식각액 유입 및 유출 포트들을 가질 수 있을 것이다. 다른 실시예에서, 이 가동 조립체들은 배플 및 핀 등의 기하학적 부재들을 가질 수 있을 것이다. 이 조립체들의 작동 기구는 (회전 외부 자계를 사용하는 일체형 자석들에 의한 조립체들의 작동 등의) 간접, 또는 (직접 구동 모터의 사용 등의) 직접, 또는 (그 전체로서 이 명세서에 참고로 포함된 Dick, Erik. 터보기계 원론(Fundamentals of turbomachines). Vol. 109. Springer, 2015에 논의된 반응 및 충격 터빈과 유사한 방식의) 유체 반응 및 충격력의 사용이 될 수 있을 것이다. 유체 작동을 갖는 예시적인 이중 축, 이중 아암 조립체를 도 5a-5b에 보인다.
도 5a-5b는 본 발명의 하나의 실시예에 따른, 식각액 교반의 예시적 설계를 도시한다.
측면(단면)을 도시하는 도 5a를 참조하면, 이러한 설계는 이중반전(counter rotating) 식각액 교반 아암(501)들과, 전면 커버(502)와, 식각액(503)과, 식각액 유출구(504)와, 및 공정 웨이퍼(505)를 포함한다. 도 5b는 식각액 유입구 제트(506)들이 묘사된 평면도를 도시한다.
식각 챔버 내를 순환하는 식각액은 혼합 챔버 내에서 혼합 및 저장될 수 있을 것이다. 하나의 실시예에서, 혼합 챔버는 식각 챔버로부터 어떤 거리에 위치하여 CICE 호환 배관(tubing)을 사용하여 식각 챔버에 연결된다. 혼합 챔버는 농도 모니터, 흐름 모니터, 온도 모니터, 불순물/침전물/입자 모니터, 및 압력 모니터 등의 다양한 모니터들을 가질 수 있을 것이다. 혼합 챔버는 또한 식각액 농도의 동적 수정을 위한 식각액 전구물(precursor)들의 식각액 유입구들과 식각액 온도의 수정을 위한 가열 조립체들 등 식각액 상태를 변경하는 여러 가지 작동 기구(actuation mechanism)들도 가질 수 있을 것이다. 혼합 챔버와 공정 챔버 간, 그리고 혼합 챔버와 전구물 저장(유닛) 간의 식각액의 흐름은 CICE 호환 펌프를 사용하여 취급될 수 있을 것이다.
하나의 실시예에서, 식각액 전구물들은 혼합 챔버 등의 용기들에 저장될 수 있을 것인데, 여기서 전구물 저장 용기들은 농도 모니터, 온도 모니터, 불순물/침전물 모니터, 압력 모니터 등의 전구물 상태 모니터들과 함께 전구물 농도를 동적으로 수정하는 유입구들과 전구물 온도를 수정하는 가열 조립체들 등의 전구물 상태 작동 기구를 가질 수 있을 것이다.
도 6은 본 발명의 하나의 실시예에 따른, 혼합 챔버와 전구물 저장(유닛)를 보이는 예시적 식각액 흐름 경호를 도시한가. 특히 도 6은 공정 챔버, 혼합 챔버, 전구물 저장(유닛), 펌프 조립체들, 및 식각액 및 전구물 상태 감지 및 작동 기구들을 갖는 전체 식각액 흐름 조립체를 보인다.
도 6을 참조하면, 도 6은 공정 웨이퍼(602)와, 식각액 유입구(602)와, 식각액 유출구(603)와, 전면 커버(604)와, CICE 호환 식각액 펌프(605)와, 혼합 챔버(606)와, 식각액 상태 센서(607)와, 혼합 챔버 식각액의 열 작동(기구)(608)과, 전구물 스테이지 유닛(610A-610N)들의 전구물 상태 센서(609)들을 도시하는데, 여기서 N은 양의 정수이다(각각 도 6의 "Precursor 1 Storage," "Precursor 2 Storage," 및 "Precursor N Storage,"로 식별). 전구물 저장 유닛(610A-610N)들은 집합적으로 또는 개별적으로, 각각 전구물 저장 유닛(610)들 또는 전구물 저장 유닛(610)으로 지칭될 수 있다.
탈기(degassing)에 관해서는, CICE 공정의 산물들 중의 하나는 H2 가스이다. CICE 동안 H2의 왕성한 산출은 반응 부위 부근의 식각액에 거품 형성을 야기할 수 있는데, 이는 식각액 농도에 불균일성을 야기하고, 예를 들어 현장(in-situ) 계측을 위한 식각액을 통한 가시성을 저하시키며, 잠재적으로 막혀 식각액 흐름 시스템의 효율을 저하시킬 수 있다. 거품이 모두 제거될 필요는 없지만 현장 계측과, 식각액 흐름, 및 반응 균일성을 방해하지 않도록 이들은 어느 정도로 제어될 필요가 있다. 몇 가지 방법들이 CICE 공정에서 거품 형성을 저감시키는 데 사용될 수 있을 것이다.
예를 들어, 그 전체로서 이 명세서에 참고로 포함된 Li, Yinxiao, and Chuanhua Duan. "금속 지원 화학적 식각에 의한 미세 구조 표면 상의 거품 조절 실리콘 나노와이어 합성(Bubble-Regulated Silicon Nanowire Synthesis on Micro-Structured Surfaces by Metal-Assisted Chemical Etchingm)" Langmuir 31, No. 44, November 10, 2015, pp. 12291-1299 등의 어떤 CICE 체제(regime)들은 더 많은 거품 산출을 야기한다. 이에 따라 더 적은 H2 산출(evolution)을 유발하는 체제들이 거품 문제를 저감시킬 수 있다.
다른 예에서, 식각 속도(etch rate)를 저하시키면 거품 산출의 속도(rate) 역시 저감될 수 있다. 이는 예를 들어 식각액 농도 또는 속도 제한(rate-limiting) 식각액 전구물의 농도를 낮춤으로써 이뤄질 수 있다. 이는 또한 그 전체로서 이 명세서에 참고로 포함된 Backes, Andreas, Markus Leitgeb, Achim Bittner, and Ulrich Schmid, "실리콘의 금속 지원 화학적 식각에서의 온도 의존 공극 형성(Temperature Dependent Pore Formation in Metal Assisted Chemical Etching of Silicon)," ECS Journal of Solid State Science and Technology, Vol. 5, No. 12, January 1, 2016, pp. 653-656에서 논의된 바와 같이 식각액의 온도를 낮춤으로도 이뤄질 수 있다.
또 다른 예에서, 식각액 압력을 증가시키면 식각액 내의 가스의 용해도(solubility)를 증가시킴으로써 거품 형성을 저감시킬 수 있다.
다른 예에서, 식각액의 온도를 저하시키면 식각액 내의 가스의 용해도가 증가함으로써 거품 형성을 저감시키는 데 사용될 수 있다.
또 다른 예에서, 공정 챔버 벽상 또는 식각액 경로의 다른 곳의 관련 멤브레인 탈기(concerning membrane degasification), PTFE 기반 CICE 호환 기체 액체 분리 멤브레인이 식각액으로부터 가스를 선택적으로 추출하는 데 사용되어 거품 형성을 저감시킬 수 있을 것이다.
다른 예에서, 초음파 분해(sonication)가 공정 웨이퍼 표면에 부착된 거품을 분리하고 이들을 벌크(bulk) 식각액으로 구축하는 데 사용될 수 있을 것이다. 초음파 분해는 예를 들어 전면 및/또는 배면 커버에 통합된 압전소자(piezoelectric element)들을 사용하여 이뤄질 수 있을 것이다.
또 다른 예에서, 진공 기반 탈기 챔버가 혼합 챔버의 일부로서 또는 별도로 사용되어 식각액 용액 내에 용해된 가스의 양을 저감시킬 수 있다.
다른 예에서, CICE 공정 동안 공정 웨이퍼기 수직이 되도록 작동되는 툴이 사용되어, 거품들이 수평 구조에서 툴의 전방으로 이동하여 잠재적인 현장 계측의 시야를 방해하는 대신. 중력에 거슬러 툴의 상부로 이동하게 할 수 있을 것이다.
또 다른 예에서, 공정 웨이퍼의 표면에 부착된(stuck) 거품들이 웨이퍼와 나이프 날(knife edge) 간에 작은 갭(밀리미터 크기 이하)을 유지하면서 공정 웨이퍼의 표면을 가로질러 이동하는 나이프 날을 갖는 가동 아암(movable arm)을 사용하여 분리될 수 있을 것이다.
이제 도 7을 참조하면, 도 7은 본 발명의 하나의 실시예에 따른, 예시적 진공 기반 탈기 전략을 도시한다.
도 7에 도시된 바와 같이, 이 전략은 탈기 챔버(701)를 포함하는데, 여기에는 H2를 위한 진공 또는 부분 진공(702)과, 공정 웨이퍼(703)와, H2 거품(704)과, 식각액 유입구(705)와, 식각액 유출구(706)(예를 들어 포화된 H2)와, 및 전면 커버(707)가 존재한다.
전체 웨이퍼에 걸친 균일한 식각을 위한 반응 퀘엔칭(reaction quenching) 및 반응 개시(reaction starting)에 관해서는, CICE 공정의 시작 및 중단에서의 공간적 변동(spatial variation)을 주의 깊게 관리하는 것이 특히 중요하다. 예를 들어 습식 공정에 있어서, 반응 퀘엔칭 유체(예를 들어 물)가 공정 웨이퍼(703)의 일단에 주입되면, 1 μm/min의 샘플 식각 속도에서 퀘엔칭 프론트(quenching front)가 전체 웨이퍼를 커버하는 데 5초가 걸리는데, 이는 전체 웨이퍼에 걸쳐 ~80 nm의 식각 높이 변동으로 결과될 것이다. 마찬가지로, CICE 공정의 시작에서 식각액이 공정 웨이퍼(703)의 일단에 주입되면, 1 μm/min의 샘플 식각 속도에서 퀘엔칭 프론트가 전체 웨이퍼를 커버하는 데 5초가 걸리는데, 이는 전체 웨이퍼에 걸쳐 ~80 nm의 식각 높이 변동으로 결과될 것이다. 반응 퀘엔칭 및 시작에서 식각 높이 변동을 저감시키는 데 몇 가지 방법들이 사용될 수 있을 것이다.
예를 들어, 반응 퀘엔칭에 앞서 또는 전체 식각에 걸쳐 식각 속도를 저하시키면 식각 높이 변동을 저감시킬 수 있다. 식각 속도 저하는 (혼합 챔버 내의) 식각액 전구물의 상대 농도를 변경시키거나 웨이퍼의 온도를 저하시킴으로써 이뤄질 수 있다(웨이퍼 온도의 저하는 그 전체로서 이 명세서에 참고로 포함된 Backes, Andreas, Markus Leitgeb, Achim Bittner, and Ulrich Schmid, "실리콘의 금속 지원 화학적 식각에서의 온도 의존 공극 형성(Temperature Dependent Pore Formation in Metal Assisted Chemical Etching of Silicon)," ECS Journal of Solid State Science and Technology, Vol. 5, No. 12, January 1, 2016, pp. 653-656에 논의된 바와 같이 식각 속도의 대응하는 저하를 유발할 것이다).
다른 예에서, 웨이퍼의 전면으로부터의 식각액 및 퀘엔칭 유체 주입에 관해, 식각액의 흐름 경로는 식각액 및 퀘엔칭 유체를 웨이퍼의 전면으로부터 도입함으로써 단축될 수 있을 것이다. 이는 식각액이 공정 챔버에 남아있는 시간 유리(time stray)를 단축시킴으로써 반응 퀘엔칭과 이에 대응하는 식각 불균일성을 저감시킬 것이다. 마찬가지로, 이는 식각 개시 동안 식각액이 도입되는 데 걸리는 시간의 양도 저감시킬 것이다. 위 식각 순환 부분에서 전술된 방법들의 다수가 식각액 및 퀘엔칭 유체의 전면 도입에 사용될 수 있을 것이다. 예를 들어 도 2에 보인 설계가 이러한 경우에 사용될 수 있을 것이다.
또 다른 예에서, 식각액 동결(freezing)에 관해, 웨이퍼에 바로 인접한 식각액의 얇은 층이 동결(이는 CICE 반응 역시 정지시킬 것이다)되도록 예를 들어 펠티어 소자(peltier element)들을 사용하여 공정 웨이퍼가 냉각될 수 있을 것이다. 이어서 웨이퍼에 바로 인접한 식각액이 여전히 동결된 상태로 동결되지 않은 벌크 식각액이 물 등의 반응 퀘엔칭 용액과 대체될 수 있을 것이다. 그러면 동결된 식각액의 얇은 층이 가열되어 용융되고 이에 바로 인접한 벌크 퀘엔칭 유체 내로 급속히 소산된다. 이러한 퀘엔칭의 예가 도 8a-8d에 보인다.
도 8a-8d를 참조하면, 도 8a-8d는 본 발명의 하나의 실시예에 따른, 예시적인 식각액 동결 기반 반응 퀘엔칭을 도시한다.
도 8a는 동결 온도 미만의 열전 냉각기(thermoelectric cooler; TEC)(801)와, 웨이퍼 척(wafer chuck; 802)과, 공정 웨이퍼(803)와, 밀봉재(seal; 804)와, 식각액 유입구(805)와, 식각액 유출구(806)와, 및 전면 커버(807)를 도시한다.
도 8b는 동결된 식각액(808)의 얇은 층을 도시한다.
또한 도 8c는 벌크 식각액 용액의 퀘엔칭 유체(809)로의 대체를 도시한다.
추가적으로, 도 8d는 식각액의 얇은 층의 용융(810)과 TEC(801)가 가열하도록 설정되는 것을 도시한다.
이와는 달리, 동결 공정 다음에 이어서 배출될 공기로 벌크 유체가 대체되어, 식각액의 얇은 동결층이 승화될 수 있을 것이다. 이 배출은 전체 식각 챔버를 더 큰 CICE 호환 진공 챔버에 위치시키거나, 이와는 달리 벨로우 펌프(bellow pump) 등의 CICE 호환 진공 펌프를 반응 챔버 자체에 연결하여 채워진 공기를 인출함으로써 이뤄질 수 있을 것이다. 이러한 퀘엔칭의 예가 도 9a-9d에 보인다.
도 9a-9d는 본 발명의 하나의 실시예에 따른, 예시적인 동결 및 승화 기반 반응 퀘엔칭을 도시한다.
도 9a는 공정 웨이퍼(901)와, 웨이퍼 척(902)과, 웨이퍼 척(902)과, 동결 온도 미만의 열전 냉각기(TEC)(903)와, 대형 진공 챔버(904)와, 전면 커버(905)와, 밀봉재(906)와, 식각액 유출구(907)와, 및 식각액 유입구(908)를 도시한다.
도 9b는 동결된 식각액의 얇은 층(909)을 도시한다.
도 9c는 벌크 식각액 용액의 공기(910)로의 대체를 도시한다.
도 9d는 승화되는 식각액의 얇은 층(911)과 진공이 켜진 것(912)을 도시한다.
열 보상(thermal compensation)에 관해서는, 열 액추에이터들의 어레이(array)가 식각 개시 및 퀘엔칭 동안 유발되는 어떤 식각 속도 변동을 적극적으로 보상하는 데 사용될 수 있다. 공정 변동 제어에 관해 후술할 바와 같은 몇 가지 방법들이 열 작동(thermal actuation)을 달성하는 데 사용될 수 있을 것이다. 도 10은 본 발명의 하나의 실시예에 따른, 반응 퀘엔칭 및 시작 동안의 열 보상의 예시적 설계를 도시한다.
도 10에 보인 바와 같이, 이러한 설계는 웨이퍼 척(1001)과, 공정 웨이퍼(1002)와, 독립적으로 제어 가능한 열전 냉각기(1003)들의 격자(grid)와, 전면 커버(1004)와, 식각액 유입구(1005)와, 및 식각액 유출구(1006)를 포함한다.
하나의 실시예에서, 반응 챔버 내의 전체 식각액은 예를 들어 반응물(reactant)의 얇은 시트(sheet)가 사용될 때 진공을 사용하여 급속히 증발될 수 있을 것이다. (증발된 공기의) 배출은 전체 식각 챔버를 더 큰 CICE 호환 진공 챔버에 위치시키거나, 이와는 달리 벨로우 펌프 등의 CICE 호환 진공 펌프를 반응 챔버 자체에 연결하여 채워진 공기를 인출시킬 수 있을 것이다.
공정 변동 제어에 관해서는, 식각액과 식각 산물의 농도의 공간적 변동, 국부적 식각액 흐름 속도, 식각액 온도, 패턴 밀도 변동, 및 웨이퍼 모서리 효과(edge effect)가 식각 속도의 변동과 함께 (예를 들어 공극의 정도, 벽 표면 조도, 벽 각도 등) 식각의 품질에 변동을 유발할 수 있다. 하나의 실시예에서, 공정 변동을 제어하는 데 피드백 기반 시스템이 사용될 수 있다. 다른 실시예에서, 순전한 피드포워드(feedforward) 접근법이 사용될 수 있을 것인데, 여기서 발생 가능한(likely) 공정 변동이 시간에 앞서 알려지고 (열 작동 등) 국부적 작동 방법들이 개회로(open-loop) 방식으로 알려진 공정 변동을 교정하는 데 사용된다. 다른 실시예에서 하이브리드 접근법이 사용될 수 있을 것인데, 여기서는 알려진 공정 변동 경향(trend)들이 실시간 공정 변동 측정치들과 조합되어 공정 액추에이터들을 제어한다.
측정에 관해서는, 현장 측정(in-situ metrology)이 있는데, 식각 속도의 공간적 변동 또는 (특정 식각 세부 높이에 대응하는 고유 스펙트럼 특징(unique spectral signature) 등) 그 대리 값(proxy)이 현장에서 감시될 수 있을 것이다. 이는 공정 웨이퍼의, 예를 들어 그 전체로서 이 명세서에 참고로 포함된 Gawlik, Brian, et al. "실리콘 나노필라 어레이의 높은 스루풋을 위한 초분광 이미징, 공간적으로 해석된 공간분석 분광 산란측정(Hyperspectral imaging for high-throughput, spatially resolved spectroscopic scatterometry of silicon nanopillar arrays)," Optics Express, Vol. 28, No. 10, 2020, pp. 14209-14221에 논의된 바와 같은 현장 분광광도측정(spectrophotometry)을 사용하여 이뤄질 수 있을 것이다. 이 측정은 반사식 또는 투과식의 어느 하나가 될 수 있다. 투과식 측정이 요구되는 경우, 그 전체로서 이 명세서에 참고로 포함된 Choi, M. S., H. M. Park, and K. N. Joo. "주기: 근 적외선 간섭계측 실리콘 웨이퍼 측정(Note: Near infrared interferometric silicon wafer metrology)." Review of Scientific Instruments 87.4 (2016): 046106에 논의된 바와 같은 IR 파장이 사용될 수 있을 것이다. 이 측정은 CICE 공정과 실시간(동시에) 또는 비동기로 이뤄질 수 있을 것이다. 측정이 반사식인지 투과식인지 여부에 따라 전면 및/또는 배면 커버(frontside and/or the backside cover)들이 CICE 호환 투명 소재들로 제작될 수 있을 것이다. 결정성 사파이어가 하나의 이러한 소재이고, 이는 웨이퍼 형태로 입수 가능하다. 식각액 시트의 두께는 입사광(incident irradiance)의 대부분이 식각액을 통과하도록 유지될 수 있을 것이다(예를 들어 90% 투과율, 80%, 70%, 60%, 등). 도 11a-11b 및 도 12는 2개의 예시적 시스템들을 보인다.
도 11a-11b는 본 발명의 하나의 실시예에 따른, 전체 웨이퍼 커버리지(coverage)를 갖는 예시적인 현장 측정 시스템을 도시한다. 도 12는 본 발명의 하나의 실시예에 따른, 주사 가능한 광학계(scannable optics)를 갖는 예시적인 측정 시스템을 도시한다.
도 11a를 참조하면, 도 11a는 측정 시스템(1101)의 커버리지를 보이는 평면을 도시한다. 도 11b는 측면을 도시하는데, 여기서 유한한 곡률 반경(finite radius of curvature; Roptics)(1102)와 중첩되는 시야(overlapping fields-of-view; 1103)가 갭 없는(gap-free) 측정 및 작동을 가능하게 한다. 도 11b는 또한 화상 센서(imager; 1104)들과, 사파이어 전면 및 배면 커버(1105)와, 광원(1106)과, 광학 필터(1107)들과, 공정 웨이퍼(1108)와, 배면 유체 유입구(1109)와, 배면 유체 유출구(1110)와, 식각액 유입구(1111)와, 및 식각액 유출구(1112)를 더 도시한다.
도 12는 XY 스테이지 상의 화상 센서 조립체(1202)와 함께 공정 웨이퍼(1201)를 도시한다.
현장외(ex-situ) 측정에 관해서는, CICE 식각의 공간 변동 또는 (특정 식각 세부 높이에 대응하는 고우 스펙트럼 특징 등) 그 대리 값이 현장외에서 측정될 수 있을 것이다. 측정은 반사식 또는 투과식의 어느 하나가 될 수 있을 것이다. 투과식 특정이 요구되는 경우 IR 파장이 사용될 수 있을 것이다. 현장외 측정 챔버가 식각 챔버에 근접 위치하여 처리된 웨이퍼의 신속한 이송을 가능하게 할 수 있을 것이다. 하나의 실시예에서, 측정 시스템 자체는 CICE 호환 소재로 제직되지 않고 더 큰 CICE 호환 챔버로 둘러싸인다.
열 작동(thermal actuation)에 관해서는, 그 전체로서 이 명세서에 참고로 포함된 Backes, Andreas, Markus Leitgeb, Achim Bittner, and Ulrich Schmid. "실리콘의 금속 지원 화학적 식각에서의 온도 의존 공극 형성(Temperature Dependent Pore Formation in Metal Assisted Chemical Etching of Silicon)." ECS Journal of Solid State Science and Technology 5, No. 12, January 1, 2016, pp. 653-656에 논의된 바와 같이 국부적 온도에서의 제어된 변동이 공정 웨이퍼 식각 속도에서의 대응 변동을 산출하는 데 사용될 수 있을 것이다. 식각 속도의 이 열 작동은 식각 속도의 공간적 변동을 적극적으로 제어하는 데 사용할 수 있을 것이다. 열 작동은, 그 전체로서 이 명세서에 참고로 포함된 DiSalvo, Francis J. "열전냉각 및 전력 생산(Thermoelectric cooling and power generation)." Science 285.5428 (1999): 703-706에 논의된 바와 같은 열전냉각(thermoelectric cooling) 등의 접촉 기반(contact-based) 해법을 사용하거나, 그 전체로서 이 명세서에 참고로 포함된 Hiura, Mitsuru, et al. "NIL 대량 제조를 위한 신규한 고차 왜곡 교정 시스템을 사용한 오버레이 개선(Overlay improvements using a novel high-order distortion correction system for NIL high-volume manufacturing)," Novel Patterning Technologies, Vol. 10584. International Society for Optics and Photonics, 2018에 논의된 바와 같은 가시광 또는 IR 파장에서의 DMD 변조 광을 사용하는 가열 등의 비접촉 기반 해법을 사용하여 이뤄질 수 있을 것이다. 열 액추에이터들은 공정 웨이퍼의 전체 범위에 걸쳐 분포되거나 공정 웨이퍼의 일부를 담당하고 선택적으로 공정 웨이퍼를 가로질러 주사될 수 있을 것이다. 열 작동은 공정 웨이퍼의 전면, 배면, 또는 양면으로부터 구현될 수 있을 것이다, 도 10 및 13은 예시적인 시스템들을 보인다.
도 13은 본 발명의 하나의 실시예에 따른, 디지털 미세거울 디바이스(digital micromirror device; DMD) 변조(modulated) 웨이퍼 열 제어(thermal control)를 위한 예시적 시스템을 도시한다.
도 13에서, 이러한 예시적 시스템은 사파이어 전면 및 배면 커버(1301)와, 웨이퍼 전체(global) 온도의 설정에 사용될 수 있는 배면 유체(1302)와, 배면 유체 유입구(1303)와, 배면 유체 유출구(1304)와, 식각액 유입구(1305)와, 식각액 유출구(1306)와, 공정 웨이퍼(1307)와, 집광 광학계(1308)와, 화상 센서(1309)와, 디지털 미세거울 디바이스(DMD) 조립체를 가진 광원(1310)과, 및 광학 필터(1311)들을 포함한다.
전계(electric field) 제어에 관해서는, 그 전체로 이 명세서에 참고로 포함된 Lianto, Prayudi, Sihang Yu, Jiaxin Wu, C V Thompson, and W K Choi, "실리콘의 금속 지원 화학적 식각에서 격리 촉매에 의한 수직 식각(Vertical Etching with Isolated Catalysts in Metal-Assisted Chemical Etching of Silicon)," Nanoscale 4, No. 23, December 7, 2012, pp. 7532-7539에 논의된 바와 같이 전계가 CICE 공정 동안 공극률의 수준을 조절하는 데 사용될 수 있다. 하나의 실시예에서, 전면 및 배면 커버에 패터닝된(patterned) 전극들의 어레이는 공극 웨이퍼들에서의 국부적 공극률을 제어하는 국부적 전계를 생성하는 데 사용된다.
도 14에 보인 바와 같이, 도 14는 배면 투명 전극(1402)과, 배면 조명(1403)와, 및 투명 전극의 전면(1404)과 함께 공정 웨이퍼(1401)를 도시한다.
패턴 밀도 변동의 조정에 관해서는, 패턴 밀도 변동과 식각 속도 및 품질에 대한 그 잠재적 영향이 전술한 다양한 방법들로 해결될(addressed) 수 있을 것이다. 하나의 실시예에서, 더 밀도 높은 공정 액추에이터들의 어레이가 패턴 밀도가 더 높은 영역들에 사용될 수 있을 것이다. 다른 실시예에서, 식각액 농도를 국부적으로 변경할 능력을 갖는 슬라이딩 식각 영역(sliding etch zone)들이 패턴 밀도에서의 변동을 처리하는 데 사용될 수 있을 것이다.
뿐만 아니라 웨이퍼 모서리 효과(wafer edge effects)에 관해서는, 공정 웨이퍼 모서리 근방의 유체 곡면(fluid meniscus), 식각액 농도(etchant concentration), 전계의 급격한 변화는 모서리 근방의 식각액 특성에 큰 변화를 야기할 수 있을 것이다. 이는 웨이퍼 모서리 제외 영역의 주의 깊은 설계로 처리되어 식각 변동의 대부분은 제외 영역 외부에 존재하게 된다. 하나의 실시예에서, 전면 커버 밀봉재는 웨이퍼 주변의 외부 상에 접촉할 수 있을 것이다(도 15 참조). 다른 실시예에서, 스핀 분사(spin-spray) 방식 시스템이 웨이퍼 전면 상에 주변 밀봉재 없이 사용될 수 있을 것이다.
이제 도 15를 참조하면, 도 15는 본 발명의 하나의 실시예에 따른, 공정 웨이퍼의 외측 모서리 상에 접촉하는 전면 밀봉재를 보이는 예시적 모서리 접촉 설계를 도시한다.
도 15에 보인 바와 같이, 도 15는 공정 웨이퍼(1501)와, 웨이퍼 척(1502)과, 전면 커버(1503)와, 모서리 제외 영역(1504)과, 공정 웨이퍼의 외측 모서리 상의 전면 밀봉재 접촉(1505)과, 식각의 고변동 영역(1506)과, 및 공정 웨이퍼(1501) 상의 디바이스 영역(1507)을 도시한다.
하나의 실시예에서, 배면 접촉은 불화 폴리머 또는 사파이어 등의 CICE 호환 소재로 제작된 척을 사용하여 설정될 수 있을 것이다. 하나의 실시예에서, 척은 웨이퍼 배면과 핀 방식(pin-type) 접촉, 링 방식(ring-type) 접촉, 또는 평탄한 구역 접촉(flat areal contact)을 가질 수 있을 것이다. 하나의 실시예에서, 웨이퍼는 웨이퍼 모서리에 부착되는 클램프(clamp)들을 사용하여, 진공을 사용하여, 또는 정전기를 사용하여 배면 척에 대해 파지(hold)될 수 있을 것이다. 공정 웨이퍼와 배면 척(있다면) 간의 공간은 유체로 채워질 수 있을 것인데, 이는 식각액 또는 일반적 전해질(generic electrolyte)이 될 수 있을 것이다. 배면 유체는 CICE 공정 동안 전계 제어를 촉진하는 데 사용될 수 있을 것이다. 배면 유체는 정지 또는 순환될 수 있을 것이다. (전술한) 전면 식각액 흐름 전략은 배면 유체 흐름에도 마찬가지로 사용될 수 있을 것이다. 도 16 및 17은 배면 접촉을 위한 일부 예시적인 설계들을 보인다.
도 16은 본 발명의 하나의 실시예에 따른, 배면 유체를 가진 예시적인 배면 접촉을 도시한다. 하나의 실시예에서, 배면 유체는 공정 웨이퍼에 대한 전계 제어와 전체적 온도 제어를 가능하도록 하는 데 사용될 수 있다. 도 16에 보인 바와 같이, 이러한 배면 접촉(1600)은 배면 접촉 유체(1601)와, 척 핀(chuck pin; 1602)들과, (핀 방식) 웨이퍼 척(1603)과, 및 공정 웨이퍼(1604)를 포함한다.
도 17은 본 발명의 하나의 실시예에 따른, 진공 척을 사용한 예시적인 배면 접촉을 도시한다. 도 17에 보인 바와 같이, 이러한 배면 접촉(1700)은 진공(1701)과, 척 핀(1702)들과, (핀 방식) 웨이퍼 척(1703)과, 및 공정 웨이퍼(1704)를 포함한다.
하나의 실시예에서, 그 전체로서 이 명세서에 포함된 Weisse, Jeffrey M, Chi Hwan Lee, Dong Rip Kim, Lili Cai, Pratap M Rao, and Xiaolin Zheng, "희생 유공 실리콘 층을 사용한 수직 실리콘 와이어 어레이의 전계지원 전달(Electroassisted Transfer of Vertical Silicon Wire Arrays Using a Sacrificial Porous Silicon Layer)," Nano Lett. 13, No. 9, September 11, 2013, pp. 4362-4368에서 논의한 바와 같이 전계가 CICE 공정 동안 공극률의 수준을 조절(modulate)하는 데 사용될 수 있다. 하나의 실시예에서, 전면 및 배면 커버들에 패터닝된 전극들이 공정 웨이퍼 내의 공극을 제어하는 전계를 산출하는 데 사용될 수 있다. 평탄한 기판 상에 CICE 호환 얇은 전극 층의 패터닝이 사용 가능함에 주목해야 한다. 또한 공정 웨이퍼를 통한 전류를 설정하기 위한 저항 접촉(ohmic contact)을 생성하는 데, 그 전체로서 이 명세서에 참고로 포함된 Lehmann, Volker. 실리콘의 전기화학: 기기, 과학, 소재 및 응용들(Electrochemistry of Silicon: Instrumentation, Science, Materials and Applications). Wiley, 2002에 논의된 바와 같은 배면 조명이 사용될 수 있음에도 주목해야 한다. 도 14는 이러한 예시적인 시스템을 도시한다.
안전 구성(features)에 관해서는, 하나의 실시예에서 전면 공정 챔버와, 공정 웨이퍼와, 및 배면 웨이퍼 커버가 CICE 호환이다. 밀봉재 역시 CMOS 호환(CMOS-compatible)일 수 있을 것이다. 하나의 실시예에서, 밀봉재 역시 전면 및 배면 공정 커버들에 일체로 제작될 수 있을 것이다. 회전하는 조립체들을 밀봉하기 위해, (일체로 또는 달리 제작된) 회전 밀봉(rotary seal)이 사용될 수 있을 것이다.
툴 유지 및 세정에 관해서는, 질산 등의 금속 오염 세정 용액에 의한 공정 챔버의 단속적인(intermittent) 세정이 공정 챔버 상에 축적될 수 있는 금속 불순물을 제거하는 데 사용될 수 있을 것이다. 툴 유지관리 스케줄은 높은 빈도의 단속적 금속 세정들과, 전체 툴 분해 및 세정을 포함하는 더 낮은 빈도의 유지관리로 분할될 수 있을 것이다.
식각액의 상(phase)이 기상 또는 액상의 어느 하나일 수 있음에 주목해야 한다. 기상(vapor-phase) CICE 및 액상 CICE 모두 이전에 설명되었다. 기상 CICE에 대해 전계 생성 및 제어는, 그 전체로서 이 명세서에 참고로 포함된 Tendero, Claire, et al. "대기압 플라즈마: 검토(Atmospheric pressure plasmas: A review)," Spectrochimica Acta Part B: Atomic Spectroscopy, Vol. 61, No. 1, 2006, pp. 2-30에 논의된 바와 같은 대기압(atmospheric pressure) 플라즈마를 사용하여 이뤄질 수 있을 것이다.
하나의 실시예에서, CICE 툴은 전면 식각액 이송을 위한 스핀 분사 방식 시스템과, 배면 상의 진공 척과, 전면 식각액의 전체(단일한 설정점) 온도 제어와, 웨이퍼 배면 상의 국부적인 접촉 또는 비접촉 온도 제어와, 흐름 또는 동결 기반 반응 퀘엔칭과, 현장외 반사식 산란측정(scatterometry)과, 및 선택적인 현장 IR 기반 투과식 산란측정으로 구성된다.
다른 실시예에서, CICE 툴은 전면 식각액의 두꺼운 유체 시트((관련 측정 스펙트럼에서) 50% 이하의 광투과율을 갖는 유체 시트의 두께로 정의됨)와, 배면 상의 두꺼운 유체 시트와, 전면 식각액의 전체적(단일 설정점) 온도 제어와, 웨이퍼 배면의 전체적(단일 설정점) 온도 제어와, 흐름 기반 반응 퀘엔칭과, 현장외 반사식 산란 측정과, 및 다이아몬드형 피복(diamond-like coating; DLC) 기반 전계 생성으로 구성된다.
다른 실시예에서, CICE 툴은 전면 식각액에 대한 두꺼운 유체 시트와, 배면 상의 얇은 유체 시트((관련 측정 스펙트럼에서) 50% 이상의 광 투과율을 갖는 유체 시트의 두께로 정의됨)와, 전면 식각액의 전체적(단일 설정점) 온도 제어와, 웨이퍼 배면 상의 전체적(단일 설정점) 또는 국부적 온도 제어와, 흐름 기반 반응 퀘엔칭과, 현장외 반사식 산란측정과, 선택적인 현장 IR 기반 투과식 산란측정과, 및 다이아몬드형(DLC) 기반 전계 생성으로 구성된다.
다른 실시예에서, CICE 툴은 전면 식각액에 대한 두꺼운 유체 시트와, 배면 상의 진공 척과, 전면 식각액의 전체적(단일 설정점) 온도 제어와, 웨이퍼 배면 상의 전체적(단일 설정점) 또는 국부적 온도 제어와, 흐름 기반 반응 퀘엔칭과, 현장외(ex-situ) 반사식 산란측정과, 및 선택적인 현장(in-situ) IR 기반 투과식 산란측정으로 구성된다.
다른 실시예에서, CICE 툴은 전면 식각액에 대한 두꺼운 유체 시트와, 배면 상의 두꺼운 유체 시트와, 전면 상의 전체적(단일 설정점) 또는 국부적 온도 제어와, 웨이퍼 배면 상의 전체적(단일 설정점) 온도 제어와, 흐름 기반 반응 퀘엔칭과, 현장 반사식 산란측정과, 선택적인 현장외 반사식 산란측정과, 다이아몬드형(DLC) 기반 전계 생성으로 구성된다.
다른 실시예에서, CICE 툴은 전면 식각액에 대한 얇은 유체 시트와, 배면 상의 얇은 유체 시트와, 전면 상의 전체적(단일 설정점) 또는 국부적 온도 제어와, 웨이퍼 배면 상의 전체적(단일 설정점) 또는 국부적 온도 제어와, 흐름 기반 반응 퀘엔칭과, 현장 반사식 산란측정과, 선택적인 현장 IR 기반 투과식 산란측정과, 선택적인 현장외 반사식 산란측정과, 다이아몬드형(DLC) 기반 전계 생성으로 구성된다.
다른 실시예에서, CICE 툴은 전면 식각액에 대한 얇은 유체 시트와, 배면 상의 진공 척과, 전면 상의 전체적(단일 설정점) 또는 국부적 온도 제어와, 웨이퍼 배면 상의 전체적(단일 설정점) 또는 국부적 온도 제어와, 흐름 또는 동결 기반 반응 퀘엔칭과, 현장 반사식 산란측정과, 선택적인 현장 IR 기반 투과식 산란측정과, 선택적인 현장외 반사식 산란측정과, 다이아몬드형(DLC) 기반 전계 생성으로 구성된다.
다른 실시예에서, CICE 툴은 전면 상의 기상 식각액과, 배면 상의 두꺼운 유체 시트와, 전면 상의 전체적(단일 설정점) 또는 국부적 온도 제어와, 웨이퍼 배면 상의 전체적(단일 설정점) 또는 국부적 온도 제어와, 현장 반사식 산란측정과, 선택적인 현장외 반사식 산란측정과, 선택적인 플라즈마 및 DLC 기반 전계 생성으로 구성된다.
다른 실시예에서, CICE 툴은 전면 상의 기상 식각액과, 배면 상의 두꺼운 유체 시트와, 전면 상의 전체적(단일 설정점) 또는 국부적 온도 제어와, 웨이퍼 배면 상의 전체적(단일 설정점) 또는 국부적 온도 제어와, 현장 반사식 산란측정과, 선택적인 현장 IR 기반 투과식 산란측정과, 선택적인 현장외 반사식 산란측정과, 선택적인 플라즈마 및 DLC 기반 전계 생성으로 구성된다.
다른 실시예에서, CICE 툴은 전면 상의 기상 식각액과, 배면 상의 진공 척과, 전면 상의 전체적(단일 설정점) 또는 국부적 온도 제어와, 웨이퍼 배면 상의 전체적(단일 설정점) 또는 국부적 온도 제어와, 현장 반사식 산란측정과, 선택적인 현장 IR 기반 투과식 산란측정과, 선택적인 현장외 반사식 산란측정으로 구성된다.
다른 실시예에서, CICE 툴은 전면 식각액에 대한 가변적인 두께의 유체 시트로 구성된다. 다른 실시예에서, CICE 툴은 배면 상의 가변적인 두께의 유체 시트로 구성된다. 가변적인 두께의 유체 시트 설계는 예를 들어 변형 가능한(deformable) 폴리머 벨로우즈(bellows) 및/또는 다이아프램(diaphragm)들을 사용하는 등 변형 가능한 전면 및 배면 커버 조립체들을 사용하여 구현될 수 있을 것이다.
이제 CICE 동안의 공극률 제어에 대한 논의가 적절하다고 보인다.
CICE 동안의 공극률 제어에 대한 상세들은, 그 전체로서 이 명세서에 참고로 포함된 A. Mallavarapu, P. Ajay, C. Barrera, S.V. Sreenivasan, "CMOS 호환 3D 반도체 디바이스 나노제조를 가능하게 하는 실리콘의 루테늄 지원 화학적 식각(Ruthenium Assisted Chemical Etching of Silicon - Enabling CMOS-Compatible 3D Semiconductor Device Nanofabrication)," ACS Applied Materials & Interfaces 2021, Vol. 13, No. 1, pp. 1169-1177에 논의되었다.
금은 무공성(non-porous), 고 형상비(aspect ratio)의 수직 실리콘 나노구조를 강건하게 생성하는 능력 덕분에 CICE 문헌들에 선택되는 촉매이다. 그러나 Au는 실리콘 회로에 바람직하지 못한 깊은 준위 결함(deep-level defect)를 유발하는 것으로 알려져 있으므로 CMOS 호환이 아니어서 반도체 제조에 사용될 수 없다. CICE는 우수한 식각 이방성(anisotropy)과 측벽 프로파일(sidewall profile)을 가져 이들 디바이스의 성능을 향상시킬 수 있지만, 금의 사용은 이 디바이스들의 제조시 그 공정 통합을 불가능하게 한다.
CICE 기구는 개회로 국부적 산화환원 반응이 촉매의 부위에 음극 및 양극 반응으로 발생될 것을 시사하는데: (여기서 n은 실리콘의 산화 상태에 좌우된다.)
(i) 음극 반응:
Figure pct00001
(ii) 양극 반응:
Figure pct00002
Au, Pt, Pd, Ag, Ru, W, Cu, TiN, Ti, 그래핀(Graphene), 카본 등의 하나 이상을 포함하는 촉매는 H2O2의 환원을 촉진시켜 결과적인 정공(electronic hole)들을 실리콘에 주입함으로써 실리콘의 산화 상태를 변화시킨다. HF가 이 실리콘을 선택적으로 식각하는데, 촉매는 식각된 영역에 침투하여 국부적 산화환원 반응을 지속시킴으로써 촉매가 없는 구역들에 실리콘 나노구조를 산출한다. 결과적인 실리콘 나노구조의 특성들은 반응 속도의 평형, 전하 전달, 식각액 물질 이동(mass transfer), 및 촉매의 이동에 크게 의존한다.
CICE를 사용한 고 형상비의, 공극 없고, 테이퍼 없는(taper-free) 실리콘 나노구조는 Au를 촉매로 하는 저농도 도핑된(low doped) Si에 한정되어왔다. 문헌상의 (Ru, Pd, Pt, 및 TiN 등의) 다른 촉매와 (Ge, GaAs, InP, GaN, SiGe, SiC 등의) 다른 반도체들은 CICE 동안 바람직하지 못하고 쓸데없는 공극을 격어 왔다.
다음 변수들이 공극을 국부적으로 및/또는 전체적으로 통제하도록 제어되는데:
식각액 농도(Etchant concentration): 하나의 실시예에서, 공극은 식각액 용액 내의 산화제의 농도를 저감하도록 식각액 농도를 변경함으로써 감소된다.
식각액 온도(Etchant temperature): 공극은 CICE 동안 식각액의 온도를 저하시킴으로써 감소된다.
CICE 동안의 전계(Electric fields during CICE): 공극은 CICE 동안 기판의 뒤에 음의 전계 바이어스(bias)를 인가함으로써 감소된다. 다른 실시예에서, 공극은 산화제를 갖지 않는 CICE 식각액 용액에 전계를 사용하여 정공들을 공급함으로써 감소된다.
기판 준비 단계(Substrate preparation step): 공극은 CICE 이전에 촉매와 기판 간에 계면 소재(interfacial material)를 제공함으로써 감소된다. 하나의 실시예에서, 계면 소재는: 산화실리콘, 산화알루미늄, 산화티타늄, 티타늄 중의 하나 이상이다. 하나의 실시예에서, 계면 소재의 두께는 공극을 감소시키도록 최적화된다. 다른 실시예에서, 계면 소재의 두께는 식각 균일성을 향상시키도록 최적화된다, 또한 계면 소재는 CICE 동안 CICE 식각액 내에서 식각 제거된다(etched away).
과도한 구멍 산출을 감소시키기 위한 패턴 위상 최적화(Pattern topology optimization to reduce excess hole production): 촉매 표면적은 CICE 동안 생성되는 구멍들의 밀도(concentration)에 영향을 미침으로써 공극에 영향을 미친다. 하나의 실시예에서, 촉매 표면적은 요구되는 식각 세부 제약들을 유지하면서 표면적을 감소시키도록 촉매 형상(catalyst geometry)을 최적화함으로써 감소된다.
촉매 표면적(Catalyst surface area): 하나의 실시예에서, 촉매의 구역들은 CICE 식각액에 노출되어 생성되는 구멍들의 밀도를 저하시킨다. 하나의 실시예에서, 패터닝된 촉매 아일랜드(island)들 또는 "미니 메시들(mini-meshes)"이 도 18, 19a-19d, 및 20a-20c에 연계하여 도시 및 논의된 바와 같이 표면적의 감소에 사용될 수 있는데, 여기서 촉매는 나노임프린트 리소그래피(nanoimprint lithography)를 사용하여 패터닝된다.
이제 도 18을 참조하면, 도 18은 본 발명의 하나의 실시예에 따른, 희박(sparse) 잉크젯 액적(drop)에 의한 수정된 제트 앤 플래시 임프린트 리소그래피(Jet and Flash imprint lithography)를 사용한 Ru 미니 메시 패터닝 공정의 방법(1800)의 흐름도이다, 도 19a-19d는 도 18에 기술된 단계들을 사용하는 희박 잉크젯 액적에 의한 수정된 제트 앤 플래시 임프린트 리소그래피를 사용한 Ru 미니 메시 패터닝 공정의 단면들을 도시한다. 도 20a-20c는 본 발명의 하나의 실시예에 따른 희박 액적 임프린트 이후의 레지스트 패턴의 경사 단면(tilted cross-section) SEM 및 톱다운(top-down) 광학 현미경 화상을 도시한다.
도 19a-19d에 연계하여 도 18을 참조하면, 단계 1801에서, 도 19a에 보인 바와 같이 잉크젯을 사용하여 희박 레지스트 액적(1901)들이 기반(1902) 상에 공급된다(dispense).
단계 1802에서, 도 19b에 보인 바와 같이 템플릿(template; 1903)이 희박 레지스트 액적(1901)들 상에 거치되어 템플릿(1903)의 모세관(개구)들을 채운다.
단계 1803에서, 도 19c에 보인 바와 같이 UV 램프(1905)로부터와 같이 자외선(UV) 플래시가 인가되어 레지스트(1901)를 경화시킨다(cure).
단계 1804에서, 도 19d에 보인 바와 같이 템플릿(1903)이 레지스트(1901)로부터 분리됨으로써 패턴(1906)을 형성한다.
이제 도 20a-20c를 참조하면, 도 20a는 희박 액적 임프린트 후의 레지스트 패턴의 단면의 중심의 주사전자현미경(scanning electron microscope; SEM) 화상의 을 도시한다. 도 20b는 희박 액적 임프린트 후의 레지스트 패턴의 단면의 SEM 화상을 도시한다. 도 20c는 희박 액적 임프린트 후의 레지스트 패턴의 단면 주사전자현미경(SEM) 화상의 모서리를 도시한다.
다른 실시예에서, 촉매의 어떤 영역들은 CICE 동안 마스킹되어(masked) CICE 식각액에 노출되는 전체 촉매 표면적을 감소시킨다. 여기서 "마스킹(masking)"은 CICE 식각액 화학반응에 내식성인 소재로 촉매를 덮는 것을 의미하며, 폴리머, 포토레지스트(photoresists), 전자빔 레지스트, 카본, 산화알루미늄, 크롬 등의 소재를 포함할 수 있다. 마스킹된 촉매 구역들은 CICE(제1 CICE)로 식각되고, 이어서 제1 식각이 완료된 다음 제1 식각된 구조들이 마스킹되거나 이 구역들의 촉매가 제거되고, 그리고 제1 CICE 동안 마스킹되었던 촉매 구역들 상에 제2 CICE가 수행된다. 제1 CICE에서 패터닝된 촉매는 제2 CICE 단계들에서 패터닝된 촉매와 서로 연결되거나 연결되지 않을 수 있다. 하나의 실시예에서, 촉매가 없는 스트리트(street) 등 제1 및 제2 CICE의 촉매들 간의 의도적인 불연속들이 패터닝될 수 있다. 다른 실시예에서, CICE 식각액이 패터닝된 촉매 구역의 국부적 구역들에 선택적으로 공급될 수 있는데, 여기서 국부적 구역은 패터닝된 촉매 구역보다 더 작다. 다른 실시예에서, 패터닝된 촉매가 마스크 소재로 부분적으로 덮인 다음 CICE에 노출된다. 다른 실시예에서, 전술한 바와 같이 미니 메시(mini-mesh)들이 생성된 다음, 전체 기판이 마스크 소재로 덮이는데, 이에 이어 패터닝 단계가 수행되어 미니 메시 구역을 개방하고 웨이퍼 표면의 나머지 상에 마스크를 남긴다. 이 패터닝 단계는 미니 메시의 경계 영역들을 선택적으로 덮음으로써 미니 메시 영역과 마스크 영역 간에 작은 겹침(overlap)을 가질 수 있을 것이다.
박막 응력(Film stresses): 촉매/기판의 계면에는, 박막 응력과 계면 응력이 CICE 반응 속도와 공극률에 영향을 미친다. 박막 및 계면 응력들은 CICE 동안의 공극을 감소시키도록 최적화된다. 하나의 실시예에서, 기판 준비, 기판 표면 에너지, 적층 공정, 속도(rate) 및 온도를 포함하는 촉매 적층 방법, 촉매 패터닝 방법, 촉매 패턴, 및 어닐링(annealing) 등의 후(post-) 패터닝 표면 처리들이 박막 및 계면 응력들을 제어하는 데 사용된다.
촉매의 플라즈마 처리(Plasma treatment of catalyst): 촉매 활성은 플라즈마 처리를 사용하여 조정(modify)될 수 있다. 플라즈마 조성(recipe) 및 플라즈마 시간은 촉매 지원 화학적 식각 후에 관찰된 공극률에 기반하여 실험적으로 결정된다. 하나의 실시예에서, 플라즈마 조성은 다음 가스들: Ar, He, O2, H2, CF4, SF6, Cl2, CHxFy, N2, CO, CO2, BCl3, CH4, SiH4, C4F8 중의 하나 이상을 포함한다. 플라즈마 처리는 촉매 패터닝 후 별도의 단계로 수행될 수 있다. 하나의 실시예에서, Ru가 Si의 CICE에 대한 촉매로 사용되고, 패터닝된 Ru가 Ar/CF4 플라즈마에 노출된다. 다른 실시예에서, 플라즈마 처리는 촉매 패터닌 동안의 식각 단계의 이루로 수행된다. 하나의 실시예에서, Ru가 Si의 CICE에 대한 촉매로 사용되고, Ru 상부 상에 사용된 식각 마스크가 Ar/CF4 플라즈마를 사용하여 식각됨으로써 그 밑의 Ru를 노출시킴으로써 식각 플라즈마를 마스킹한다. 하나의 실시예에서, 도 21a-21d는 저농도로 도핑된(low-doped) 실리콘의 CICE를 위한 Ru 촉매의 플라즈마 처리의 효과를 보인다.
도 21a-21d는 본 발명의 하나의 실시예에 따른, 비교적 효과 없는(effect-free) 모서리 구역들을 갖는 전체 미니 메시에 다른 결과들을 야기하는 Ru 미니 메시 CICE 상의 디스컴(descum) 식각에 사용되는 플라즈마의 효과를 도시한다. 모든 기준자(scale bar)들은 길이가 1 마이크로미터이다. 도 21a는 아르곤/O2를 사용하는 디스컴 식각에 사용되는 플라즈마의 효과를 도시한다. 도 21b는 아르곤을 사용하는 디스컴 식각에 사용되는 플라즈마의 효과를 도시한다. 도 21c는 아르곤/H2를 사용하는 디스컴 식각에 사용되는 플라즈마의 효과를 도시한다. 도 21d는 아르곤/CF4를 사용하는 디스컴 식각에 사용되는 플라즈마의 효과를 도시한다.
다른 실시예에서, 촉매의 플라즈마 처리는 CICE 동안 야기되는 결함의 저감을 가능하게 할 수 있다. 다른 실시예에서, 플라즈마 처리는 촉매에 걸쳐 변화되어, 촉매의 선택된 영역들을 다른 플라즈마 처리들에 노출시킴으로써 기판의 선택된 영역들에 계획 가능한(programmable) 공극률 및/또는 식각 깊이를 결과시킬 수 있다. 플라즈마 처리는 촉매의 어떤 구역들에 마스킹을 하거나 집광된(focused) 플라즈마 또는 이온빔을 사용하여 인가할 수 있다. 하나의 실시예에서, 촉매의 플라즈마 처리와 CICE 간의 시간과 공정들이 시퀀스(sequence)는 기판 공극률 등 원하는 CICE 식각 특성들을 보장하도록 최적화된다. 하나의 실시예에서, 촉매 활성의 플라즈마 변경(plasma modification)의 효과는 플라즈마 변경 후 시간에 따라 변화되고, CICE는 플라즈마 변경 후 최적의 시간에 수행된다. 하나의 실시예에서, 이 최적 시간은 15분 미만이다.
촉매의 UV 처리(UV treatment of catalyst): 촉매 활성은 촉매의 이온빔, UV, 진공 UV, IR, X-ray 등의 처리를 사용하여 변경될 수 있다. 하나의 실시예에서, 촉매 활성은 촉매만으로, 또는 기상 또는 스핀 피복된(spincoated) 화학약품 등의 촉매 활성 변경 물질의 존재 하에 UV 처리를 사용하여 변경될 수 있다. 촉매 활성 변경 물질은 다음: (i) 아크릴레이트(acrylate) 등의 폴리머, UV-경화 가능한(curable) 폴리머, 불화 폴리머(fluorinated polymer); (ii) 산소, 불소, 헬륨, 아르곤, 수소, CF4, SF6, 개미산(formic acid), 초산(acetic acid) 등의 가스, (iii) 불화 계면활성제 등의 화학약품들 중의 하나 이상을 포함한다. 하나의 실시예에서, UV 광 공정은 촉매의 패터닝 동안 리소그래피 단계의 일부로 수행된다. 다른 실시예에서, 촉매 활성 변경 물질은 UV에 노출되기 전에 촉매 상에 적층된다. 다른 실시예에서, 촉매는 촉매 활성 변경 물질들과 동시에 예를 들어 CF4, H2 및 Ar 존재하의 UV 광 등의 UV 광에 노출된다. 하나의 실시예에서, UV 광 공정은 촉매 지원 화학적 식각에 앞서 별도의 단계로 수행되는데, 여기서 리소그래피 단계 내의 물질들은 촉매 활성 변경 물질들을 포함하도록 변경된다. 다른 실시예에서, UV 처리는 촉매에 걸쳐 변화되어, 촉매 활성 변경 물질들의 존재 하에 촉매의 선택된 영역들을 UV에 노출시킴으로써 기판의 선택된 영역들에 계획 가능한 공극률 및/또는 식각 깊이를 결과시킬 수 있다. UV 처리는 리소그래피를 사용하여 촉매의 어떤 구역들을 마스킹하거나 마스크를 통해 UV를 비춤(shining)으로써 인가될 수 있다.
촉매 물질(Catalyst material): 촉매 물질은 CICE가 원하는 공극률을 갖는 나노구조를 생성할 수 있게 하는 2개 이상의 원소들의 합금이 될 수 있다. 조합(Combinatoria) 스퍼터링(sputtering) 또는 병행 스퍼터링(co-sputtering)이 이상적인 촉매 거동을 결정하기 위해 다양한 합금 소재와 조성들을 시험하는 데 사용될 수 있다. 하나의 실시예에서, 촉매는 RuxCryCz로 구성된다.
기판 소재(Substrate material): 도핑 종류, 도핑 농도, 및 기판 소재는 응용분야의 설계와 소재 요건들에 기반하여 공극률을 저하시키도록 선택될 수 있다.
식각액의 상(Etchant phase): CICE 식각액은 다음 상들: 액체, 증기, 겔(gel), 플라즈마 중의 하나가 될 수 있다. 하나의 실시예에서, 고 형상비의 무공(non-porous) 실리콘 나노구조가, 그 전체로서 이 명세서에 참고로 포함된 Romano, Lucia, Matias Kagias, Joan Vila-Comamala, Konstantins Jefimovs, Li-Ting Tseng, Vitaliy A. Guzenko, and Marco Stampanoni. "기상에서 실리콘의 금속 지원 화학적 식각: X선 광학계를 위한 나노제작 플랫폼(Metal Assisted Chemical Etching of Silicon in the Gas Phase: A Nanofabrication Platform for X-Ray Optics)," Nanoscale Horizons, Vol. 5, No. 5, 200, pp. 869-879에 논의된 바와 같은 증기 기반 CICE 공정에서 백금/규화백금(platinum silicide)을 사용하여 이뤄질 수 있다. 하나의 실시예에서, Ru가 CICE의 기상 식각액과 함께 촉매로 사용된다. 다른 실시예에서, 증기 기반 CICE가 (액상 식각액에 비해 기상 식각액의 이송 속도(transport rate)가 더 높은 것에 기인하는) 식각 중단(etch stalling)이 적거나 없고 원형 구멍 등의 작은 폐쇄 패턴을 식각하는 데 사용된다.
기판/촉매 계면(Substrate/catalyst interface): 촉매/기판 계면은 공극 내의 환원을 가능하게 하도록 최적화될 수 있다. 하나의 실시예에서, 촉매는 CICE를 위한 규화물을 생성하도록 어닐링된다(annealed). 다른 실시예에서, 촉매는 계면 소재 상에 적층된다. 하나의 실시예에서, 천연(native) 산화물 층이 계면 소재이다.
촉매 패터닝 동안 층들의 보호(Protecting layers during catalyst patterning): 촉매의 패터닝은 리소그래피를 위해 UV 광 또는 다른 파장의 광을, 패턴 전송(pattern transfer)을 위해 플라즈마 식각을 사용할 수 있다. 촉매 층 상의 보호층이 패터닝 동안 촉매 활성의 원치 않은 변화를 방지하도록 사용될 수 있다. 보호층은 C, SiN, SiO2, TiN, Cr 등을 포함할 수 있다. 하나의 실시예에서, 원하는 촉매 활성 변경 공정들은 촉매 패터닝 공정에 통합될 수 있다. 다른 실시예에서, 촉매의 플라즈마 식각은 식각 레시피(recipe) 내에 원하는 가스들을 포함할 수 있다. 또한 촉매 패터닝 이후의 사후(post) 공정 단계가 CICE에 앞서 촉매의 최종 활성을 원하는 수준으로 변경하는 데 사용될 수 있다. 다른 실시예에서, Ru 플라즈마 식각이 촉매 활성 변경 화학반응을 포함하도록 변경된다. 하나의 실시예에서, Ru는 O2 플라즈마 노출 동안 SiN 또는 C를 사용하여 보호된다.
플라즈마와 CICE를 사용한 유공/무공 층들의 교번(Alternating porous/non-porous layers using plasma and CICE): 하나의 사이클에서, 플라즈마가 촉매 활성을 상승시키는 데 사용될 수 있다. 이어서 촉매와 기판은 증기 형태(또는 액체 형 태)의 CICE 식각액에 노출되어 나노구조의 유공 층을 형성한다. 이어서 플라즈마가 촉매 활성을 저하시키는 데 사용된다. 이어서 촉매와 기판은 증기 형태(또는 액체 형태)의 CICE 식각액에 노출되어 나노구조의 무공 층을 형성한다. 이 사이클을 반복하면 교번하는 유공/무공 층들이 적층된 나노구조가 가능해진다.
식각 깊이 제어를 위한 촉매 활성 변경(Catalyst activity modification for etch depth control): 하나의 실시예에서, 국부적으로 변화하는 플라즈마 처리가 불균일한 패턴 구역들에 사용되어 촉매 활성 및 이에 따른 식각 속도를 변경시킨다.
촉매 패터닝 후 식각 마스크의 제거 방법(Method of removal of etch mask after catalyst patterning): 하나의 실시예에서, 사진식각법(photolithography)이 촉매 박막 상의 삼중층(trilayer) 레지스트 층을 패터닝하는 데 사용된다. 레지스트는 플라즈마 식각, 원자층 식각, 습식 식각, 이온 식각(on milling) 등의 방법들을 사용하여 패턴을 촉매 박막에 이전하는 식각 마스크로 사용된다. 하나의 실시예에서, 촉매 박막으로의 패턴 이전 후 식각 마스크가 피라냐 용액(piranha solution) 등의 습식 처리를 사용하거나 산소 플라즈마를 포함하지 않는 플라즈마를 사용하여 제거된다. 하나의 실시예에서, Ru 촉매 박막을 패터닝하는 데 사진식각법이 사용되고, 사진식각법에 사용된 포토레지스트 및 다른 박막들이 산소 가스를 포함하지 않는 플라즈마 레시피를 사용하여 제거된다.
하나의 실시예에서, 이 공정 변수들은 저농도 도핑된(low doped) p 타입 실리콘에 대해 루테늄을 촉매로 제어된다. 루테늄은 상호연결을 위한 장벽 금속(barrier metal)으로 이미 반도체 제조에 사용되었고, 논리 디바이스의 미래 금속 상호연결을 위한 차세대 금속으로, 또한 DRAM캐패시터를 위한 급속 전극으로 IRDS 로드맵(roadmap)에 올라있다. 이에 따라, 고수율 및 저결함으로 루테늄 박막을 적층하고 Ru 내에 20 nm 미만의 세부(feature)들을 패터닝 및 식각하기 위한 반도체 생태계(ecosystem)가 이미 제자리를 차지하고 있다. 하나의 실시예에서 Ru를 CMOS 디바이스에 통합함으로써 CMOS 제조에서의 "드롭인(drop-in; 바로 적용 가능한)" CICE 공정으로 결과되도록 최근의 발전들을 이용할 수 있는 Ru-CICE 공정이 사용된다.
하나의 실시예에서, 반도체 소재 상에 소재들의 하나 이상의 층들을 갖는 반도체 소재를 식각하는 데 CICE가 사용된다. 촉매 층은 상기 하나 이상의 층들 중의 하나이다. 촉매는 (전술한 바와 같이) 촉매의 촉매 활성을 변경하는 공정에 노출될 수 있을 것이다. 다음 역시 상기 하나 이상의 층들 중의 하나가 될 수 있을 것이다: Ru, Au, Pt, Pd, Ag, Cu, Ni, W, TiN, 그래핀, 카본, Cr, SiO2, 및 Ti.
하나의 실시예에서, Ru는 나노임프린트 리소그래피를 사용하여 패터닝되고 습식 식각을 사용하여 식각된다. 하나의 실시예에서, Ru CICE의 원치 않는 공극은 CICE 음극 반응에서 Ru의 촉매 활성을 변화시킴으로써 감소된다. 결과적인 최적 Ru CICE 공정이 도 22a-22f에 보이는데, 이는 다음 특징들을 구비하는데: (i) 미니 메시들: 실리콘 웨이퍼를 패터닝된 Ru로 전체를 덮는 대신 국부적 미니 메시가 사용되어 Ru가 음극 반응에 참여하는 면적을 감소시키고, (ii) Ar/CF4 플라즈마: 레지스트 디스컴(descum) 단계 동안의 플라즈마 화학반응 및 시간은 Ru 촉매 활성에 결정적 역할을 하는데, 산소 플라즈마에 대해 강화된 Ru 촉매 활성을 보이고 Ar/CF4 플라즈마와 산소를 포함하지 않은 다른 플라즈마 화학반응에는 약화된 활성을 보인다(Ar, Ar/H2 등의 레지스트 디스컴은 여전히 가능하다). 레지스트 식각 동안 Ru를 장시간(30 s) Ar/CF4 플라즈마에 노출시키면 결과적으로 Ru CICE가 향상됨으로써 Au CICE에 비교할 만한 특성들을 갖는 무공, 고 형상비 이방성 실리콘 식각을 생성한다.
도 22a-22f는 본 발명의 하나의 실시예에 따른, 디스컴 식각을 위한 장시간의 Ar/CFr 플라즈마를 사용하여 얻어진 Ru 미니 메시를 갖는 바람직한 CICE 결과들을 도시한다. 도 22a는 200 마이크로미터의 배율(magnification)에서 결함 없는(defect free) 실리콘 나노와이어를 보이는 톱다운 SEM 화상을 도시한다. 도 22b는 20 마이크로미터의 배율에서 결함 없는 실리콘 나노와이어를 보이는 톱다운 SEM 화상을 도시한다. 도 22c는 200 나노미터의 배율에서 결함 없는 실리콘 나노와이어를 보이는 톱다운 SEM 화상을 도시한다. 도 22d-22f는 균일한 결함 없는 Ru CICE를 보이는 미니 메시들 내의 다른 위치들에서 경사 단면 SEM 화상을 도시한다.
이제 CICE 식각 나노구조에서의 붕괴 방지(collapse-avoidance)에 관해 논의하는 것이 적절하다고 보인다.
CICE 식각 나노구조에서의 붕괴 방지에 관한 상세는, 그 전체로서 이 명세서에 참고로 포함된 A. Mallavarapu, P. Ajay, S.V. Sreenivasan, "붕괴의 시작 검출에 대한 정밀 실험을 사용하여 초고 형상비 실리콘 나노와이어의 구현(Enabling Ultra-High Aspect Ratio Silicon Nanowires Using Precise Experiments for Detecting Onset of Collapse)," Nano Letters 2020, 20 (11), 7896-7905와 함께, 그 전체로서 이 명세서에 참고로 포함된 Khorasaninejad, M.; Abedzadeh, N.; Singh Jawanda, A.; O, N.; Anantram, M. P.; Singh Saini, S. "실리콘 나노와이어 어레이의 집군 특성(Bunching Characteristics of Silicon Nanowire Arrays)," Journal of Applied Physics, Vol. 111, No. 4, 2012, 044328에 논의되고 있다.
하나의 실시예에서, CICE 식각 나노구조의 붕괴는 나노구조 선단(tip) 상의 "붕괴 방지 캡(collapse-avoiding caps)" 또는 "붕괴 방지 세부(collapse-avoiding features)"에 의해 지연 또는 방지(eliminate)될 수 있다. 하나의 실시예에서, 붕괴 방지 캡은 나노구조들 간의 정전기 척력(electrostatic repulsion)에 의해 붕괴를 방지한다. 다른 실시예에서, 붕괴 방지 캡은 플라즈마 식각으로 구성된 나노구조의 선단 상에 구비된다.
하나의 실시예에서, 과대한(over-sized) 나노와이어는 나노임프린트 리소그래피를 사용하고 금을 촉매로 하는 CICE롤 제조된다. 30 nm 미만의 갭(gap)으로 분리되는 나노와이어들은 도 23a-23c에 보인 바와 같이 종래의 붕괴 이론으로 예측된 것보다 훨씬 높은 높이에 대해 붕괴되지 않고 유지된다.
도 23a는 본 발명의 하나의 실시예에 따른, 나노와이어 붕괴 전의 최대 높이(마이크로미터) 대(versus) 직경(나노미터)의 그래프(plot)이다. 특히 도 23a는 횡방향 붕괴(lateral collapse) 이론 모델을 전전기 척력과 과대한 나노와이어 붕괴에 대한 금 레지스트(gold-resist) 캡 제거의 효과를 포함하도록 수정한 그래프이다. 도 23b는 본 발명의 하나의 실시예에 따른, 붕괴된 하전(charged) 나노와이어들의 쌍의 개략도이다. 도 23c는 본 발명의 하나의 실시예에 따른, 금 레지스트 캡을 제거한 후 과대한 실리콘 나노와이어들의 경사 단면 SEM 화상을 도시한다. 기준자는 1 마이크로미터이다.
데이터는 모델에서 설명되지 않은 와이어들 간의 작은 갭에 대한 추가적인 척력(repulsive forces)들이 존재할 수 있음을 암시하는데, 이는 관찰된(observed) 이례적으로 높은 임계 높이를 유발한다. 하나의 실시예에서, 나노와이어들 간의 이 척력들은 정전 효과에 기인할 가능성이 높아, 나노와이어들의 금 레지스트 캡 내 또는 실리콘 나노와이어 내부와 나노와이어 표면에 존재한다. 붕괴 방지 캡이 제거되면 임계 붕괴 높이는 도 23a-23c에 보인 바와 같이 접착 이론(adhesion theory) 예측값들에 가까운 값으로 저하된다. 과대한 나노와이어 붕괴의 관찰된 경향은 횡방향 붕괴 이론으로 예측된 경향과 불일치한다(나노와이어 붕괴 높이가 NW 직경의 증가에 따라 감소하는 대신 증가한다). 하나의 실시예에서, 정전 척력을 포함하는 이론적 붕괴 모델이 비붕괴(non-collapse)를 최대화하는 구조를 예측 및 설계하는 데 사용되었다. 이 모델에서, (그 전체로서 이 명세서에 참고로 포함된 Glassmaker, N J, A Jagota, C-Y Hui, and J Kim. "생체 모방 미소섬유 인터페이스의 설계: 1. 접점의 제조(Design of Biomimetic Fibrillar Interfaces: 1. Making Contact)." J. R. Soc. Interface 1, No. 1, November 22, 2004, pp. 23-33에서 논의된 바와 같은) 횡방향 붕괴 모델이 나노와이어들 상부 근처의 전하를 포함하도록 수정되었다. 하나의 실시예에서, 나노와이어(2301)들은 각각 나노와이어(2301)들의 상부 부근(이 분석에서 L c 이내 및 여기까지)에 위치하는 평균 용적 전하 밀도(average volumetric charge density; ρ c,avg )를 반송(carry)하는 것으로 가정된다. 횡방향 붕괴 모델에서, 나노와이어들 간의 접촉 영역의 접착 에너지와 탄성 변형의 추정은 접촉 폭의 연산을 요구한다. Johnson-Kendall-Roberts (JKR) 접착 이론이 외력에 노출되지 않은 2개의 동일한 원통들 간의 평형 접촉(equilibrium contact)을 예측하는 데 사용된다. 하나의 실시예에서, 이 모델은 단위 길이 당 외력(P)으로 정전기에 기인한 쿨롱 척력(coulombic repulsion)을 포함하도록 수정되어, 식 (1)의 갱신된 JKR 모델이 유도되는데:
Figure pct00003
(1)
여기서
Figure pct00004
P 는 단위길이(Lc) 당 외력, 접촉 길이 r c , 직경 2a, E* = E/(1- ν2), 탄성계수 E, 푸아송 비(Poisson's ratio) ν, 간격(spacing) 2w, 표면 에너지 γs, 그리고 ρc는 전하 밀도. P에 대한 표현은 근사값(approximate)으로 본 발명의 실시예들을 사용하여 수행한 실험들에서 보이는 경향을 포착하기에 적절하다.
식(1)을 r c 에 대해 수치적으로 푼 다음, 횡방향 붕괴 이론에 치환하여 붕괴 높이가 유도된다. 하나의 실시예에서, 금 레지스트 캡을 갖는 과대의 나노와이어들의 전하 밀도들 간의 확률론적 변동(stochastic variation)이 정규분포를 사용하여 포함된다. 이 새로운 모델에 기반하여 도 23a에서 실험적으로 관찰되는 것과 동일한 경향의 그래프를 달성하였다.
하나의 실시예에서, <30 nm의 갭들로 분리된 피치비(pitch ratio) >0.6의 직경을 갖는 실리콘 나노와이어들이 예기치 못하게 큰 나노와이어 높이에서 붕괴되지 않는 금 및 레지스트로 구성된 붕괴 방지 캡과 함께 사용된다.
본 발명의 원리들은 2가지 중요한 기여를 제공하는데: (1) 알려진 모델들로 예측된 것보다 최대 형상비에서 4.5X의 개선을 가능하게 하는 초고 형상비(ultra-high aspect ratio)의 붕괴하지 않는 실리콘 나노와이어를 달성하는 공정과, (2) 관찰된 실험 결과들에 부합하는, 정전 척력을 포함한 수정된 횡방향 붕괴 모델.
하나의 실시예에서, 붕괴 방지 캡은 다음 중 하나 이상을 포함하는데: 절연성, 반도체(semiconducting), 및 도전성 소재. 도전 방지 캡 소재 및 두께는 척력을 최대화하고 붕괴되지 않는 최고의 형상비를 달성할 수 있도록 최적화된다.
도 24, 25a-25d, 26a-26d, 27, 28a-28d, 29a-29b, 30, 301-30d, 및 31a-31d는 본 발명의 하나의 실시예에 따른, 붕괴 방지 캡을 갖는 임의의 자립형(free-standing) 고 형상비 나노구조를 제조하는 공정들을 보인다.
도 24를 참조하면, 도 24는 본 발명의 하나의 실시예에 따른, 붕괴 방지 캡을 갖는 임의의 자립형 고 형상비 나노구조를 제조하는 방법(2400)의 흐름도이다. 도 25a-25d는 본 발명의 하나의 실시예에 따른, 도 24에 기재된 단계들을 사용하는 붕괴 방지 캡을 갖는 임의의 자립형 고 형상비 나노구조를 제조하는 톱다운(top-down) 도면들을 도시한다. 도 26a-26d는 본 발명의 하나의 실시예에 따른, 도 24에 기재된 단계들을 사용하는 붕괴 방지 캡을 갖는 임의의 자립형 고 형상비 나노구조를 제조하는 단면도들을 도시한다.
도 25a-25d 및 26a-26d에 연계하여 도 24를 참조하면, 단계 2401에서 리소그래피 소재 스택(stack)(2601)이 도 25a 및 26a에 보인 바와 같이 기판(2602) 상에 패터닝된다. 하나의 실시예에서, 리소그래피 소재 스택(2601)은 붕괴 방지 소재를 포함한다.
단계 2402에서, 도 25b 및 26b에 보인 바와 같이 촉매(1603)가 소재 스택(1601) 상에 적층된다.
단계 2403에서, 도 25a 및 26b의 구조가 MACE 용액에 침지되어 도 25c 및 26c에 보인 구조로 결과되는 CICE가 수행된다. 하나의 실시예에서, 패터닝된 웨이퍼가 12.5 몰의 HF와 1 몰의 H2O2의 MAC 용액에 침지된다. 하나의 실시예에서, 식각은 웨이퍼 내에 퀘엔칭되고(quenched), 이어서 물로 세척되어(rinsed) 청정한 건조 공기(clean dry air; CDA)를 공급하는 에어건으로 건조될 수 있다.
단계 2404에서, 촉매(2603)는 도 25d 및 26d에 보인 바와 같이 선택적으로 제거된다. 하나의 실시예에서, (예를 들어 금 촉매 등의) 촉매(2603)가 TranseneTM사의 요오드화칼륨(potassium iodide) 기반 금 식각액을 사용하여 선택적으로 제거될 수 있다. 나머지 레지스트는 짧은(short) 산소 플라즈마를 사용하여 선택적으로 제거될 수 있다.
이제 도 27을 참조하면, 도 27은 본 발명의 하나의 실시예에 따른, 붕괴 방지 캡을 갖는 임의의 자립형 고 형상비 나노구조를 제조하는 대체적인 방법(2700)의 흐름도이다. 도 28a-28d는 본 발명의 하나의 실시예에 따른, 도 27에 기재된 단계들을 사용하는 붕괴 방지 캡을 갖는 임의의 자립형 고 형상비 나노구조를 제조하는 평면도들을 도시한다. 도 29a-29d는 본 발명의 하나의 실시예에 따른, 도 27에 기재된 단계들을 사용하는 붕괴 방지 캡을 갖는 임의의 자립형 고 형상비 나노구조를 제조하는 단면도들을 도시한다.
도 28a-28d 및 29a-29d에 연계하여 도 27을 참조하면, 단계 2701에서 리소그래피 소재 스택(2901)이 도 28a 및 29a에 보인 바와 같이 기판(2902) 상에 패터닝된다. 하나의 실시예에서, 리소그래피 소재 스택(2901)은 붕괴 방지 소재를 포함한다.
단계 2702에서, 도 28b 및 29b에 보인 바와 같이 나노구조에 플라즈마 식각이 수행되어 도 28b 및 29b의 구조로 결과된다.
이제 도 30을 참조하면, 도 30은 본 발명의 하나의 실시예에 따른, 붕괴 방지 캡을 갖는 임의의 자립형 고 형상비 나노구조를 제조하는 대체적인 방법(3000)의 흐름도이다. 도 31a-31d는 본 발명의 하나의 실시예에 따른, 도 27에 기재된 단계들을 사용하는 붕괴 방지 캡을 갖는 임의의 자립형 고 형상비 나노구조를 제조하는 평면도들을 도시한다. 도 32a-32d는 본 발명의 하나의 실시예에 따른, 도 30에 기재된 단계들을 사용하는 붕괴 방지 캡을 갖는 임의의 자립형 고 형상비 나노구조를 제조하는 단면도들을 도시한다.
도 31a-31d 및 32a-32d에 연계하여 도 30을 참조하면, 단계 3001에서 촉매(3201)가 도 31a 및 32a에 보인 바와 같이 기판(3202) 상에 패터닝된다.
단계 3002에서, 도 31b 및 32b에 보인 바와 같이 붕괴 방지 소재(3203)가 비 촉매 지역들에 패터닝된다.
단계 3003에서, 도 31a 및 32b의 구조가 MACE 용액에 침지되어 도 31c 및 31c에 보인 구조로 결과되는 CICE가 수행된다. 하나의 실시예에서, 패터닝된 웨이퍼가 12.5 몰의 HF와 1 몰의 H2O2의 MAC 용액에 침지된다. 하나의 실시예에서, 식각은 웨이퍼 내에 퀘엔칭되고, 이어서 물로 세척되어 청정한 건조 공기(CDA)를 공급하는 에어건으로 건조될 수 있다.
단계 3004에서, 촉매(3201)는 도 31d 및 32d에 보인 바와 같이 선택적으로 제거된다. 하나의 실시예에서, (예를 들어 금 촉매 등의) 촉매(3201)가 TranseneTM사의 요오드화칼륨(potassium iodide) 기반 금 식각액을 사용하여 선택적으로 제거될 수 있다. 나머지 레지스트는 짧은(short) 산소 플라즈마를 사용하여 선택적으로 제거될 수 있다.
도 24, 25a-25d, 26a-26d, 27, 28a-28d, 29a-29b, 30, 301-30d, 및 31a-31d에 관련하여, 패터닝된 지역의 하나 이상의 영역들에서 고 형상비 나노구조들 간의 간격은 200 nm 미만이다. 다른 실시예에서, 이 간격은 50 nm 미만이다.
붕괴 방지와의 공정 통합은 논의되지 않을 것이다.
하나의 실시예에서, 붕괴 방지 캡은 트랜지스터의 실리콘 핀(fin), DRAM 캐패시터의 적층된(stacked) 나노필라(nanopillar), 나노 DLD의 실리콘 나노와이어, 메타렌즈(metalens)의 실리콘 나노구조, 3D 플래시 및 멤리스터(memristor)의 다층 교번 스택 등 초고 형상비 나노구조를 가능하게 할 수 있다. 하나의 실시예에서, 이 (임의 소재의) 나노구조들은 붕괴 방지 캡을 식각 마스크로 한 플라즈마 식각을 사용하여 식각될 수 있다. 다른 실시예에서, 나노구조들은 반도체 소재로 제조되어 CICE로 식각될 수 있는데, 붕괴 방지 캡은 패터닝된 촉매로 점유되지 않은 지역들에 위치된다.
붕괴 방지 캡의 제거는 초고 종횡비 나노구조의 붕괴로 결과될 수 있다. 하나의 실시예에서, 붕괴 방지 캡의 제거 전에 초고 형상비 나노구조를 안정시키기 위한 후속 공정 단계의 통합이 수행된다.
하나의 실시예에서, 붕괴 방지 캡의 제거 전에 나노구조 둘레의 영역에 소재가 적층된다.
CMOS 디바이스에 관해서는, CMOS 스케일링(scaling)이 반도체 산업에 채택되어 전형적으로 트랜지스터 밀도를 증가시킴으로써 칩 성능을 향상시키고, 전력 소비를 절감시키며, 기능을 강화시킨다. 이 스케일링은 새로운 기술 노드(technology node)를 18개월 내지 2년마다 발표(release)함으로써 이뤄진다. 트랜지스터 밀도는 게이트 길이, 게이트 산화물 두께, 스페이서 두께 등 트랜지스터의 크기를 축소시킴으로써 증가된다. 세부 크기가 축소됨에 따라 고 k 유전체, 금속 게이트, 변형 공학(strain engineering), 및 저 k 스페이서 유전체 등의 새로운 기술들이 평면 또는 인입된(recessed) 트랜지스터와 함께 채택되어 왔다. 그러나 트랜지스터 당 면적의 축소에도 불구하고 정전(특성)을 향상시키기 위해, FinFET 형태의 3D 스케일링이 도입되었다. 최소 측벽 손상을 갖고 붕괴가 없는 높고 얇은 핀을 제조하는 공정은 크기가 20 nm 미만으로 축소됨에 따라 난제가 되어왔다. 10 nm 미만 노드에 대해서는, 수평 나노시트 및 나노와이어를 사용하여 정전(특성)을 향상시키는 획기적인 방법이 제안되었다.
더 높은 핀 및/또는 더 많은 수로 적층된 나노시트 및 나노와이어들은 예를 들어 칩의 성능을 향상시키고 많은 노드들에 대한 스케일링을 가능하게 할 수 있다. CMOS 디바이스 제조 동안의 플라즈마 식각 또는 CICE를 사용한 붕괴 방지 캡의 사용은 상당한 붕괴(substantial collapse)를 방지하면서 초고 형상비 나노구조를 가능하게 할 수 있다. 붕괴 방지 캡의 제거는 초고 형상비 나노구조의 붕괴로 결과될 수 있다. 하나의 실시예에서, 붕괴 방지 캡 제거 전에 초고 형상비 나노구조를 안정시키는 후솟 공정 단계들의 통합이 수행된다. finFET들의 제조를 위한 붕괴 방지 캡의 통합과 그 제거에 대한, CICE를 사용한 예시적인 공정 흐름이 도 33 및 34a-34g에 연계하여 이하에 논의된다.
도 33은 본 발명의 하나의 실시예에 따른, CICE를 사용하여 붕괴 방지 캡을 갖는 finFET를 제조하는 방법(3300)의 흐름도이다. 도 34a-34g, 35a-35g, 및 36a-36g는 본 발명의 하나의 실시예에 따른, 도 33에 기재된 단계들을 사용하여, CICE를 사용하여 붕괴 방지 캡을 갖는 finFET를 제조하는 다른 도면들을 도시한다.
도 34a-34g, 35a-35g, 및 36a-36g에 연계하여 도 33을 참조하면, 단계 3301에서 핀(3601)들이 도 34a, 35a, 및 36a에 보인 바와 같이 붕괴 방지 캡(3602)들을 갖는 기판(3603) 상에 식각된다.
단계 3302에서, 산화물(3604)이 트렌치(trench)들(핀(3601)의 반대측)에 채워지고, 이는 이어서 도 34b, 35b, 및 36b에 보인 바와 같이 에치백(etch back)된다.
단계 3303에서, 도 34c, 35c, 및 36c에 보인 바와 같이 붕괴 방지 캡(3602)이 제거된다.
단계 3304에서, 산화물(3604)이 도 34d, 35d, 및 36d에 보인 바와 같이 더미(dummy) 게이트 패턴(3605)을 통하는 등으로 패터닝된 구역들에서 에치백된다.
단계 3305에서, 도 34e, 35e, 및 36e에 보인 바와 같이 더미 게이트(3605)가 캐패시터 소재(3606) 등으로 채워진다.
단계 3306에서, 도 34g, 35g, 및 36g에 보인 바와 같이 소스 및 드레인 영역(3607)들에 적층하기 위해 산화물(3604)의 특정한 구역들에 얄은 트렌치 분리가 수행된다.
단계 3307에서, 도 34h, 35h, 및 36h에 보인 바와 같이 금속 게이트 대체물 및 고 k 유전체 적층이 수행된다.
방법(3300)의 이들 및 다른 단계들에 대한 추가적인 설명이 이하에 제공된다.
하나의 실시예에서, 핀들은 나노시트 FET를 제조하는 소재의 교번하는 층들로 구성된다. 다른 실시예에서, 붕괴 방지 캡을 갖는 고 형상비의 나노구조가 DRAM 아키텍처에서 적층된 캐패시터 구조들(stacked capacitor geometries)이 붕괴되는 것을 방지하는 데 사용되는데, 여기서 붕괴 방지 캡의 제거에 앞서 고 형상비의 나노구조의 영역들 둘레에 캐패시터 소재 또는 더미 소재가 적층된다.
하나의 실시예에서, 얄은 트렌치 분리(shallow trench isolation; STI) 산화물의 식각이 증기 HF를 사용하여 수행된다. HF를 사용한 적층된 산화물의 식각은, 그 전체로서 이 명세서에 참고로 포함된 Wong, Man, Mehrdad M. Moslehi, and Robert A. Bowling. "기상 HF 산화물 식각의 웨이퍼 온도 의존성(Wafer Temperature Dependence of the Vapor-Phase HF Oxide Etch)." Journal of the Electrochemical Society, Vol. 140, No. 1, 1993, page 205에 논의된 바와 같이 온도 의존적이다. 하나의 실시예에서, 웨이퍼 온도가 STI 산화물 식각속도의 공간적 변동을 제어하는 제어변수(knob)로 사용된다. 식각의 공간적 변동 제어는 분광 광도 측정에 기반한 것 등의 현장 실시간 또는 오프라인 기능 계측 시스템과 열전냉각기(thermoelectric cooler) 또는 디지털 미세거울 디바이스 등의 열 액추에이터들로 구현될 수 있을 것이다.
나노(nano-) (결정론적)횡변위(deterministic lateral displacement; DLD) 디바이스에 관해, 하나의 실시예에서, 붕괴 방지 캡의 제거에 앞서 붕괴 방지 캡을 갖는 고 형상비의 나노구조 둘레에 소재를 적층하는 데 폴리머가 사용된다. 덮개 판(cover plate)이 나노구조의 선단(tip)에 양극 접합(anodically bond)된 다음, 고 형상비의 나노구조 둘레로부터 소재가 제거된다. 덮개 판의 접합을 보이는 실시예들은 도 37, 38a-38b, 39, 40a-40c, 41, 및 42a-42e에 도시되어 있다.
도 37은 본 발명의 하나의 실시예에 따른, 덮개 판의 접합 방법(3700)의 흐름도이다. 도 38a-38b는 본 발명의 하나의 실시예에 따른, 도 37에 기재된 단계들을 사용한 덮개 판 접합의 단면도들을 도시한다.
도 38a-38b에 연계하여 도 37을 참조하면, 단계 3701에서 도 36a에 보인 바와 같이 핀(3801)들이 붕괴 방지 캡(3802)을 갖는 기판(3803) 상에 식각된다.
단계 3702에서, 도 38b에 보인 바와 같이 덮개 판(3804)이 붕괴 방지 캡(3802)에 접합된다. 하나의 실시예에서, 덮개 판(3804)이 붕괴 방지 캡(3802)을 갖는 고 형상비의 나노구조에 접합되는데, 여기서 접합은 양극 접합을 사용하여 수행된다.
도 39는 본 발명의 하나의 실시예에 따른, 덮개 판의 다른 접합 방법(3900)의 흐름도이다. 도 40a-40c는 본 발명의 하나의 실시예에 따른, 도 39에 기재된 단계들을 사용한 덮개 판 접합의 단면도들을 도시한다.
도 40a-40c에 연계하여 도 39를 참조하면, 단계 3901에서 도 40a에 보인 바와 같이 핀(4001)들이 붕괴 방지 캡(4002)을 갖는 기판(4003) 상에 식각된다.
단계 3902에서, 덮개 판의 접합에 앞서 도 40b에 보인 바와 같이 (예를 들어 산화실리콘 등의) 접합 지원 소재(4004)가 붕괴 방지 캡(4002) 상에 적층된다.
단계 3903에서, 도 40c에 보인 바와 같이 덮개 판(4005)이 접합 지원 소재(4004)에 접합된다. 하나의 실시예에서, 덮개 판(4005)은 접합 지원 소재(4004)를 통해 붕괴 방지 캡(4002)을 갖는 고 형상비의 나노구조에 접합된다.
도 41은 본 발명의 하나의 실시예에 따른, 덮개 판의 대체적인 접합 방법(4100)의 흐름도이다. 도 42a-42e는 본 발명의 하나의 실시예에 따른, 도 41에 기재된 단계들을 사용한 덮개 판 접합의 단면도들을 도시한다.
도 42a-42e에 연계하여 도 41을 참조하면, 단계 4101에서 도 42a에 보인 바와 같이 핀(4201)들이 붕괴 방지 캡(4202)을 갖는 기판(4203) 상에 식각된다.
단계 4202에서, (핀(4201)들의 반대측의) 트렌치들에 소재(4204)가 채워진 다음, 도 42b에 보인 바와 같이 에치백된다.
단계 4103에서, 도 42c에 보인 바와 같이 붕괴 방지 캡(4202)이 에치백된다.
단계 4104에거 도 42d에 보인 바와 같이 덮개 판(4205)이 핀(4201)들에 접합된다. 하나의 실시예에서, 덮개 판(4205)이 고 형상비의 나노구조들에 접합되는데, 여기서 나노구조들 간에 소재(4204)가 제공(단계 4102 참조)된 후 붕괴 방지 캡(4202)이 제거된다(단계 4103 참조).
단계 4105에서, 도 42e에 보인 바와 같이 소재(4204)가 제거된다. 하나의 실시예에서, 소재(4204)는 폴리비닐알코올(poly vinyl alcohol; PVA)이고 물을 사용하여 제거된다.
MEMS, 메타렌즈, 및 광학 디바이스 등의 다른 디바이스들에 관해서는 붕괴 방지 캡의 사용이 메타렌즈, MEMS 디바이스, 수직 정렬된 나노와이어 센서, SERS 기판의 나노와이어 등, 초고 형상비의 붕괴 없는 자립형(free-standing) 나노구조를 가능하게 할 수 있다. MEMS 디바이스에서의 세부 해제(feature release) 단계에서 세부가 붕괴되는 응용분야에 대해, 붕괴 후 세부가 접촉할 측벽에 붕괴 방지 소재가 제공되어 세부 해제 단계 동안의 붕괴를 방지할 수 있다.
CICE와의 공정 통합에 관해서는, 하나의 실시예에서 CICE 이후 촉매가 제거되지 않는다. 하나의 실시예에서, 실리콘 핀들이 Ru를 사용한 CICE에 의해 촉매로 제조된다. Ru는 CICE 후에도 제거되지 않는데 - 대신 finFET 디바이스의 얄은 트렌치 격리(STI)에 사용되는 산화실리콘 등의 절연 소재로 덮이고, finFET를 위한 추가적 공정들이 계속된다. 다른 실시예에서, DRAM 트렌치 캐패시터에 대한 CICE 후 촉매가 제거되지 않는다.
나노 DLD 디바이스를 위해, 금을 촉매로 한 CICE를 사용하여 제조된 실리콘 나노필라 어레이에서 금은 CICE 후 제거되지 않는다. 나노필라 어레이 바닥의 금은 필요하다면 원하는 소재로 덮일 수 있다;
이제 조정 가능한(tunable) 식각 깊이의 CICE 공정에 관해 논의하기에 적절한 듯하다.
이 명세서에 기재된 조정 가능한 식각 깊이 공정의 하나의 실시예에서, 공정은 아래 개괄하는 몇 개의 단계들을 포함하는데:
촉매 패터닝 공정이 먼저 완료되는데(단계 1), 이 패터닝은 다음을 포함하는 몇 가지 방법으로 수행될 수 있다:
(a) 도 43, 44a-44c, 45, 36a-45c, 47, 48a-48c, 49, 50a-50d, 51a-51b, 및 52a-52b에 보인 촉매 파단 공정(catalyst break process)의 사용.
(b) 도 53 및 54a-54h에 보인 촉매 식각 공정의 사용.
(c) 다음 참고문헌들에 논의된 촉매 박리 공정(catalyst lift-off process)의 사용. 이 명세서에 그 전체로서 참고들로 포함된 Romano, Lucia, Matias Kagias, Joan Vila-Comamala, Konstantins Jefimovs, Li-Ting Tseng, Vitaliy A. Guzenko, and Marco Stampanoni. "기상에서의 실리콘의 금속 지원 화학적 식각: X선 광학계의 나노제조(Metal Assisted Chemical Etching of Silicon in the Gas Phase: A Nanofabrication Platform for X-Ray Optics)." Nanoscale Horizons 5, No. 5, 2020, pp. 869-879와 Kim, Jeong Dong, Parsian K Mohseni, Karthik Balasundaram, Srikanth Ranganathan, Jayavel Pachamuthu, James J Coleman, and Xiuling Li. "MacEtch에 의한 나노스케일로 밀접하게 패킹된 실리콘 전극의 형상비 스케일링: 캐리어 생성과 질량 이동의 동역학(Scaling the Aspect Ratio of Nanoscale Closely Packed Silicon Vias by MacEtch: Kinetics of Carrier Generation and Mass Transport)." Adv. Funct. Mater., February 1, 2017.
다음(단계 2), CICE 공정이 바로 개시되지 않거나 CICE 공정이 소정 값의 균일한 식각 깊이를 달성한다는 목표로 부분적 식각을 생성하도록 개시된다.
다음(단계 3), CICE 식각액 내식성 소재의 층 내의 개구(opening)들의 소정의 패턴이, 아직 CICE 식각되지 않았거나 CICE를 사용하여 부분적으로 식각된 패터닝된 CICE 촉매의 상부 상에 전개되도록 생성된다. CICE 식각액 내식성 소재의 층 내의 개구의 소정의 패턴은 다음 방법들 중의 하나로 생성될 수 있다:
(a) Spin coat a photo레지스트 such as g-line 레지스트, 아이라인(i-line) 레지스트, KrF 레지스트, ArF 레지스트, ArF 침지(immersion) 레지스트, 또는 EUV 레지스트 등의 포토레지스트를 스핀코팅하고(spin coat) 관련 사진식각(photolithography) 공정을 사용하여 이 포토레지스트를 패터닝한다.
(b) 폴리메틸메타클릴레이트(poly methyl methacrylate; MMA) 등의 전자빔 레지스트를 스핀코팅하고 전자빔 리소그래피 단계를 사용하여 이를 패터닝한다.
(c) 폴리메틸메타클릴레이트(MMA) 또는 스핀온 카본(spin-on carbon; SOC) 소재 등의 폴리머 소재를 스핀코팅하고 전술한 포토레지스트 또는 전자빔 레지스트 소재를 이미징 층(imaging layer)으로 사용하여 PMMA 또는 SOC로 식각한다.
(d) (예를 들어 화학적 기상 증착 또는 원자층 증착 또는 물리적 기상 증착에 의해) 카본 또는 산화알루미늄을 진공 증착하고, 이어서 전술한 포토레지스트 또는 전자빔 레지스트를 사용하여 이 층을 패터닝함으로써 상기 카본 층에 개구들의 소정의 집합을 생성한다.
(e) 폴리머 또는 (그래핀 플레이크(graphene flake) 등의) 카본 기반 소재의 영역들을 잉크젯(inkjet) 분사하거나 폴리머 또는 카본 기반 소재를 플라즈마젯(plasma jet) 분사하여 웨이퍼 상애 부분적으로 덮인 영역들을 생성함으로써 이 CICE 식각액 내식성 소재에 개구들의 소정 집합을 생성한다.
다음 선택적 단계(단계 4)는 단계 3에서 소정의 패턴이 생성된 다음 노출된 촉매 소재 영역들을, 그 전체로서 이 명세서에 참고로 포함된 국제공보 제WO 2020/176425호에 논의된 바와 같은 Au, Ru, Pd, Pt 등의 촉매에 대한 습식 식각액의 사용을 포함하여 제거하는 단계를 포함할 수 있다.
이 소정의 패턴은 단계 1에서 논의된 소정 패터닝된 촉매 구조, 및 단계 2에서 논의된 부분적으로 식각된 구조로의 CICE 식각액 소재의 제어된 흐름이 가능하도록 선택된다.
도 43을 참조하면, 도 43은 본 발명의 하나의 실시예에 따른, 사진식각법을 사용하여 금에 금속 파단(metal-break)을 생성하는 방법(4300)의 흐름도이다. 도 44a-44c는 본 발명의 하나의 실시예에 따른, 도 43에 기재된 단계들을 사용하여 금에 금속 파단을 생성하는 단면들을 도시한다.
도 44a-44c에 연계하여 도 43을 참조하면, 단계 4301에서 도 44a에 보인 바와 같이 소재(4402)가 기판(4401) 상에 적층된다.
단계 4302에서, 도 44b에 보인 바와 같이 소재(4402)가 패터닝되어 필라(pillar)들을 형성한다.
단계 4303에서, 도 44c에 보인 바와 같이 촉매(4403)가 소재(4402) 상과 트렌치(필라들 간의 개구)들 내에 적층된다.
도 45를 참조하면, 도 45는 본 발명의 하나의 실시예에 따른, 금속 파단 층을 갖는 광/전자빔(photo/e-beam) 리소그래피를 사용하여 금에 금속 파단을 생성하는 방법(4500)의 흐름도이다. 도 46a-46c는 본 발명의 하나의 실시예에 따른, 도 45에 기재된 단계들을 사용하여 금에 금속 파단을 생성하는 단면도들을 도시한다.
도 46a-46c에 연계하여 도 45를 참조하면, 단계 4501에서 도 46a에 보인 바와 같이 기판(4401) 상에 위치한 금속 파단 층(4602) 상에 소재(4601)가 적층된다.
단계 4502에서, 도 46b에 보인 바와 같이 소재(4601)와 금속 파단 층(4602)이 패터닝되어 필라들을 형성한다.
단계 4503에서, 도 446c에 보인 바와 같이 촉매(4604)가 소재(4601) 상과 트렌치(필라들 간의 개구)들 내에 적층된다.
도 47을 참조하면, 도 47은 본 발명의 하나의 실시예에 따른, 금속 파단 층을 갖는 나노임프린트 리소그래피를 사용하여 금에 금속 파단(부)을 생성하는 방법(4700)의 흐름도이다. 도 48a-48c는 본 발명의 하나의 실시예에 따른, 도 47에 기재된 단계들을 사용하여 금에 금속 파단을 생성하는 단면들을 도시한다.
도 48a-48c에 연계하여 도 47을 참조하면, 단계 4701에서 도 48a에 보인 바와 같이 기판(4803) 상에 위치한 금속 파단 층(4802) 상에 소재(4801)가 적층된다. 도 48a에서 또한 보이듯, 소재(4801)는 도 48a에 보인 바와 같이 필라들을 형성하는 방식으로 식각된다.
단계 4702에서, 소재(4801)와 금속 파단 층(4802)은 도 48b에 보인 바와 같이 패터닝되어 구조(4804)를 형성한다.
단계 4703에서, 도 48c에 보인 바와 같이 촉매(4805)가 구조(4804)들 위와 구조(4804)들 간의 개구들 내에 적층된다.
도 49를 참조하면, 도 49는 본 발명의 하나의 실시예에 따른, 금속 파단 층을 갖는 나노임프린트 리소그래피를 사용하여 금에 금속 파단을 생성하는 대체적인 방법(4900)의 흐름도이다. 도 50a-50d는 본 발명의 하나의 실시예에 따른, 도 49에 기재된 단계들을 사용하여 금에 금속 파단을 생성하는 단면들을 도시한다.
도 50a-50d에 연계하여 도 49를 참조하면, 단계 5001에서 도 50a에 보인 바와 같이 기판(5003) 상에 위치한 금속 파단 층(5002) 상에 소재(5001)가 적층된다. 도 50a에서 또한 보이듯, 소재(5001)는 도 50a에 보인 바와 같이 필라들을 형성하는 방식으로 식각된다.
단계 4902에서, 소재(5001)와 금속 파단 층(5002)은 도 50b에 보인 바와 같이 패터닝되어 컵형(cup-like) 구조(5004)를 형성한다.
단계 4903에서, 소재(5001)와 금속 파단 층(5002)은 도 50c에 보인 바와 같이 패터닝되어 구조(5005)들을 형성한다. 하나의 실시예에서, 도 51a-51b에 보인 바와 같이 금속 파단 층(5002)의 더 긴 식각이 수행되는데, 여기서 도 51a는 본 발명의 하나의 실시예에 따라 형성된 결과적인 구조를 도시하고, 도 51b는 본 발명의 하나의 실시예에 따라 이러한 식각 수행 후의 금속 파단 층(5002)의 SEM 화상을 도시한다. 하나의 실시예에서, 금속 파단 층(5002)의 최적화된 식각이 도 52a-52b에 보인 바와 같이 수행되는데, 여기서 도 52a는 본 발명의 하나의 실시예에 따라 형성된 결과적인 구조를 도시하고, 도 52b는 본 발명의 하나의 실시예에 따라 이러한 식각 수행 후의 금속 파단 층(5002)의 SEM 화상을 도시한다.
도 50a-50d에 연계하여 다시 도 49로 돌아가면, 단계 4904에서 도 50d에 보인 바와 같이 촉매(5006)가 구조(5005)들 위와 구조(5005)들 간의 개구들 내에 적층된다.
도 53을 참조하면, 도 53은 본 발명의 하나의 실시예에 따른, 루테늄을 사용하는 패터닝 및 MACE 방법(5300)의 흐름도이다. 도 54a-54h는 본 발명의 하나의 실시예에 따른, 도 53에 기재된 단계들을 사용하는, 루테늄을 사용하는 패터닝 및 MACE의 단면도들이다.
도 54a-54h를 참조하여 도 53을 참조하면, 단계 5301에서 도 54a-54b에 보인 바와 같이 Ru(5401)가 기판(5402)((예를 들어 실리콘 기판) 상에 적층된다.
단계 5302에서, 소재(5403)가 Ru(5401) 상에 적층되고 도 54c에 보인 바와 같이 임프린트 리소그래피 등을 통해 패터닝됨으로써 구조들을 형성한다.
단계 5303에서, 소재(5403)의 잔류 층 식각(디스컴; descum)이 수행되어 도 54d에 보인 바와 같이 필라들을 형성한다.
단계 5304에서, Ru(5401)가 도 54e에 보인 방식으로 식각되는데, 여기서 필라들 간의 개구들에 위치한 Ru(5401)가 식각된다.
단계 5305에서, 소재(5403)(예를 들어 레지스트)가 도 54f에 보인 바와 같이 제거된다.
단계 5306에서, 도 54f의 구조가 MACE 용액에 침지되어 도 54g에 보인 구조로 결과된다.
단계 5307에서, Ru(5401)가 제거되어 도 54h에 보인 바와 같은 구조로 결과된다.
도 55a-55c는 본 발명의 하나의 실시예에 따른, 변동하는 핀 높이의 영역들을 갖는 예시적 디바이스를 보인다. 하나의 실시예(도 55a)에서, 촉매 박막(catalyst film; 5501)은 벌크 실리콘(bulk silicon; 5502)의 천이 영역(transition region; 5503)에 걸쳐 연속적이다. 다른 실시예(도 55b)에서, 촉매 박막(5501)이 천이 영역(5503) 부근에 존재하지 않는다. 이는 변동 높이 영역들에 걸쳐 효율적으로 해자(moat)들을 생성한다. 다른 실시예(도 55c)에서, 촉매 박막(5501)이 패터닝되어 천이 영역(5503) 부근에 구불구불한(serpentine) 패턴(5504) 등의 신축성(stretchable) 구조의 형태가 된다. 이러한 구조(구불구불한 패턴(5504))에서, 변형이 천이 영역(5503) 부근에서 감소된다.
하나의 실시예에서, 도 55d는 본 발명의 하나의 실시예에 따른, 도 55a-55c의 핀들의 변동 높이 영역들의 폭(W)과 높이(H)를 도시한다. 하나의 실시예에서, 핀들의 변동 높이 영역들의 폭(W)과 높이(H)는 100 μm 미만이다. 하나의 실시예에서, 위 공정이 영역 B에 인접한 영역 A를 식각하는 데 사용되는데, 여기서 영역 B는 모두 크기가 1 마이크로미터보다 큰 세부들을 갖는다. 하나의 실시예에서, 위 공정이 영역 B에 인접한 영역 A를 식각하는 데 사용되는데, 여기서 영역 B는 모드 크기가 0.5 마이크로미터보다 큰 세부들을 갖는다.
조정 가능한 식각 깊이 제어는, 그 전체로서 이 명세서에 참고로 포함된 국제공보 제WO 2020/176425호에 논의된 바와 같은 다음 제어 파라미터들 중의 하나 이상에 의해 달성되는데
1. 전술한 소정의 패턴,
2. 반도체 기판으로의 식각 속도에 영향을 미치는 소정의 CICE 식각액 조성,
3. 웨이퍼에 걸친 촉매 조성의 소정의 변동,
4. 기판의 전체적 및 국부적 온도,
5. 실시간으로 달성된 식각의 수준을 감지하거나 오프라인 감지 방식의 국부적 및 전체적 광학 측정 신호를 선택적으로 사용하면서, 기판에 인가되는 전체적 및 국부적 전계.
조정 가능한 식각 깊이 구조의 적용은: 마이크로 및 나노 DLD 디바이스 등의 연속적(cascading) 마이크로 및 나노유체 디바이스의 달성에 필요한 가변-식각-깊이 나노구조와; 가변-식각-높이 핀들 및 이에 따라 다른 높이의 핀들을 갖는 집적회로의 다른 영역들을 갖는 트랜지스터 구조와; 나노시트를 생성하면서 가변-식각-높이로 다른 수의 이산된 나노시트들을 갖는 집적회로의 다른 영역들을 갖는 나노시트를 포함하지만 이에 한정되지는 않는다.
플라즈마 식각으로 구성된 고 형상비 사각형 실리콘 핀이 트랜지스터 제조에 사용된다. 플라즈마 식각의 성질 때문에, 핀 측벽들이 테이퍼가 져(tapered) 사각형 입방체에 대조되는 사다리꼴 각기둥(trapezoidal prism) 구조를 생성한다. 이 테이퍼가 핀 높이를 유지하거니 증가시키면서 핀 폭 및 핀 피치를 수축시킬 능력을 제한한다. 예를 들어, "14 nm" 기술 노드의 FinFET는 ~85°의 테이퍼 각, 24 nm의 물리적 반피치(Half Pitch; HP), 및 48 nm의 피치를 갖는다. 이 기술 노드의 비율을 물리적 반피치에 사용하면, 다른 테이퍼 각에 대해 가능한 최대 핀 높이가 도 56에 작도되는데, 여기서 임계 높이는 최대 핀 높이 = 0.5 * HP * tan(테이퍼 각)으로 연산된다. 100 nm의 핀 높이가 얕은 트렌치 격리(Shallow Trench Isolation; STI)에 사용되고 이에 따라 활성 finFET의 일부가 아니다. 도 56은 본 발명의 하나의 실시예에 따른, 최대 핀 식각 높이에 대한 식각 테이퍼 각의 영향의 그래프(5600)이다.
도 56은 본 발명의 하나의 실시예에 따른, 다른 기술 노드들에서 최대 달성 가능한 핀 높이에 대한 식각 테이퍼 각의 영향을 도시한다. 도 56에 보인 바와 같이, 식각 테이퍼가 없으면(90° 테이퍼 각) 임의로 높은 높이를 갖는 핀들이 가능하게 된다.
뿐만 아니라, 핀의 형상비를 증가시키기 위한 수직 테이퍼 없는(예를 들어 MACE)의 스케일링 잠재성(scaling potential)을 보인다. CMOS 호환 Ru MACE에 의한 직사각형 핀들의 제조와 함께 초고 형상비 핀 붕괴 관리의 방법들을 이하에 기술한다.
최적화된 CMOS 호환 Ru 미니 메시 MACE는 트랜지스터의 사각형 나노핀(nanofin) 등의 주문형(application-specific) 형상으로 확장될 수 있다. 이는 사각형 단면의 필라에 대해 입증될 수 있는데, 여기서는 원형 나노필라에 대해 얻어진 결과와 마찬가지로, Ru MACE로 원하는 무공성 실리콘 식각을 달성하기 위해 미니 메시와 촉매 플라즈마 변경이 요구된다. 도 57a-57b에 보인 바와 같이 Ru의 표면 피복(coverage) 효과는 결정적이다. 도 57a-57b는 본 발명의 하나의 실시예에 따른, 12.5M HF 및 1M H2O2에 의한 Ar/CF4 디스컴(descum) 및 20 s의 MacEtch(금속 지원 화학적 식각)에 대한 Ru MACE 식각품질의 미니 메시 공간 밀도의 영향을 도시한다.
도 57a는 0.192의 공간 밀도를 도시하는 반면, 도 57b는 0.264의 공간 밀도를 도시한다. 표준적인 Ru 패턴은 1의 공간 밀도, 즉 전체 실리콘 표면이 Ru로 피복된다.
Ar/CF4 디스컴으로 처리된 샘플 상의 Ru MACE에 있어서, Ru 표면 피복(또는 미니 메시 공간 밀도)은 식각된 세부들에 공극을 유발한다. 미니 메시가 없는 표준적인 Ru MACE는 1의 표면 피복 범위, 즉 전체 실리콘 웨이퍼가 패터닝된 Ru로 피복되는데, 이 샘플들은 식각 후 유공성 실리콘을 보인다. 0.192의 공간 밀도를 갖는 미니 메시(256 μm의 직경과 585 μm의 피치)는 고 형상비 나노구조 식각을 보이는 반면, 0.264의 공간 밀도를 갖는 것(256 μm의 직경과 507 μm의 피치)은 유공성 실리콘을 보인다. 피치는 제트 앤 플래시 임프린트 리소그래피(Jet and Flash Imprint Lithography) 동안의 잉크젯 액적 패턴의 변경으로 변동된다.
다른 사각형 단면들을 가지는 실리콘 핀들의 규칙적 어레이가 식각되어 Ru MACE 식각 속도에 대한 핀 형상의 효과를 결정한다. 도 58a-58d에 보인 바와 같이 핀 형상들에 걸쳐 높은 수준의 식각 균일성이 얻어진다.
도 58a-58d는 본 발명의 하나의 실시예에 따른, 다른 형상들을 갖는 실리콘 사각형 필라 어레이의 제조를 위한 루테늄 MACE를 도시한다. 모든 샘플들은 최적화된 Ru MACE로 식각되었다. 모든 기준자들은 1 μm 길이이다.
Ru MACE가 트랜지스터의 초고 형상비 핀들을 가능하게 하는 반면, 더 작은 핀 폭을 스케일링하는 데 있어서 주된 제한은 그 구조적 불안정성이다. 벌크 실리콘으로 구성된 FinFET에서, 그 길이의 주요부는 얕은 트렌치 격리(STI)에 사용된다. STI에 요구되는 최소 높이를 100 nm으로 가정하면, 폭 10 nm 이상의 핀들만이 사용될 수 있다. 또한 핀의 활성 부분은 초기 핀 높이에 비해 훨씬 더 낮다. 도 59는 사각형 핀에 대한 구조적 파라미터들을 갖는 Glassmaker et al.에 기재된 횡방향 붕괴 모델을 사용하여 주어진 반피치에 대해 최대 달성 가능한 핀 높이를 보인다. 이는 붕괴에 기인하는 핀의 굽힘 에너지를, 핀을 분리하는 데 필요한 표면 에너지와 등치함으로써 연산된다.
Figure pct00005
Figure pct00006
여기서 E는 핀의 탄성계수, I는 굽힘 축에 대한 관성 모멘트, w 는 핀의 처짐(deflection) 즉 붕괴되는 핀들 간의 절반 거리, γ sv 는 핀 소재의 표면 에너지, 그리고 ab는 붕괴 방향에 직교하는 핀의 길이들이다. Si 및 SiGe를 포함하는 나노시트 층에 있어서, 새로운 임계 높이는 다층으로 적층된(stacked) 핀들의 수정된 탄성계수에 좌우된다. 각 나노시트의 두께가 5 nm이고, STI로 덮인 핀의 하부 영역이 Si라고 간주하면, 새로운 탄성계수는 복합재료 문헌들의 혼합물의 역규칙(inverse rule of mixtures)을 사용하는 "슬래브(slab)" 모델로 연산될 수 있다. Si의 용적 비율 ~ 75%-95%에 대해, 결과적인 유효 탄성계수는 ~ 100-150GPa이고, 나노시트 핀의 임계높이는 finFET의 그것과 유사하다.
도 59를 참조하면, 이는 본 발명의 하나의 실시예에 따른, 핀 반피치(또는 핀 폭)의 함수로, 핀의 길이(이 경우는 50 nm)에 따른 횡방향 붕괴 전에 테이퍼가 없는 핀의 최대 높이를 보이는 그래프(5900)이다.
하나의 실시예에서, 높이가 더 큰(beyond the heights) 핀들의 안정성을 향상시키는 방법은: (1) 반발(repelling) "캡들(caps)"의 사용; 및 (2)핀 붕괴를 방지하는 안정 구조의 사용을 포함한다.
붕괴를 방지하는 핀 제조를 위한 대체적인 공정 흐름은 Chang and Sakdinawat에 기재된 바와 같은 식각 동안 안정될 핀들 간의 연결 링크(connecting link)들의 사용에 의한 것이다. 핀들 간의 소재의 적층을 포함하는 디바이스의 후속 처리 후 안정 구조는 제거될 수 있다. 예를 들어, 양단에서 연결된 핀들은 붕괴되지 않을 사각형 구멍들을 생성한다. 그러나 구멍의 MACE는 MACE 동안 유리(wander)하는 경향이 있는 격리된 촉매 세부들을 요구하여 다음 항목에 설명될 바와 같이 결함을 유발한다.
MACE 공정 동안, 격리된 금속 촉매는 유리하여 수직이 아닌 바람직하지 못한 식각 경로를 생성할 수 있다. MACE 공정 동안 불연속인 촉매 세부들이 유리하는 경향을 가져 결함을 유발한다. Hildreth et al.은 이 특성을 금을 촉매로 하는 3D 나선형 마이크로크기 구조를 제조하는데 이용하여, 촉매 강성(stiffness)에 대한 효과와 그 운동(motion)에 대한 기하학적 제약들을 연산하였다. 격리된 촉매를 갖는 사각형 구멍은 촉매에 대한 반데르발스 힘(van der Waals force)과 함께 국부적 식각액 농도 또는 식각 속도 변동에 의한 확률적 변동에 기인하여 유리한다. 도 60a-60d는 본 발명의 하나의 실시예에 따른, 금 촉매 물질과 던 큰 촉매 크기와 비교한 루테늄에 더 적은 유리를 갖는 촉매 유리 거동에 대한 촉매 물질 및 형상의 영향을 보인다. 금의 촉매 유리는 루테늄보다 더 큰데, 아래 보인 바와 같이 Au의 굽힘 및 비틀림 강성이 더 낮은 것에 기인하는 듯하다.
Figure pct00007
도 60a-60d에 보인 바와 같이, 격리된 촉매 구조의 유리는 구멍들의 불량한 MACE를 유발한다. 촉매 유리는 식각 농도를 변경하고 레시피를 최적화함으로써 감소될 수 있지만, 식각될 구멍의 크기가 작아지면 촉매 유리가 증가된다. Kim et al.은 Au MACE에 의한 200 nm-400 nm 직경의 구멍들의 식각을 보였지만 더 작은 100 nm 구성에 대해서는 식각 중단(etch stalling)과 낮은 식각 속도가 관찰되었다.
도 60a-60d를 참조하면, 도 60a-60d는 MACE 동안의 구멍들의 유리에 대한 촉매 물질 및 형상의 효과를 도시한다. 도 60a-60b는 사각형 구멍의 Au 및 Ru MACE를 도시한다. 도 60c-60d는 사각형 구멍의 Ru MACE에 대한 촉매 형상의 효과를 도시한다.
초고 형상비 DRAM 캐패시터 등의 CMOS 응용들에 있어서, 전형적인 셀 크기는 < 50 nm이다. DRAM에 깊은 구멍들을 형성하는 대체적 접근법이 이 명세서에 제공되는데, 이는 세부 크기의 원자 정밀도와 리소그래피의 오버레이(overlay), MACE의 수직 식각, 및 원자층 증착을 조합한다. 규정된 DRAM 셀 형(DRAM-cell-like) 형상을 갖는 핀들의 제조에 이어 ALD로 원하는 갭들을 채움으로써 깊은 구멍들을 가능하게 한다.
도 61a-61c는 본 발명의 하나의 실시예에 따른, MACE + ALD를 사용한 DRAM깊은 트렌치 캐패시터를 위한 고 형상비 구멍들을 도시한다. 도 61a-61b는 DRAM 셀과 캐패시터 거치(placement)를 보이는 SEM을 도시한다. 도 61c는 MACE로 구성됨 핀 형상과 설계된 갭들을 ALD로 채워 구성된 고 형상비 구멍들을 보이는 MACE - ALD공정 흐름을 도시한다.
정밀한 형상 및 거치를 갖는 핀 제조의 MACE+ALD 방법은 등각의(conformal) 소재 적층과 조합되어 임의의 형상을 갖는 3D 디바이스의 새로운 설계 규칙들을 가능하게 한다. 또한 설계 사양이 위에 보인 규칙ㅈ적이고 반복적인 형상들에 구속될 필요가 없다. 예를 들어, 전형적인 트랜지스터 아키텍처는, 원하는 회로 설계로 결정되는 복수의 크기 및/또는 간격의 핀들을 갖는다. 사각형 핀들을 갖는 임의로 변동하는 기하학적 패턴들이 식각되어 식각 균일성과 Ru MACE에 대한 형상비로부터 식각 속의 독립성을 확인한다. 도 62a-62d는 Ru MACE에 대한 규칙적 어레이가 아닌(beyond) 핀형(fin-like) 형상에서의 식각 균일성을 확인함으로써 로직, 메모리, 광학, 및 광자 디바이스들의 응용분야에 MACE 형상 설계의 자유를 제공한다.
도 62a-62d는 본 발명의 하나의 실시예에 따른, 다른 배율들에서의 다른 형상의 경사 단면 SEM과 톱다운 SEM들을 갖는 실리콘 사각형 필라들을 제조하는 루테늄 MACE를 도시한다. 모든 기준자들은 길이 1 μm이다.
최적화된 식각 후 세부의 공극은 도 63a-63h에 보인 바와 같이 TEM 및 EDS 매핑을 사용하여 특징지어지는데, 이는 세부의 상부에서 ~15 nm 두께의 측벽 공극을 보이고 세부의 하부에는 공극이 없음을 보인다. HRTEM 및 EDS는 세부 상부의 유공 실리콘이 산화되고, 실리콘 핀들의 나머지가 결정질인 반면 산화된 유공 실리콘은 비정질(amorphous)임을 보인다. 이 공극의 원인은 Ru/Si 계면으로부터 나노핀들의 상부 및 측벽으로의 구멍들의 확산과 함께 핀들의 상부 부분이 식각 용액에 더 길게 노출되는 것에 기인할 수 있다.
도 63a-63h는 본 발명의 하나의 실시예에 따른, 실리콘 핀들의 고해상도 TEM 및 EDS 매핑을 도시한다. 도 63a는 실리콘 핀들의 TEM 매핑을 도시한다. 도 63b는 핀 길이를 따른 실리콘 핀들의 EDS 매핑을 도시한다. (도 63c의) 단면 SEM, (도 63d의) HRTEM, 및 (도 63e의) EDS 매핑으로 보인 핀들의 상부 부분은 ~15 nm의 측벽 공극과 실리콘 핀들의 나머지(Y)에 반대되는 산화(X)를 보인다. (도 63f의) 단면 SEM, (도 63g의) HRTEM, 및 (도 63h의) EDS 매핑으로 보인 핀들의 하부 부분은 Ru 촉매와 식각 전단(etch front)을 보인다. 식각 전단(P)은 비정질 실리콘을 보이고, 둘러싸는 실리콘(Q)은 결정질이다.
화상은 또한 핀들의 하부의 Ru 촉매 밑의 MACE 전단을 보이는데, 비정질 Si가 실리콘 국부적 밑의 식각 전단에서 관찰된다. 비정질 실리콘이 산화되지 않음으로써 양극 Si 용해 반응이 분해가 이어지는 실리콘 산화물의 형성을 통하는 것이 아니라 실리콘의 직접 용해로 진행됨을 시사한다는 것에 주목해야 한다. MACE 유공 Si 나노와이어의 TEM에 대한 다른 연구들과 달리 HR-TEM에 결정의 클러스터(cluster)들이 보이지 않으므로, 식각 전단 밑의 실리콘은 유공 결정성 Si가 아니라 비정질일 가능성이 높다(likely).
초고 형상비 나노구조를 갖는 트랜지스터와 메모리는 이에 따라 CMOS 호환 MACE를 사용하여 제조될 수 있다. 하나의 실시예에서, 핀이 나노시트 FET 및 상보형 FET에 사용되는 교번하는 Si/SiGe 층들로 제조되는 한편, 실리콘 핀이 FinFET에 사용된다. 에피택셜 성장(epitaxial growth)을 이용하여 적층된 Si/SiGe 층들은 플라즈마 식각되어 나노시트 FET 및 CFET를 위한 테이퍼진(tapered) 핀을 생성한다. MACE는 식각 테이퍼 없이 핀을 생성할 수 있는데, SiGe 및 Si/Ge 초격자(superlattice)의 MACE가 20nm 미만의 나노와이어에 대해 문헌에 보였다. 이와는 달리, 이러한 초격자는 MACE 동안의 형태 제어(morphology control)를 이용하여 벌크 실리콘으로 제조될 수 있다. 실리콘 나노구조의 형태는 공극률, 공극 크기, 공극 방향, 및 나노구조의 길이에 따른 공극률의 어떤 변동을 포함한다. MACE는 식각의 전기화학적 특성을 이용하여 촉매가 실리콘으로 식각해 들어가므로, 공극률을 조정(tune)하는 데 사용될 수 있다. 실리콘 초격자 식각은 층들 중의 하나가 유공성인 교번하는 층들을 갖는 초격자를 동시에 생성하면서, 촉매를 사용하여 실리콘을 식각한다. 나노시트 FET 제조에서 Si/SiGe 초격자 핀 내의 SiGe 층들의 선택적 제거와 유사하게, MACE로 제조된 무공성 Si/유공성 Si 초격자에서 유공성 실리콘 층이 선택적으로 제거된다.
교번하는 층들은 전계 파라미터 변조, 교번하는 도핑 특성을 갖는 층들을 통한 식각, 또는 MACE 식각액 농도를 교번함으로써 구성될 수 있다. 더 높은 전류 밀도, 더 높은 도핑 농도, 더 높은 산화제-대-HF 비율이 각각 실리콘 공극률을 증가시킨다. Weisse et al. 및 Chiappini et al. 전계와 식각 농도 변조를 사용하는 교번하는 유공성 실리콘 초격자를 보였다. 그러나 전계는 무공성 층을 산출하지 않고 교번하는 공극률들을 갖는 층들의 초격자로 결과된다, 교번하는 식각액 농도는 무공성/유공성 층들을 산출할 수 있지만, 이는 시간제한 식각(timed etch) 이며 식각액의 계속적인 변경을 요구하여 수율과 스루풋(throughput)을 저하시킨다.
연구들은 MACE 후의 결과적인 공극률에 대한 실리콘 기판 도핑 농도의 효과를, 심하게 유공성인 실리콘을 산출하는 고농도로 도핑된 웨이퍼와 무공성 실리콘 나노구조를 산출하는 저농도로 도핑된 웨이퍼로 보였다. 변동하는 도핑 농도를 가져 Ge 장벽으로 분리되는 복수 층들은 또한 도핑 수준 의존 공극률을 보였다. Ge 장벽층은 도펀트(dopant) 확산을 방지하는 데 사용되지만, 박막들의 에피택셜 성장 동안 가스들의 전환에 기인하여 적층 원가가 증가되고 수율이 손실이 결과된다. 이 섹션은 이전의 문헌들에 기반하여 달리 도핑된 박막들 간에 장벽층의 사용 없이 나노구조 내의 날카로운(sharp) 무공성/유공성 실리콘 계면을 갖는 유공성 실리콘 초격자를 제조하는 공정을 보인다.
MACE는 적층된 교번하는 층들의 도핑 농도 및 도펀트 종류 등 맞춤적용 가능한(tailorable) 소재 특성에 좌우되는 형태를 동시에 변경시키면서 실리콘 내로 식각할 수 있다. 교번하는 층들 또는 "초격자(superlattice)"의 적층에 채택될 방법은 상업적 입수 가능성(commercial availability), 원가, 스루풋, 성장 속도, 열 이력(thermal budget), 층들의 수, 및 층들의 두께에 좌우된다. 다결정 실리콘 층들 역시 사용될 수 있지만, 결정 입계(grain boundary)에 기인하여 신뢰할 만한 수직 MACE를 갖지 않을 수 있고, 이들은 식각되는 구조들의 크기를 축소시키는 경향이 있다. 실리콘의 에피택셜(epi) 성장은 화학적 기상 증착(Chemical Vapor Deposition; CVD)을 사용하여 결정성 실리콘 박막을 산출하는데, 이 공정에 의해 얇은 고체(solid) 박막이 기상(gaseous phase)으로부터 화학 반응으로 합성된다.
온도, 압력, 가스 흐름 속도, 기판 준비, 표면 처리, 및 산화 방지가 epi 초격자 품질과 결정도(crystallinity)를 결정하는 주된 파라미터들이다. B2H6 또는 PH3 등 도핑에 사용된 가스의 분압(partial pressure)이 epi 층의 도핑 농도를 결정한다. 성장 동안 전체 압력이 낮으면 이전 층의 가스로부터의 오염이 저감되므로 더 우수한 접합(junction)이 가능해지지만, 동일한 도핑 종류와 다른 도핑 농도들로 교번하는 에피택셜 실리콘 박막을 성장시킬 때 이는 관심사가 아니다.
교번하는 고농도 및 저농도 도핑 농도들을 갖는 에피택셜 층이 층 당 미크론 미만의 두께로 적층될 때, 높은 적층 속도에서의 적층 공정의 제한들과 함께 계면에 걸친 도펀트의 확산에 기인하여 2개의 층들의 계면에 걸친 농도 구배가 얕다. 이는 계면에 걸친 얕은 구배 등 스택(stack)의 두께에 걸친 도핑의 급작스럽지 않은(non-abrupt) 변화를 가져온다. 달리 도핑된 실리콘의 에피택셜 층들의 MACE는 실리콘 나노구조의 유공성/무공성 층들을 생성하는 도 64a-64b에 예시되었는데, 여기서 유공성 층은 1E18 cm-3의 도핑으로 고농도로 B 도핑된 에피택셜 실리콘으로부터 결과되고, 무공성 층은 1E15 cm-3의 B 도핑으로부터 결과된다. 에피택셜 실리콘 웨이퍼는 Lawrence Semiconductor Research Lab(LSRL)으로부터 입수되었다.
도 64a-64b는 본 발명의 하나의 실시예에 따른, 교번하는 도핑 농도의 에피택셜 Si 층들의 실리콘 초격자 식각을 도시한다. 도 64a는 P++/P 도핑된 교번하는 에피택셜 실리콘 층을 갖는 Lawrence Semiconductor Research Laboratory(LSRL)로부터의 맞춤형(custom) 에피택셜 웨이퍼에 대한 도핑 농도 프로파일들을 도시하는데, 고농도 도핑(~1E18 cm-3)과 저농도 도핑(~1E15 cm-3) 간에 얕은(>100 nm 두께) 천이를 보인다. 도 64b는 달리 도핑된 에피택셜 실리콘 층들의 MACE로 제조된 유공성/무공성 계면의 단면 SEM을 도시한다.
다층 에피택셜 층들의 MACE에 의해, 특정한 도핑 농도에서 유공으로부터 무공으로의 형태 변경을 보장하도록 식각이 조정됨으로써 얕은 도핑 농도 구배를 유공/무공 계면의 급격한(abrupt) 계단 함수로 변경한다. 에피택셜 층들을 통해 MACE가 진전됨에 따라, 촉매 메시는 실리콘 스택을 식각하여 조정된 공극률을 갖는 고 형상비 나노구조가 드러나도록 한다.
이와 같이, MACE는 초고 형상비 실리콘 나노구조와 함께 유공성 실리콘 초격자를 갖는 나노구조의 제조를 가능하게 한다. 촉매 및 나노구조 설계에서 격리된 세부들에 기인하는 나노구조 붕괴와 촉매 유리 등의 공정 일탈을 방지하는 방법들이 설명된다. 이 방법들은 주문형(application-specific) 설계 알고리즘에 포함되어 프레임워크(framework)를 제조하는 MACE 기반 설계를 생성할 수 있다.
예시적인 finFET에 대한 통합 체계가 도 65a-65b에 보이는데, 여기서 링크된 핀들은 MACE로 식각되어 핀 붕괴와 촉매 유리를 방지함으로써 초고 형상비 핀들을 갖는 트랜지스터 설계의 새로운 방향을 제시한다.
도 65a는 본 발명의 하나의 실시예에 따른, 필수적 공정들을 보이는 전통적인 finFET 제조 흐름의 개요이다. 도 65b는 본 발명의 하나의 실시예에 따른, 붕괴 없는(collapse-free) 초고 형상비 핀들을 가능하게 하도록 링크된 핀들이 형성된 수정된 FinFET 공정 흐름이다. 핀 링크들을 제거하는 단계 (4-5)들이 전통적 finFET 흐름에 추가되었다.
이상의 결과로, 본 발명의 원리는 본 발명의 촉매 지원 화학적 식각에 대한 설비 및 공정 기술을 사용하여 CICE 공정을 반도체의 세부들의 제조에 효율적으로 활용할 수단을 제공한다.
(이상에서) 본 발명의 다양한 실시예들에 대한 설명들이 제공되었으나, 이는 완전(exhaustive)하거나 개시된 실시예들로 한정하려 의도한 것이 아니다. 당업계에 통상의 기술을 가진 자에게는 설명된 실시예들이 범위와 개념을 벗어나지 않고 많은 수정과 변형이 자명할 것이다. 이 명세서에 사용된 용어들은 실시예들의 원리와, 실제 응용 또는 시장에서 발견되는 기술들에 대한 기술적 개선을 가장 잘 설명하거나, 다른 당업자들이 이 명세서에 개시된 실시예들을 이해하게 할 수 있도록 선택되었다.

Claims (27)

  1. 촉매 지원 화학적 식각을 사용하여 반도체 기판을 식각하는 시스템으로:
    기판 상의 소재의 식각 깊이를 제어하도록 구성된, 독립적으로 제어되는 이산된 액추에이터들의 그룹을 구비하는데, 여기서 독립적으로 제어되는 상기 이산된 액추에이터들의 그룹 중의 적어도 2개가 고유한 작동 값들을 갖고, 여기서 상기 식각 깊이가 상기 기판에 걸쳐 세부 높이의 10% 미만의 변동을 갖는 시스템.
  2. 제1항에 있어서,
    상기 식각의 식각 속도가 반응 퀘엔칭 전에 저하되어 식각 높이 변동을 저감시키는 시스템.
  3. 제2항에 있어서,
    상기 식각 속도의 공간적 변동이 현장 감시되는 시스템.
  4. 제3항에 있어서,
    상기 식각 속도의 공간적 변동의 대리 값이 현장 감시되는 시스템.
  5. 제4항에 있어서,
    세부 높이에 대응하는 스펙트럼 특징이 상기 대리 값으로 사용되는 시스템.
  6. 제3항에 있어서,
    상기 현장 감시가 공정 웨이퍼의 분광광도측정을 사용하여 이뤄지는 시스템.
  7. 제1항에 있어서,
    피드백 기반 시스템이 공정 변동을 제어하는 데 사용되는 시스템.
  8. 제1항에 있어서,
    피드포워드 접근법이 공정 변동을 제어하는 데 사용되는 시스템.
  9. 제1항에 있어서,
    하이브리드 접근법이 공정 변동을 제어하는 데 사용되는 시스템.
  10. 촉매 지원 화학적 식각을 사용하여 반도체 기판을 식각하는 시스템으로:
    기판 상의 소재의 식각 깊이를 제어하도록 구성된, 이산된 액추에이터들의 그룹을 구비하는데, 여기서 상기 기판의 전체에 걸쳐 세부 높이의 10% 미만의 식각 깊이 변동으로 결과되면서 상기 식각이 시작 및 중단되고, 여기서 상기 기판이 타입 B의 디바이스 패턴 옆의 타임 A의 디바이스 패턴을 갖는 시스템.
  11. 제11항에 있어서,
    상기 타입 B의 패턴이 1 마이크로미터 미만 크기의 패턴을 갖지 않는 시스템.
  12. 제11항에 있어서,
    상기 타입 B의 패턴이 0.5 마이크로미터 미만 크기의 패턴을 갖지 않는 시스템.
  13. 제1항에 있어서,
    상기 이산된 액추에이터들의 그룹이, 현장 측정을 위한 입사광이 상기 기판의 전체에 걸쳐 10%보다 더 높은 투과율을 갖도록 허용할 정도로 상기 식각으로부터의 거품 산출을 제어하는 시스템.
  14. 촉매 지원 화학적 식각(CICE)을 사용하여 반도체 소재를 식각하는 방법으로:
    반도체 소재와 상기 반도체 소재 상의 다른 소재들의 하나 이상의 층들을 제공하는 단계로, 여기서 촉매 층이 상기 다른 소재들의 하나 이상의 층들 중의 하나인 단계와;
    상기 다른 소재들의 하나 이상의 층들 중의 하나 이상을 상기 촉매 층의 촉매 활성을 변경시키는 공정에 노출시키는 단계와; 및
    상기 변경된 촉매 활성을 갖는 상기 촉매 층을 포함하는 상기 다른 소재들의 하나 이상의 층들과 상기 반도체 소재를 CICE 식각액에 노출시키는 단계를
    포함하는 방법.
  15. 제14항에 있어서,
    상기 촉매 층이: Ru, Au, Pt, Pd, Ag, Cu, Ni, W, TiN, 그래핀, 카본, 및 Cr 중의 하나 이상의 합금인 방법.
  16. 제14항에 있어서,
    상기 반도체 소재가: 변화되는 도핑 수준 및 도펀트들의 반도체 소재, 고농도 도핑된 실리콘/저농도 도핑된 실리콘, 도핑되지 않은 실리콘/도핑된 실리콘/게르마늄, 실리콘 및 SixGe1-x, 달리 도핑된 실리콘 및/또는 SixGe1-x, 달리 도핑된 실리콘 및/또는 게르마늄, 및 실리콘과 게르마늄 중의 하나 이상을 포함하는 방법.
  17. 제14항에 있어서,
    상기 CICE 식각액의 식각액이 액상 또는 기상인 방법.
  18. 제14항에 있어서,
    상기 촉매 층의 상기 촉매 활성이 촉매를 플라즈마에 노출시킴으로써 변경되는 방법.
  19. 제18항에 있어서,
    상기 플라즈마가 산소를 포함하지 않는 방법.
  20. 촉매 지원 화학적 식각을 사용하여 반도체 소재를 식각하는 방법으로:
    반도체 소재를 제공하는 단계와;
    상기 반도체 소재의 표면 상에 촉매 층을 제공하는 단계와;
    상기 촉매 층과 상기 반도체 소재를 식각액에 노출시키는 단계로, 여기서 상기 식각액에 노출되는 상기 촉매 층의 표면적이 상기 촉매 지원 화학적 식각 동안의 공극률을 저감시키도록 최적화되는 단계를
    포함하는 방법.
  21. 촉매 지원 화학적 식각 동안의 공극률을 저감시키는 방법으로:
    반도체 소재를 제공하는 단계와;
    상기 반도체 소재에 계면 소재를 제공하는 단계와;
    상기 계면 층의 표면 상에 촉매 층을 제공하는 단계로, 여기서 상기 계면 소재가 상기 반도체 소재의 표면 상에 위치하는 단계와; 및
    상기 촉매 층과, 상기 계면 소재와, 및 상기 반도체 소재를 식각액에 노출시키는 단계를
    포함하는 방법.
  22. 촉매 지원 화학적 식각에 의해 고 형상비 반도체 구조의 상당한 붕괴를 방지하는 방법으로:
    반도체 소재를 제공하는 단계와;
    상기 반도체 소재의 표면 상에 촉매 층을 패터닝하는 단계로, 여기서 촉매 층이 복수의 세부들을 구비하고, 여기서 상기 복수의 세부들에 이웃한 패턴의 식각되지 않은 영역들이 붕괴 방지 세부들을 구비하는 단계와; 및
    상기 패터닝된 촉매 층과 상기 붕괴 방지 세부들을 식각액에 노출시키는 단계로, 여기서 상기 패터닝된 촉매 층과 상기 붕괴 방지 세부들이 상기 반도체 소재의 식각을 유발하여 상기 복수의 세부들에 대응하는 제조된 구조들을 형성하고, 여기서 상기 붕괴 방지 세부들이 식각된 반도체 소재의 상당한 붕괴를 방지하는 단계를
    포함하는 방법.
  23. 고 형상비 나노구조의 상당한 붕괴를 방지하는 방법으로:
    식각될 소재를 갖는 기판을 제공하는 단계와;
    상기 기판 상에 패터닝된 식각 마스크를 제공하는 단계와;
    상기 패터닝된 식각 마스크를 사용하여 상기 식각될 소재를 식각하는 단계로, 여기서 상기 패터닝된 식각 마스크의 부분이 상기 식각될 소재의 상당한 붕괴를 방지하는 단계를
    포함하는 방법.
  24. 고 형상비 나노구조의 상당한 붕괴를 방지하는 방법으로:
    고 형상비 나노구조에 붕괴 방지 캡을 제공하는 단계와;
    상기 고 형상비 나노구조의 둘레에 안정화 소재를 적층하여 안정화 소재 영역들을 형성하는 단계와; 및
    상기 붕괴 방지 캡을 상기 안정화 소재 영역 이외의 영역들로부터 제거하는 단계를
    포함하는 방법.
  25. 고 형상비 나노구조의 상당한 붕괴를 방지하는 방법으로:
    고 형상비 나노구조에 붕괴 방지 캡을 제공하는 단계와; 및
    상기 붕괴 방지 캡에 소재를 접합하여 천정을 생성하는 단계를
    포함하는 방법.
  26. 촉매 지원 화학적 식각(CICE)을 이용하여, 반도체 웨이퍼의 다른 영역들에 소정의 식각 깊이의 구조를 생성하도록 조정 가능한 식각 깊이를 갖는 마이크로 또는 나노구조를 형성하는 방법으로:
    CICE 식각액 화학반응에 저항성인 소재에 소정의 패턴을 생성하는 단계로, 여기서 상기 소정의 패턴이 패터닝된 촉매의 상부에 생성되는 단계를
    포함하는 방법.
  27. 촉매 지원 화학적 식각(CICE)을 이용하여, 반도체 웨이퍼의 다른 영역들에 소정의 식각 깊이의 구조를 생성하도록 조정 가능한 식각 깊이를 갖는 나노구조를 형성하는 방법으로:
    소정의 온도 제어 프로파일이 상기 반도체 웨이퍼의 다른 영역들에 상기 소정의 식각 깊이의 구조를 생성하게 하도록 상기 반도체 웨이퍼 상에 상기 소정의 온도 제어 프로파일을 생성하는 단계를
    포함하는 방법.
KR1020237017084A 2020-10-29 2021-10-29 촉매 지원 화학적 식각의 장치 및 공정 기술 KR20230098225A (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US202063107354P 2020-10-29 2020-10-29
US63/107,354 2020-10-29
PCT/US2021/057461 WO2022094350A1 (en) 2020-10-29 2021-10-29 Equipment and process technologies for catalyst influenced chemical etching

Publications (1)

Publication Number Publication Date
KR20230098225A true KR20230098225A (ko) 2023-07-03

Family

ID=81384379

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020237017084A KR20230098225A (ko) 2020-10-29 2021-10-29 촉매 지원 화학적 식각의 장치 및 공정 기술

Country Status (6)

Country Link
US (1) US20230411178A1 (ko)
EP (1) EP4238122A1 (ko)
JP (1) JP2023548321A (ko)
KR (1) KR20230098225A (ko)
CN (1) CN116583932A (ko)
WO (1) WO2022094350A1 (ko)

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5926690A (en) * 1997-05-28 1999-07-20 Advanced Micro Devices, Inc. Run-to-run control process for controlling critical dimensions
WO2006047326A1 (en) * 2004-10-21 2006-05-04 Fujifilm Dimatix, Inc. Sacrificial substrate for etching
JP2014049466A (ja) * 2012-08-29 2014-03-17 Tokyo Electron Ltd エッチング処理方法及び基板処理装置
CN111670493B (zh) * 2017-11-28 2024-06-28 德克萨斯大学系统董事会 受催化剂影响的图案转移技术
EP3931863A4 (en) * 2019-02-25 2023-04-26 Board of Regents, The University of Texas System LARGE SURFACE METROLOGY AND PROCESS CONTROL FOR ANISOTROPIC CHEMICAL ETCHING

Also Published As

Publication number Publication date
JP2023548321A (ja) 2023-11-16
EP4238122A1 (en) 2023-09-06
CN116583932A (zh) 2023-08-11
US20230411178A1 (en) 2023-12-21
WO2022094350A1 (en) 2022-05-05

Similar Documents

Publication Publication Date Title
TWI815315B (zh) 用於異向性化學蝕刻之大面積計量和製程控制
US9651862B2 (en) Drop pattern generation for imprint lithography with directionally-patterned templates
Lin et al. Optothermoplasmonic nanolithography for on‐demand patterning of 2D materials
JP2021504961A (ja) 触媒促進パターン転写技術
Qin et al. Anomalous growth and coalescence dynamics of hybrid perovskite nanoparticles observed by liquid-cell transmission electron microscopy
Asbahi et al. Large area directed self-assembly of sub-10 nm particles with single particle positioning resolution
US20160126133A1 (en) Metal-assisted chemical etching of a semiconductive substrate with high aspect ratio, high geometic uniformity, and controlled 3d profiles
Tabrizi et al. Two-photon reduction: A cost-effective method for fabrication of functional metallic nanostructures
Janavicius et al. Programmable vapor-phase metal-assisted chemical etching for versatile high-aspect ratio silicon nanomanufacturing
Kim et al. Anodic imprint lithography: Direct imprinting of single crystalline GaAs with anodic stamp
TWI551386B (zh) 移除材料及轉印圖案的方法及系統
Xin et al. Micropump Fluidic Strategy for Fabricating Perovskite Microwire Array-Based Devices Embedded in Semiconductor Platform
CN106220237A (zh) 一种单层有序二氧化硅纳米球阵列的制备方法
Han et al. Experimental exploration of the fabrication of GaN microdome arrays based on a self-assembled approach
KR20230098225A (ko) 촉매 지원 화학적 식각의 장치 및 공정 기술
Chang Oblique angled plasma etching for 3D silicon structures with wiggling geometries
JP4721794B2 (ja) 微細構造物の作製方法
Premnath et al. Fabrication of three-dimensional opal nanolattices using template-directed colloidal assembly
Langner et al. Macroporous silicon
Peng et al. Direct growth and patterning of single-crystal perovskites via antisolvent inkjet printing
Hildreth et al. Nano-metal-assisted chemical etching for fabricating semiconductor and optoelectronic devices
Barrera Platinum assisted chemical etching of single-and poly-crystalline silicon with applications to templated nanomaterials
KR101095855B1 (ko) 패턴 클리닝 방법 및 패턴 클리닝 장치
Bencherif et al. Automated and Parallel Transfer of Arrays of Oriented Graphene Ribbons
Han et al. Pixelated Microsized Quantum Dot Arrays Using Surface-Tension-Induced Flow