KR20230074278A - 확률적 프로세스 윈도우들의 검출 - Google Patents

확률적 프로세스 윈도우들의 검출 Download PDF

Info

Publication number
KR20230074278A
KR20230074278A KR1020237015350A KR20237015350A KR20230074278A KR 20230074278 A KR20230074278 A KR 20230074278A KR 1020237015350 A KR1020237015350 A KR 1020237015350A KR 20237015350 A KR20237015350 A KR 20237015350A KR 20230074278 A KR20230074278 A KR 20230074278A
Authority
KR
South Korea
Prior art keywords
variable
psd
feature
roughness
noise
Prior art date
Application number
KR1020237015350A
Other languages
English (en)
Other versions
KR102590974B1 (ko
Inventor
크리스 맥
조나단 야누지
Original Assignee
프랙틸리아 엘엘씨
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US17/472,335 external-priority patent/US20220068594A1/en
Application filed by 프랙틸리아 엘엘씨 filed Critical 프랙틸리아 엘엘씨
Publication of KR20230074278A publication Critical patent/KR20230074278A/ko
Application granted granted Critical
Publication of KR102590974B1 publication Critical patent/KR102590974B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70625Dimensions, e.g. line width, critical dimension [CD], profile, sidewall angle or edge roughness
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/02Details
    • H01J37/22Optical or photographic arrangements associated with the tube
    • H01J37/222Image processing arrangements associated with the tube
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/7055Exposure light control in all parts of the microlithographic apparatus, e.g. pulse length control or light interruption
    • G03F7/70558Dose control, i.e. achievement of a desired dose
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70641Focus
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T7/00Image analysis
    • G06T7/10Segmentation; Edge detection
    • G06T7/13Edge detection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/26Electron or ion microscopes; Electron or ion diffraction tubes
    • H01J37/28Electron or ion microscopes; Electron or ion diffraction tubes with scanning beams
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/30Electron-beam or ion-beam tubes for localised treatment of objects
    • H01J37/317Electron-beam or ion-beam tubes for localised treatment of objects for changing properties of the objects or for applying thin layers thereon, e.g. for ion implantation
    • H01J37/3174Particle-beam lithography, e.g. electron beam lithography
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/22Treatment of data
    • H01J2237/221Image processing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/245Detection characterised by the variable being measured
    • H01J2237/24592Inspection and quality control of devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/26Electron or ion microscopes
    • H01J2237/28Scanning microscopes
    • H01J2237/2813Scanning microscopes characterised by the application
    • H01J2237/2817Pattern inspection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps

Landscapes

  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Analytical Chemistry (AREA)
  • Chemical & Material Sciences (AREA)
  • Computer Vision & Pattern Recognition (AREA)
  • Theoretical Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • Length-Measuring Devices Using Wave Or Particle Radiation (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Control And Other Processes For Unpacking Of Materials (AREA)
  • Investigating Or Analysing Materials By The Use Of Chemical Reactions (AREA)
  • Other Investigation Or Analysis Of Materials By Electrical Means (AREA)
  • Electron Beam Exposure (AREA)

Abstract

반도체 디바이스를 제조하기 위해 리소그래피 툴을 구성하기 위한 방법들, 시스템들, 및 컴퓨터 판독가능 매체들이 개시된다. 방법은 제1 변수를 선택하는 단계, 제2 변수를 선택하는 단계, 제1 변수 및 제2 변수의 함수인 적어도 하나의 응답 변수를 선택하는 단계, 각각의 응답 변수에 대한 측정 불확도를 결정하는 단계, 응답 변수의 측정치 및 응답 변수에 대한 측정 불확도에 기초하여, 리소그래피 프로세스와 연관된 복수의 포인트들이 각각의 응답 변수에 대한 사양 요건을 충족시키는지의 복수의 표시들을 나타내는 복수의 확률들을 결정하는 단계 - 복수의 확률들은 프로세스 윈도우를 나타냄 -, 및 프로세스 윈도우에 기초하여, 반도체 디바이스를 제조하기 위한 리소그래피 툴을 구성하는 단계를 포함한다.

Description

확률적 프로세스 윈도우들의 검출
관련 출원에 대한 상호 참조
본 출원은 2021년 9월 10일에 출원되고 제목이 "Detection of Probabilistic Process Windows"인 미국 출원 번호 17/472,335에 대한 우선권 및 이익을 청구하며, 이의 전체 개시는 이하에서 완전히 재현되는 것 처럼 본원에 참고로 통합된다.
본 출원은 또한 2021년 5월 10일에 출원되고 제목이 "System and Method for Generating and Analyzing Roughness Measurements"인 미국 출원 번호 17/316,154의 일부 계속 출원(continuation-in-part)이고, 이는 2019년 12월 30일에 출원되고 제목이 "System and Method for Generating and Analyzing Roughness Measurements"인 미국 출원 번호 16/730,393의 계속 출원이고, 2018년 12월 12일에 출원되고 제목이 "System and Method for Generating and Analyzing Roughness Measurements"인 미국 출원 번호 16/218,346의 계속 출원이고(현재 미국 특허 번호 10,522,322), 2018년 2월 8일에 출원되고 제목이 "Edge Detection System" 인 미국 출원 번호 15/892,080의 일부 계속 출원이고 이에 대한 우선권을 주장한다(현재 미국 특허 번호 10,176,966). 본 출원은 2018년 10월 1일 출원되고 제목이 "System and Method for Generating and Analyzing Roughness Measurements"인 미국 가출원 번호 62/739,721 및 2018년 5월 31일 출원되고 제목이 "System and Method for Removing Noise From Roughness Measurements"인 미국 가출원 번호 62/678,866에 대한 우선권을 더 주장한다. 또한, 미국 특허 출원 번호 16/218,346의 계속 출원으로, 본 특허 출원은 2017년 4월 13일 출원되고 제목이 "Edge Detection System"인 미국 가출원 일련 번호 62/602,152에 대한 우선권을 주장한다. 이들 모든 출원들은 이하에서 완전히 재현되는 것처럼 본원에 참고로 통합된다.
본 개시는 전반적으로 패턴 구조들의 에지 검출(edge detection)에 관한 것이고, 보다 구체적으로는, 원하지 않는 노이즈를 포함하는 이미지들을 생성하는 주사 전자 현미경(SEM) 또는 다른 이미징 장치를 사용할 때 형성되는 이미지들에서와 같은 노이즈-프론(noise-prone) 이미지들에서의 패턴 구조들의 에지 검출에 관한 것이고, 보다 더 구체적으로는, 프로세스 변동(variation)들의 함수로서 이러한 거칠기(roughness) 측정들을 분석하고 이 분석을 사용하여 프로세스들 최적화하고 프로세스 툴들을 제어하는 것에 관한 것이다.
본 개시는 전반적으로, 측정 불확도(measurement uncertainty)를 설명하는 확률적 프로세스 윈도우를 생성하기 위한 방법들, 시스템들, 및 컴퓨터 판독가능 매체들을 제공한다.
본 개시의 일 양태는 컴퓨터 구현 방법을 포함한다. 방법은 그래프의 제1 축 상에 표시된 제1 프로세스 변수를 선택하는 단계를 포함할 수 있다. 방법은 또한 그래프의 제2 축 상에 표시된 제2 프로세스 변수를 선택하는 단계를 포함할 수 있다. 방법은 또한 제1 변수 및 제2 변수의 함수인 적어도 하나의 응답 변수(response variable)를 선택하는 단계를 포함할 수 있다. 방법은 또한 각각의 응답 변수에 대한 측정 불확도(measurement uncertainty)를 결정할 수 있다. 방법은 또한, 응답 변수의 측정치 및 응답 변수에 대한 측정 불확도에 기초하여, 리소그래피 프로세스와 연관된 복수의 포인트들이 각각의 응답 변수에 대한 사양 요건(specification requirement)을 충족하는지 여부의 복수의 표시들을 나타내는 복수의 확률들을 결정하는 단계를 포함할 수 있으며, 복수의 확률들은 프로세스 윈도우를 나타낸다. 방법은, 프로세스 윈도우에 기초하여, 반도체 디바이스를 제조하기 위한 리소그래피 툴을 구성하는 단계를 더 포함한다.
본 개시의 다른 양태는, 일 구현예에서, 리소그래피 툴, 명령어들을 저장하는 메모리 디바이스, 및 프로세싱 디바이스를 포함하는 시스템을 포함한다. 프로세싱 디바이스는 메모리 디바이스 및 리소그래피 툴에 결합된다. 프로세싱 디바이스는 그래프의 제1 축 상에 표시될 수 있는 제1 변수를 선택하기 위한 명령어들을 실행할 수 있다. 프로세싱 디바이스는 또한 그래프의 제2 축 상에 표시될 수 있는 제2 변수를 선택하기 위한 명령어를 실행할 수 있다. 프로세싱 디바이스는 또한 제1 변수 및 제2 변수의 함수로서 응답 변수를 선택하기 위한 명령어들을 실행할 수 있다. 프로세싱 디바이스는 또한 응답 변수에 대한 측정 불확도를 결정하기 위한 명령어들을 실행할 수 있다. 프로세싱 디바이스는 또한, 응답 변수의 측정 및 응답 변수에 대한 측정 불확도에 기초하여, 리소그래피 프로세스와 연관된 복수의 포인트들이 사양 요건을 충족하는지 여부의 복수의 표시들을 나태내는 복수의 확률들을 결정하기 위한 명령어들을 실행할 수 있다. 복수의 확률들은 프로세스 윈도우를 나타낼 수 있다. 프로세싱 디바이스는 또한, 프로세스 윈도우에 기초하여, 반도체 디바이스를 제조하기 위한 리소그래피 툴을 구성하기 위한 명령어들을 실행할 수 있다.
본 개시의 추가 양태는, 명령어가 실행될 때, 프로세싱 디바이스로 하여금 그래프의 제1 축 상에 표시되는 제1 변수를 선택하게 하는 명령어들을 저장하는 유형(tangible)의 비일시적 컴퓨터 판독가능 매체를 포함한다. 명령어들은 또한 프로세싱 디바이스로 하여금 그래프의 제2 축 상에 표시되는 제2 변수를 선택하게 할 수 있다. 명령어들은 또한 프로세싱 디바이스로 하여금 제1 변수 및 제 2 변수의 함수로서 응답 변수를 선택하게 할 수 있다. 명령어들은 또한 프로세싱 디바이스로 하여금 출력 응답 변수에 대한 측정 불확도를 결정하게 할 수 있다. 명령어들은 또한 프로세싱 디바이스로 하여금, 응답 변수의 측정 및 응답 변수에 대한 측정 불확도에 기초하여, 리소그래피 프로세스와 연관된 복수의 포인트들이 사양 요건을 충족하는지 여부의 복수의 표시들을 나태내는 복수의 확률들을 결정하게 할 수 있다. 복수의 확률들은 프로세스 윈도우를 나타낸다. 명령어들은 또한 프로세싱 디바이스로 하여금, 프로세스 윈도우에 기초하여, 반도체 디바이스를 제조하기 위해 리소그래피 툴을 구성하게 한다.
첨부된 도면들은 본 개시의 예시적인 구현예들만을 예시하며, 따라서 본 발명의 개념이 다른 동등하게 효과적인 구현에 적합하기 때문에 그 범위를 제한하지 않는다.
도 1a는 라인들 사이에 공간들을 갖는 평행한 라인 피처(line feature)들을 나타내는 패턴 구조의 표현이다.
도 1b는 컨택 홀 피처(contact hole feature)들을 포함하는 패턴 구조의 표현이다.
도 2는 모두 동일한 표준 편차를 갖는 4개의 상이한 거친 에지(rough edge)를 도시한다.
도 3은 파워 스펙트럼 밀도(PSD : power spectral density) 대 주파수의 로그-로그 스케일(log-log scale)의 표현이다.
도 4는 파워 스펙트럼 밀도(PSD) 대 주파수로 플롯팅되고 거칠기 파라미터 PSD(0), 상관 길이, 및 거칠기 지수를 도시하는 그래픽 표현이다.
도 5는 패턴 구조 상의 피처의 개개의 에지들에 대응하는 2개의 파워 스펙트럼 밀도(PSD)들을 도시한다.
도 6은 라인 길이의 함수로서 피처-대-피처(feature-to-feature) 변동 및 피처내 변동(within-feature variation)의 트레이드오프(tradeoff)의 그래픽 표현이다.
도 7은 개시된 에지 검출 장치의 하나의 구현예를 함께 형성하는 정보 핸들링 시스템 (IHS : information handling system)에 결합된 주사 전자 현미경 (SEM)의 블록도이다.
도 8a는 피처의 중심에 충돌하는 전자 빔을 도시하는 기판 상에 배치된 피처의 표현이다.
도 8b는 그 에지 근처의 피처 상에 충돌하는 전자 빔을 도시하는 기판 상에 배치된 피처의 표현이다.
도 9는 하나의 수평 절단부(cut)를 따라 대응하는 그레이스케일 라인 스캔이 바로 아래에 그래픽적으로 플롯된 최상부 상의 그레이스케일 이미지 표현을 도시한다.
도 10은 전자 빔이 패턴 구조 상에 충돌하는 위치에 따라 패턴 구조로부터 탈출하는 전자들의 수가 변하는 기판 최상부에 위치된 피처를 포함하는 패턴 구조의 예를 도시한다.
도 11은 실리콘 웨이퍼와 같은 패턴 구조 상의 레지스트 계단(resist step)의 예측된 라인 스캔을 도시한다.
도 12는 실리콘 웨이퍼 상의 레지스트 라인들 및 공간들의 패턴의 다른 대표적인 예측된 라인 스캔을 도시한다.
도 13a는 개시된 에지 검출 장치 및 방법을 사용하지 않은 패턴 구조의 원본 SEM 이미지이다.
도 13b는 개시된 에지 검출 장치 및 방법을 사용한 것을 제외하고는 도 13a와 동일한 SEM 이미지이다.
도 14는 종래 기술의 결과(종래의 임계 에지 검출을 갖는 필터를 사용함) 및 필터를 사용하지 않고 역 라인 스캔 모델(ILM : inverse linescan model)을 사용한 결과 둘 모두를 도시하는 미가공(Raw)(편향된(Biased)) 선폭 거칠기 플롯 대 임계치 설정이다.
도 15a는 노이즈 감산(noise subtraction) 전에 도시된 피처의 우측 및 좌측 에지들의 파워 스펙트럼 밀도(PSD) 대 주파수 플롯이다.
도 15b는 노이즈 감산 후에 도시된 피처의 우측 및 좌측 에지들의 파워 스펙트럼 밀도(PSD) 대 주파수 플롯이다.
도 16은 상이한 SEM 전자 선량(dose)들에서 취해진 명목상 동일한 리소그래피 피처들의 3개의 SEM 이미지들의 부분들을 도시한다.
도 17a는 극도로 많은 수의 전자가 존재하여 픽셀 노이즈가 무시할 수 있는 경우에 대한 웨이퍼 상의 라인 피처에 대한 전형적인 라인 스캔을 도시한다.
도 17b는 3개의 상이한 X 픽셀 크기들에 대해, 그레이스케일 노이즈의 존재시 완벽하게 매끄러운 피처들에 대한 에지 검출 위치에서의 1-시그마 불확도를 도시한다.
도 17c는 우측 이미지에서는 이미지 필터링을 이용하고 좌측 이미지에서는 이미지 필터링을 이용하지 않는 단순한 임계 에지 검출 알고리즘을 이용하는 예로서 그레이스케일 이미지들을 도시한다.
도 18은 30개의 이미지들의 집합에 대한 2개의 상이한 이미지 필터들의 영향을 도시하는 선폭 거칠기(LWR : linewidth roughness) PSD 대 주파수의 플롯이다.
도 19는 개시된 에지 검출 장치 및 방법의 노이즈 감산 프로세스를 도시하는 파워 스펙트럼 밀도 플롯 대 주파수이다.
도 20은 SEM에서 상이한 통합 프레임으로 측정된, 주어진 웨이퍼 상의 특정 레지스트 피처 유형의 PSD를 도시한다.
도 21은 SEM에서의 통합 프레임들의 수의 함수로서 측정된 3σ 선폭 거칠기(LWR)의 편향된(biased) 값 및 비편향된(unbiased) 값을 도시한다.
도 22a는 SEM에 의해 채용된 상이한 픽셀 크기들 및 배율((magnification)들의 함수로서 편향된 선폭 거칠기(LWR) 파워 스펙트럼 밀도(PSD)들을 도시한다.
도 22b는 SEM에 의해 채용된 상이한 픽셀 크기들 및 배율들의 함수로서 비편향된 선폭 거칠기(LWR) 파워 스펙트럼 밀도(PSD)들을 도시한다.
도 23은 개시된 SEM 에지 검출 시스템이 패턴 구조의 에지들을 검출하기 위해 채용하는 대표적인 전체 프로세스 흐름을 도시하는 흐름도이다.
도 24a는 개시된 계측 툴이 분석하는 수직 라인들 및 공간들의 패턴 구조의 그레이스케일 표현이다.
도 24b는 하나의 Y-픽셀 위치에서의 단일 라인 스캔을 도시한다.
도 24c는 모든 Y-픽셀들에 걸쳐 평균화함으로써 생성되는 평균화된 라인 스캔을 도시한다.
도 25a는 고주파 스파이크 아티팩트(artfact)들을 포함하는 PSD를 도시한다.
도 25B는 스파이크 아티팩트가 제거된 PSD를 도시한다.
도 26은 중간 주파수 스파이크 아티팩트들 및 고조파(harmonics)들을 포함하는 PSD를 도시한다.
도 27a는 PSD의 모델링 및 해석에 대한 중간 주파수 스파이크 아티팩트의 영향을 도시한다.
도 27b는 PSD의 모델링 및 해석에 대한 중간 주파수 스파이크 아티팩트들을 제거하는 영향을 도시한다.
도 28a는 범프 거동(bump behavior)의 유형을 나타내는 PSD 데이터세트를 도시한다.
도 28b는 범프 거동의 유형을 나타내는 추가적인 PSD 데이터세트를 도시한다.
도 29a는 유형 I의 저주파 범프의 모델링 및 분석을 도시한다.
도 29b는 유형 II의 저주파 범프의 모델링 및 분석을 도시한다.
도 30은 PSD 데이터세트에서 원하지 않는 스파이크들을 검출하고, PSD 데이터세트로부터 스파이크들을 제거하고 피처에 대한 거칠기 파라미터들을 획득하기 위한 대표적인 프로세스 흐름을 도시하는 흐름도이다.
도 31은 PSD 데이터세트에서 범프들을 모델링하고 피처에 대한 비편향된 거칠기 파라미터들을 획득하기 위한 다른 대표적인 프로세스 흐름을 도시하는 흐름도이다.
도 32는 평균값들의 가우시안 분포의 예의 플롯이다.
도 33은 사양 내에 복수의 포인트들이 존재할 확률을 나타내는 히트맵(heat-map)의 일 예를 나타내는 도면이다.
도 34는 도 33의 히트맵(heat map)의 대안의 3차원 도면이다.
도 35는 사양 피처들의 분율(fraction)들을 예시하는 히트맵의 예의 다이어그램이다.
도 36은 도 35의 히트맵의 대안의 3차원 도면이다.
도 37은 초점 에러(focus error) 및 노광 에러(exposure error)에 대한 커브의 예의 플롯이다.
도 38은 측정 불확도를 설명하는 확률적 프로세스 윈도우를 생성하기 위한 대표적인 프로세스 흐름을 도시하는 흐름도이다.
도 39a는 CD에 대한 초점 및 노광 선량(exposure dose)의 영향을 조합하는 보썽 플롯(Bossung plot)의 예의 다이어그램이다.
도 39b는 일정한 선폭 대 초점 및 노광의 윤곽들을 갖는 치수 데이터 세트를 포함하는 윤곽 플롯의 예의 도면이다.
도 39c는 초점 (x-축) 및 노광 선량 (y-축)의 함수로서, CD (공칭 +/- 10%), 80도 측벽 각도, 및 10% 레지스트 손실의 윤곽을 모두 동일한 그래프 상에 플롯팅한 예이다.
도 40a는 프로세스 윈도우 내부에 피팅된 2개의 최대 직사각형들을 도시하는 프로세스 윈도우의 예시적인 다이어그램이다.
도 40b는 프로세스 윈도우 내부에 하나의 최대 직사각형 및 하나의 최대 타원 피팅을 도시하는 프로세스 윈도우의 예시적인 다이어그램이다.
도 41은 노광 관용도(exposure latitude) 대 초점 심도(depth of focus)를 제공하기 위한 프로세스 윈도우의 예시적인 분석이다.
도 42는 2개의 상이한 피치들의 라인/공간 패턴들에 대한 중첩 프로세스 윈도우들의 예이다.
도 43은 프로세스 윈도우 크기의 기하학적 분석에 대한 측정 에러의 잠재적인 영향을 도시한다.
측정 시스템의 노이즈가 측정되고 있는 거칠기(roughness)와 구별하기 어렵다는 점에서 패턴의 거칠기를 측정하는 것은 복잡하다. 현미경과 같은 이미징 툴을 사용하여 측정될 객체의 상세한 이미지를 생성한 다음 해당 이미지에 대한 정보를 분석하여 객체의 하나 이상의 피처(feature)의 거칠기를 측정하고 특성화하는 것이 일반적이다. 이 경우, 획득된 이미지의 노이즈는 이미지 내의 피처들의 거칠기(roughness)로 나타날 수 있다. 피처들의 거칠기의 보다 정확한 측정치들을 생성하기 위해, 다른 것들 중에서도, 피처들의 실제 거칠기로부터 이미지의 노이즈를 분리하는데 유용한 기법들이 이하에서 설명된다.
예로서, 주사 전자 현미경(SEM)들은 예를 들어, 반도체 디바이스들과 같은 패턴 구조들의 피처들을 연구하는데 매우 유용하다. 불행하게도, 이들 구조들의 피처 거칠기(feature roughness)를 측정하는 것은 SEM 이미지들에 내재된 노이즈 때문에 종종 도전적이다. SEM 이미지의 필터링(평활화(smoothing))은 전형적으로 정확한 에지 검출을 달성하기 위해 필요하지만, 이러한 필터링은 측정되는 피처 거칠기를 바람직하지 않게 변화시킨다. 이미지 필터링의 사용 없이(또는 적어도 측정되는 피처 거칠기를 변화시킬 임의의 필터링 없이) 매우 노이즈가 많은 SEM 이미지들에서 에지들을 신뢰성 있게 검출하는 에지 검출 접근법이 필요하다.
패턴 거칠기는 많은 분야에서 주요한 문제이다. 다양한 형상의 패턴을 생성하기 위한 모든 기술은 아니지만 다수가 이들 패턴의 에지 상에, 적어도 더 큰 스케일은 아니지만 거의 분자 스케일(near molecular scale)에서 거칠기를 생성한다. 예를 들어, 반도체 제조를 위한 진보된 리소그래피, 특히 극자외선(EUV) 리소그래피를 위한 그러나 다른 리소그래피 방법들에서도, 인쇄 및 에칭된 패턴들의 거칠기는 많은 부정적인 효과들을 야기할 수 있다. 거칠기의 감소는 확률적 변동의 소스에 대한 더 나은 이해를 요구하며, 이는 결국 거친 피처의 더 나은 측정 및 특성화를 요구한다. 종래 기술의 거칠기 측정 접근법들은 이미지에서의 노이즈가 웨이퍼 상의 거칠기에 추가되기 때문에 심한 바이어스를 겪는다. 본 개시는 물리적 기반 역 라인 스캔 모델의 사용을 통해 비편향된 거칠기 측정을 행하는 실용적인 접근법을 제공한다. 이것은 광범위한 SEM 계측 조건에 걸쳐 거칠기 파라미터의 정확하고 강건한 측정을 가능하게 한다.
SEM 이미지 노이즈 문제를 다루는 개시된 기술의 구현예들을 논의하기 전에, 본 개시는 먼저 패턴 구조들의 리소그래피 및 거칠기의 주파수 의존성을 논의한다.
1. 리소그래피에서의 확률적 영향
리소그래피 및 패터닝 진보는 집적 회로에서 트랜지스터에 의해 소비되는 실리콘의 면적을 비용 효율적으로 감소시킴으로써 무어의 법칙(Moore's Law)을 계속 추진한다. 개선된 분해능에 대한 필요성 외에도, 이러한 리소그래피 진보들은 또한 제조되는 더 작은 피처들의 개선된 제어를 허용해야 한다. 역사적으로, 리소그래피들은 이러한 변동들의 소스들을 최소화하려고 시도함으로써 그리고 이러한 변동들에 대한 최소 감도로 프로세스들을 개발함으로써 패터닝 충실도(patterning fidelity)(예를 들어, 노광 선량 및 초점 변동들, 핫플레이트(hotplate) 온도 불균일성, 스캐너 수차(aberration)들)에 영향을 미치는 변동의 "전역적(global)" 소스들에 초점을 맞추었다. 그러나, 오늘날의 작은 피처들은 또한 분자 스케일 근처에서 패터닝하는 근본적인 확률론에 의해 야기되는 "국부적(local)" 변동들을 겪는다.
리소그래피에서, 광은 포토레지스트라 불리는 감광성 재료를 노광시키기 위해 사용된다. 결과적인 화학 반응들(노광후 베이크(bake) 동안 발생하는 것들을 포함함)은 레지스트의 용해도(solubility)를 변화시켜, 패턴들이 현상될 수 있게 하고 원하는 임계 치수(CD : critical dimension)를 생성한다. "큰(large)" 레지스트의 체적(즉, 많은 수의 레지스트 분자를 함유하는 체적)에 대해, 해당 체적에 걸쳐 평균화된 광 에너지의 양은 패턴을 생성하기 위해 특정(평균) 양의 용해를 생성하는 특정 양의 화학적 변화(평균적으로)를 생성한다. 광 에너지, 화학 농도, 및 용해 속도(dissolution rate) 사이의 관계들은 주어진 입력들의 세트에 대한 출력들을 예측하는 결정론적 수학식들로 설명될 수 있다. 이러한 리소그래피 모델들은 극히 유용하며, 일반적으로 반도체 제조를 위한 리소그래피 프로세스들을 이해하고 제어하는 데 사용된다.
리소그래피 프로세스의 이러한 결정론적 뷰(특정 입력들은 항상 특정 출력들을 생성함)는 단지 대략적으로는 진실(true)이다. 리소그래피의 "평균 필드 이론(mean field theory)"은 평균적으로 결정론적 모델들이 리소그래피 결과들을 정확하게 예측한다고 말한다. 우리가 많은 수의 광자(photon)에 걸쳐 평균한다면, 광 에너지에 대한 단일 수(평균)는 광 에너지를 설명하기에 충분하다. 큰 체적의 레지스트에 대해, 화학 종의 평균 농도는 이의 화학 상태를 충분히 설명한다. 그러나 매우 작은 체적의 경우, 체적의 원자 또는 분자의 수는 고정된 "평균" 농도에 대해서도 무작위적(random)이다. 작은 체적들(즉, 소량의 광자들 또는 분자들 또는 이벤트들의 수에 대한) 내의 이러한 무작위성은 일반적으로 "샷 노이즈(shot noise)"로 지칭되고, 관심 영역이 분자 스케일에 접근할 때 발생하는 리소그래피에서의 확률적 변동의 예이다.
확률적 프로세스는 프로세스의 결과가 무작위로 결정되는 것이다. 원자/분자 레벨에서, 본질적으로 모든 프로세스는 확률적이다. 20-nm 노드 및 그 미만(40 nm 미만의 최소 피처 크기들을 가짐)에서의 반도체 패터닝의 경우, 관심 치수들은 확률적 영향들이 중요해지고 심지어 제조되는 패턴들의 치수들, 형상들, 및 배치들에 영향을 미치는 총 변동들을 지배할 수 있도록 충분히 작다. 이러한 확률적 영향은 또한 일부 상황에서 더 큰 피처 크기에 대해서는 중요할 수 있다.
리소그래피에서의 확률적 변동(뿐만 아니라, 에칭 및 패터닝 프로세스의 다른 부분들)의 가장 두드러진 징후(manifestation)는 생성되는 패턴들이 매끄럽기보다는 거칠다는 것이다(도 1a). 도 1a에 도시된 패턴 구조에서, 명목상 평행한 수직 라인들은 밝은 수직 영역들로서 나타나는 반면, 공간들은 라인들 사이의 어두운 수직 영역들로서 나타난다. 피처 에지의 거칠기를 라인 에지 거칠기(LER : line-edge roughness)라 하고, 피처 폭의 거칠기를 선폭 거칠기(LWR : line width roughness)라 한다. 피처 중심선(좌측 에지와 우측 에지 사이의 중간점(midpoint))의 거칠기를 패턴 배치 거칠기(PPR : pattern placement roughness)라고 한다. 이들 확률적 변동의 다른 중요한 결과는 컨택 홀 피처에 대해 특히 명백한 피처의 크기, 형상 및 배치의 무작위 변동이다(도 1b).
패터닝에서의 확률적 영향들은 여러 방식들로 반도체 디바이스들의 수율 및 성능을 감소시킬 수 있다: a) 피처내 거칠기(within-feature roughness)는 금속 라인 저항 및 트랜지스터 게이트 누설과 같은 디바이스의 전기적 속성들에 영향을 미칠 수 있다; b) 확률론들에 의해 야기되는 피처-대-피처 크기 변동(국부적 CD 균일성, LCDU로도 지칭됨)은 CD 변동의 총 버짓(budget)에 추가되어, 때때로 지배적인 소스가 된다; c) 확률론들에 의해 야기되는 피처-대-피처 패턴 배치 변동(국부적 패턴 배치 에러, LPPE로도 지칭됨)은 PPE의 총 버짓에 추가되어, 때때로 지배적인 소스가 된다; d) 에러 분포들이 팻 테일(fat tail)들을 갖는 경우 재앙적 브릿지들 또는 브레이크들의 예상된 발생보다 더 크게 야기하는 드문 이벤트들이 더 가능성이 있다; e) 계측 결과들에 기초한 결정들(프로세스 모니터링 및 제어, 뿐만 아니라 광학 근접 정정(OPC : optical proximity correction) 모델들의 캘리브레이션(calibration)을 포함함)은 이들 계측 결과들이 확률적 변동들을 적절히 고려하지 않는 경우 불량일 수 있다. 이러한 이유들로, 확률적-유도(stochastic-induced) 거칠기의 적절한 측정 및 특성화가 중요하다.
많은 다른 종류의 디바이스들이 또한 피처 거칠기(feature roughness)에 민감하다. 예를 들어, 광 도파관의 에지를 따라서의 거칠기는 산란으로 인한 광의 손실을 야기할 수 있다. 라디오 주파수 MEMS(microelectromechanical systems) 스위치에서의 피처 거칠기는 다른 MEMS 디바이스에 대해 사실인 바와 같이 성능 및 신뢰성에 영향을 미칠 수 있다. 피처 거칠기는 발광 다이오드들의 출력을 저하시킬 수 있다. 에지 거칠기는 또한 미세유체 디바이스(microfluidic device)에서 피처의 기계적 및 습윤 속성에 영향을 미칠 수 있다. 와이어 그리드 편광기(wire grid polarizer) 내의 피처들의 거칠기는 편광기의 효율 및 투과율에 영향을 미칠 수 있다.
불행히도, 종래 기술의 거칠기 측정들(예를 들어, 임계 치수 주사 전자 현미경(critical dimension scanning electron microscope, CD-SEM)을 이용한 선폭 거칠기 또는 라인 에지 거칠기의 측정)은 측정 툴에 의해 야기된 측정 노이즈에 의해 오염된다. 이는 편향된 측정치를 초래하며, 여기서 측정 노이즈는 실제 거칠기(true roughness)에 더해져 실제 거칠기를 과대 평가하는 겉보기 거칠기(apparent roughness)를 생성한다. 더욱이, 이러한 편향(bias)은 사용된 특정 측정 툴 및 그 설정에 의존한다. 이들 편향은 또한 측정되는 패턴의 함수이다. 비편향된 거칠기 추정치를 제공하려는 종래 기술의 시도들은 종종 더 작은 피처 크기들 및 더 높은 레벨들의 SEM 노이즈로 인해 오늘날의 많은 애플리케이션들에서 어려움을 겪는다.
따라서, 종래 기술의 시도들의 문제들을 회피하고 정확하고 정밀한 피처 거칠기의 비편향된 추정치를 제공하는 비편향된 거칠기 측정들을 행하기 위한 새로운 접근법이 필요하다. 또한, 양호한 패턴 거칠기 측정 방법은 계측 툴 설정들에 대한 최소 의존성을 가져야 한다. 배율, 픽셀 크기, 평균화 프레임의 수(SEM에서의 총 전자 선량과 동등함), 전압 및 전류와 같은 CD-SEM 설정은 측정되는 편향된 거칠기에서 상당히 큰 변화를 야기할 수 있다. 이상적으로, 비편향된 거칠기 측정은 이러한 설정들에는 큰 정도로 독립적일 것이다.
2. 라인 에지 거칠기(LER), 선폭 거칠기(LWR) 및 패턴 배치 거칠기(PPR)의 주파수 의존성
거친 피처는 가장 일반적으로 (LER의 경우) 에지 위치, (LWR의 경우) 선폭, 또는 (PPR의 경우) 피처 중심선(feature centerline)의 표준 편차에 의해 특성화된다. 그러나 표준 편차를 설명하는 것은 거칠기를 완전히 설명하기에 충분하지 않다. 도 2는 모두 동일한 표준 편차를 갖는 4개의 상이한 거친 에지를 도시한다. 에지들에서 보이는 현저한 차이들은 표준 편차가 거칠기를 완전히 특성화하기에 충분하지 않다는 것을 명백하게 한다. 대신에, 거칠기의 주파수 분석이 요구된다. 도 2에 도시된 4개의 무작위하게 거친 에지들은 모두 동일한 거칠기의 표준 편차를 갖지만, 상관 길이(correlation length) (ξ) 및 거칠기 지수 (H)의 주파수 파라미터들에서 상이하다. 더 구체적으로, 도 2를 참조하면, a) 경우에 ξ=0, H = 0.5; b)경우에 ξ= 10, H = 1.0; c) 경우에 ξ=100, H = 0.5; 및 d) 경우에 ξ= 0.1, H = 0.5.
거친 에지의 표준 편차는 이상적인 직선에 수직이고 그리고 그에 대한 변동을 나타낸다. 도 2에서 표준 편차는 에지의 수직 변동(variation)을 나타낸다. 그러나, 변동은 (도 2에서 수평 방향으로) 라인의 길이를 따라 상이하게 확산될 수 있다. 이 라인-길이 의존성은 자기 상관 함수 또는 높이-높이 상관 함수와 같은 상관 함수를 사용하여 설명될 수 있다.
대안적으로, 주파수 f는 라인을 따라 길이에 걸쳐 하나로서 정의될 수 있다(도 3). 주파수에 대한 거칠기의 종속성은 잘 알려진 파워 스펙트럼 밀도(PSD)를 사용하여 특성화될 수 있다. PSD는 단위 주파수당 에지의 분산(variance)이고(도 3), 에지 변동의 푸리에 변환의 계수들의 제곱으로서 계산된다. PSD 커브의 저주파수 영역은 긴 길이 스케일들에 걸쳐 발생하는 에지 변동들을 설명하는 반면, 고주파수 영역은 짧은 길이 스케일들에 걸친 에지 변동들을 설명한다. 일반적으로, PSD들은 도 3에서 사용되는 로그-로그 스케일(log-log scale) 상에 플롯팅된다.
리소그래피 방식으로 정의된 피처의 PSD는 일반적으로 도 3에 도시된 것과 유사한 형상을 갖는다. PSD의 저주파수 영역은 편평하고(소위 "백색 노이즈(white noise)" 거동), 이어서 특정 주파수 이상에서 주파수의 거듭제곱에 따라 떨어진다(통계적으로 프랙탈 거동(fractal behavior)). 이 두 영역의 차이는 피처의 길이에 따른 상관 관계와 관련이 있다. 멀리 떨어져 있는 에지를 따른 포인트들은 서로 상관되지 않고(통계적으로 독립적이고), 상관되지 않은 노이즈는 편평한 파워 스펙트럼 밀도를 갖는다. 그러나, 짧은 길이 스케일에서, 에지 편차는 상관되어, 화학적으로 증폭된 레지스트에 대한 산 반응-확산과 같은 거칠기의 생성에서의 상관 메커니즘을 반영한다. 상관되지 않은 거동과 상관된 거동 사이의 전이는 상관 길이(correlation length)라 불리는 거리에서 발생한다.
도 4는 전형적인 PSD 커브가 3개의 파라미터들로 설명될 수 있다는 것을 도시한다. PSD(0)는 PSD의 제로-주파수 값이다. PSD의 이 값은 결코 직접 측정될 수 없지만(제로 주파수는 무한히 긴 라인에 대응함), PSD(0)는 편평한 저주파수 영역에서의 PSD의 값으로서 간주될 수 있다. PSD는 1/(2πξ)의 주파수 근처에서 떨어지기 시작하며, 여기서, ξ는 상관 길이이다. 프랙탈 영역에서, 때때로 "1/f" 노이즈으로 지칭되는 것을 가지며, PSD는 1/f의 거듭제곱에 대응하는 (로그-로그 플롯 상의) 기울기를 갖는다. 기울기는 2H + 1로 정의되며, 여기서, H는 거칠기 지수(roughness exponent)(또는 허스트 지수(Hurst exponent))로 불린다. 전형적인 H 값은 0.5에서 1.0 사이이다. 예를 들어, 단순 확산 프로세스가 상관 관계를 야기할 때 H = 0.5이다. PSD 커브의 파라미터들 각각은 이하에서 더 상세히 논의되는 바와 같이 리소그래피 방식으로(lithographically) 정의된 피처에 대해 중요한 물리적 의미를 갖는다. 거칠기의 분산(variance)은 PSD 커브 아래의 영역이고, 다른 3개의 PSD 파라미터들로부터 도출될 수 있다. 분산과 다른 3개의 PSD 파라미터들 사이의 정확한 관계는 (상관 길이에 의해 정의된) 중간 주파수 영역에서의 PSD 커브의 정확한 형상에 의존하지만, 근사적 관계는 아래의 수학식 1에 따라 일반적인 경향을 나타내는데 사용될 수 있다:
Figure pct00001
(1)
도 2의 개개의 4개의 거친 에지들에서 관찰된 차이들은 이제 피처들의 PSD 거동의 차이들로서 쉽게 이해될 수 있다. 도 5는 도 2로부터의 에지 a) 및 에지 c)에 대응하는 2개의 PSD들을 도시한다. 이들 2개의 에지는 동일한 분산 (PSD 커브 아래의 동일한 면적)을 갖지만, 이들은 상이한 값의 PSD(0) 및 상관 길이(이 경우 거칠기 지수는 일정하게 유지됨)를 갖는다. 에지 a) 및 에지 c)의 거칠기의 표준 편차가 동일하지만, 이들 에지는 상이한 PSD 거동을 보인다. 이하에서 논의되는 바와 같이, 상이한 PSD 커브들은 유한 길이의 리소그래피 피처들에 대해 상이한 거칠기 거동을 초래할 것이다.
3. 거칠기의 주파수 거동의 영향
패턴 구조들의 라인들 및 공간들의 거칠기는 PSD의 평평한 영역이 명백해질 정도로 충분히 긴, 매우 긴 라인들 및 공간들을 측정하는 것을 특징으로 한다. 충분히 긴 피처에 대해, 측정된 LWR(즉, 라인을 따라 측정된 선폭들의 표준 편차 σ)은 무한히 긴 피처의 LWR, σLWR(∞)로서 생각될 수 있다. 그러나, 반도체 디바이스들과 같은 패턴 구조들은 다양한 길이들 L을 갖는 피처들로 만들어진다. 이들 더 짧은 피처들에 대해, 확률론들은 피처들의 평균 선폭들의 표준 편차, σCDU(L)에 의해 설명된 피처-대-피처 변동, 피처내 거칠기(within-feature roughness) σLWR(L)를 야기할 것이다. 이러한 피처-대-피처 변동은, 잘 알려진 "전역적" 에러 소스들(스캐너 수차들, 마스크 조명 불균일성, 핫플레이트 온도 변화 등)에 의해 야기되지 않는 CD(임계 치수) 변동을 나타내기 때문에, 국부적 임계 치수 균일성(LCDU : local critical dimension uniformity)으로 지칭된다.
길이 L의 라인에 대해, 피처내 변동 및 피처-대-피처 변동은 아래의 수학식 2에 주어진 거칠기의 보존 원리(Conservation of Roughness principle)에 의해 (동일한 공칭 CD 및 피치(pitch)의) 무한히 긴 라인의 LWR과 관련될 수 있다:
Figure pct00002
(2)
거칠기 보존 원리는 매우 긴 라인의 분산이 더 짧은 라인에 대해 피처내(within-feature) 분산과 피처 대 피처(feature-to-feature) 분산으로 파티셔닝(partition)된다고 말한다. 이 파티션이 어떻게 발생하는지는 상관 길이에 의해, 또는 더 구체적으로는 L/ξ에 의해 결정된다. 일 예로서 PSD의 형상에 대한 기본 모델을 사용하면, 다음과 같이 인식된다:
Figure pct00003
(3)
따라서, 수학식 1-3은 긴 라인에 대한 PSD의 측정치, 및 파라미터들PSD(0), ξ 및 H에 의한 그 설명이 임의의 길이 L의 라인에 대한 확률적 영향을 예측할 수 있게 한다는 것을 보여준다. LCDU는 거칠기 지수(roughness exponent)에 의존하지 않고, H를 PSD(0) 및 ξ보다 덜 중요시한다는 것에 유의한다. 이러한 이유로, 파라미터들의 대안적인 트리플렛(triplet): σLWR(∞), PSD(0), 및 ξ를 사용하여 거칠기의 주파수 의존성을 설명하는 것이 유용하다. 이들 동일한 관계가 LER 및 PPR에도 적용된다는 것에 유의한다.
또한, 수학식 3을 살펴보면, 상관 길이는 길이 L의 라인이 "길게" 또는 "짧게" 작용하는지 여부를 결정하는 길이 스케일이라는 것에 유의한다. 긴 라인의 경우, L >> ξ 및 국부적 CDU는 아래의 수학식 4에 따라 동작한다:
Figure pct00004
(4)
이 긴 라인 결과는 PSD(0)에 대한 유용한 해석을 제공한다: 주어진 라인에 대한 LCDU의 제곱에 해당 라인의 길이를 곱한 것이다. PSD(0)를 4배로 감소시키는 것은 LCDU를 2배로 감소시키고, 다른 PSD 파라미터들은 (L >>ξ인 한) 어떠한 영향도 갖지 않는다. 전형적으로, 레지스트(resist)는 그들의 리소그래피 생성의 최소 절반-피치(minimum half-pitch)의 1/4 내지 1/2 정도의 상관 길이(correlation length)를 산출하였다. 따라서, 피처들이 기술 노드의 최소 절반 피치의 대략 5배보다 더 길 때, 일반적으로 이러한 긴 라인 길이 체계에 있다. 더 짧은 라인 길이들의 경우, 상관 길이 또한 중요해지기 시작한다.
수학식 1-3은 라인 길이의 함수로서 피처내 변화 및 피처 대 피처 변화의 트레이드-오프를 나타낸다. 도 6은 이러한 관계의 일 예를 나타낸다. 매우 긴 라인들에 대해, LCDU는 작고, 피처내 거칠기는 그의 최대값에 접근한다. 매우 짧은 라인의 경우 LCDU가 우세하다. 그러나, 거칠기의 보존의 2차 성질로 인해, σLWR(L)은 L이 증가함에 따라 매우 빠르게 상승하지만, LCDU는 L이 증가함에 따라 매우 느리게 하강한다. 따라서, 피처 거칠기 및 LCDU 둘 모두가 상당한 라인 길이들의 넓은 범위가 존재한다.
거칠기 보존 원리가 PPR에도 적용되기 때문에, 짧은 피처들은 국부적 CDU 문제들 뿐만 아니라 국부적 패턴 배치 에러들(LPPE)도 겪는다. 피처의 상관되지 않은 좌측 및 우측 에지들의 경우에 대해, LWR에 대한 PSD(0)는 전형적으로 LER의 PSD(0)의 2배이다. 마찬가지로, LER의 PSD(0)는 전형적으로 PPR의 PSD(0)의 2배이다. 따라서, 일반적으로, LPPE는 LCDU의 약 절반이다. 좌측 및 우측 피처 에지가 상당히 상관될 때, 이러한 단순한 관계는 더 이상 유지되지 않는다.
4. 주사 전자 현미경(SEM)을 이용한 패턴 구조의 거칠기 측정
작은 피처들에 대한 피처 거칠기를 측정하기 위한 일반적인 방법은 탑-다운(top-down) 임계 치수 스캐닝 전자 현미경 (CD-SEM) 이다. 전형적인 광 현미경은 최대 1000X의 배율과 수백 나노미터까지의 해상도를 갖는다. 주사 전자 현미경은 전자를 이용하여 고해상도 이미지를 생성하는 데 사용할 수 있는 매우 작은 스팟(폭이 1 nm 부근)을 생성하는데, 배율은 20,000X 초과이다. CD-SEM은 반도체 웨이퍼 상에서 발견되는 광범위한 피처의 치수를 측정하기 위해 최적화된 SEM이다. 이들은 거친 피처의 평균 임계 치수를 높은 정밀도로 측정할 수 있지만, 또한 LER, LWR, PPR, 및 그들의 PSD를 측정하는데 매우 유용한 것으로 입증되었다. 그러나, 평균 CD의 측정에는 거의 영향을 주지 않으면서 측정된 거칠기 및 거칠기 PSD에 큰 영향을 줄 수 있는 SEM 이미지에는 에러가 있다. 이러한 이유로, PSD 측정을 위해 필요한 계측 접근법은 평균 CD 측정을 위해 일반적으로 사용되는 접근법과 상당히 상이할 수 있다.
도 7은 피처 거칠기를 결정하는 개시된 에지 검출 시스템 (700)의 일 구현예의 블록도를 도시한다. 패턴 구조(800) 및 전자 이미징 광학기기(710, 715, 720, 및 725)는 진공 펌프(702)에 의해 배기되는 진공 챔버(703) 내에 위치된다. 전자들은 전자총(705)과 같은 소스로부터 생성되어 전자 빔(707)을 형성한다. 일반적인 전자 빔 소스는 가열된 텅스텐 필라멘트, 열이온 방출 건(thermionic emission gun)으로 형성된 란타늄 헥사보라이드(LaB6) 결정, 또는 전계 방출 건을 만들기 위해 형성된 날카로운 팁 금속 와이어를 포함한다. 방출된 전자는 전자기 콘덴서 렌즈(710, 715, 720)를 사용하여 가속 및 집속된다. 패턴 구조(800)에 부딪히는 전자들의 에너지는 일반적으로 SEM에서 200 eV 내지 40 keV 범위이지만, 더 전형적으로 CD-SEM에 대해 300 eV 내지 800 eV이다. 최종 콘덴서 렌즈(720)는 전자 빔(707)을 집속 스폿으로서 패턴 구조(800)를 향해 편향시키는 전기장을 제공하기 위해 주사 코일(725)을 이용한다. 주사 코일(725)은 래스터 주사 방식(raster scan fashion)으로 최종 렌즈 애퍼처(735)를 통해 패턴 구조(800)를 가로질러 집속된 스팟을 주사하여 패턴 구조(800) 상의 특정 시야를 노출시킨다. SEM(701)은 패턴 구조(800)로부터 다시 산란되는 후방 산란 전자를 검출하는 후방 산란 전자 검출기(740)를 포함한다. SEM(701)은 또한 도 7에 도시된 바와 같이 2차 전자 검출기(745)를 포함한다. 패턴 구조(800)을 이미징하기 전에, 사용자는 SEM(701) 내에서 패턴 구조(800)을 지지하고 위치시키는 패턴 구조 수용기(732) 상에 패턴 구조(800)를 배치한다. SEM(701)은 이미징 동안 패턴 구조(800)의 래스터 주사(raster scanning)를 제어하는 제어기(도시되지 않음)를 포함한다.
이제 도 8a 및 8b를 참조하여, 패턴 구조 샘플(800)에 충돌하는 전자 빔(707)의 전자는 전자 에너지 및 샘플의 재료 속성에 의존하는 다수의 프로세스를 겪는다. 전자는 샘플 재료의 원자를 산란시켜, 에너지를 방출하고, 방향을 변화시키며, 종종 샘플 원자를 이온화함으로써 2차 전자의 캐스케이드(cascade)를 생성한다. 이러한 2차 전자(805) 중 일부는 패턴 구조(800)로부터 탈출될 수 있고, 다른 일부는 패턴 구조 내부에 잔류할 수 있다. 패턴 구조(800)는 반도체 웨이퍼와 같은 기판(810)을 포함한다. 피처(815)는 도 8a에 도시된 바와 같이 기판(810) 위에 배치된다. 피처(815)는 기판(810) 상의 금속 라인, 반도체 라인, 포토레지스트 라인 또는 다른 구조들일 수 있다. 피처(815)는 필라(pillar) 또는 홀과 같은 다른 형상들, 또는 더 복잡한 형상들을 가질 수 있다. 피처(815)는 패턴 구조 상의 다른 피처들에 대해 반복되거나 격리될 수 있다. 공간 주변 피처(815)는 비어 있을 수 있거나(진공 또는 공기), 상이한 재료로 충전될 수 있다. 패턴 구조(800)는 액정 또는 다른 평판 디스플레이, 또는 다른 패턴 반도체 또는 비-반도체 디바이스일 수 있다. 피처(815)는 에지들(815-1 및 815-2)을 포함한다. 전자 빔(707)이 피처(815)와 상호 작용하는 피처(815)의 영역은, 예를 들어, 도 8a에 도시된 바와 같은 눈물-액적-유사 형상(tear-droplet-like shape)을 나타내는 상호 작용 체적(820)이다.
때때로 전자는 원자 핵으로부터 역방향으로 튀어나와서 샘플 밖으로 빠져 나간다(후방 산란 전자라고 함). 더 낮은 에너지의 2차 전자들(805) 중 일부는 또한 샘플(800) 밖으로(종종 피처의 에지들을 통해, 도 8b 참조) 탈출할 수 있다. SEM이 이미지를 형성하는 방식은 각각의 빔 위치에 대해 샘플을 탈출(escape)하는 2차 전자 및/또는 후방 산란 전자의 수를 검출하는 것이다.
전자 빔이 하나의 라인 스캔 동안 패턴 구조(800)을 가로질러 주사됨에 따라, 그것은 특정 시간에 특정 스팟에 "체류(dwell)"된다. 해당 체류 시간(dwell time) 동안, 후방 산란 전자 검출기(740) 또는 2차 전자 검출기(745), 또는 둘 모두에 의해 검출된 전자들의 수가 레코딩(record)된다. 그런 다음 스팟은 다음 "픽셀" 위치로 이동되고, 프로세스가 반복된다. 결과는 각각의 픽셀에 대해 디지털적으로 레코딩된 검출된 전자 카운트들을 갖는 픽셀들의 2차원 어레이(샘플의 표면을 따른 위치들)이다. 카운트는 그런다음 전형적으로 정규화되고 0과 255 사이의 8-비트 그레이스케일 값으로서 표현된다. 이는 검출된 전자 카운트가 도 1에 도시된 이들 이미지와 같은 그레이스케일 "이미지"로서 플롯될 수 있게 한다. SEM으로부터 들어오는 이미지가 뷰어에게 눈을 통해 인지되는 광학 이미지를 상기시키는 반면, 이들 그레이스케일 이미지들은 실제로 단지 수집된 데이터의 편리한 플롯들이라는 것에 유의하는 것이 중요하다.
CD-SEM은 SEM 이미지를 사용하여 피처의 폭을 측정한다. 피처 폭을 측정하는 첫 번째 단계는 피처들의 에지들을 검출하는 것이다. 피처의 에지 근처의 픽셀들에 대해, 더 많은 수의 2차 전자들이 피처 에지를 통해 탈출하여, "에지 블룸(edge bloom)"이라 불리는 밝은 픽셀들을 생성한다(도 8b 및 도 9 참조). 피처 에지를 검출을 허용하는 것은 이 밝은 에지 블룸이다. 예를 들어, 도 9의 상단 부분에서의 그레이스케일 이미지 표현에서, 이러한 에지 블룸들이 피처(915)의 에지들(905 및 910)에서 관찰된다. 라인 스캔은 본질적으로, 도 9의 하단부에 도시된 그래프에서와 같이, 피처 상의 수평 픽셀 위치의 함수로서 그레이스케일 값을 제공하는 2D SEM 이미지를 통한 수평 단면이다.
샘플을 가로지르는 픽셀들의 단일 수평 행으로부터의 데이터는 "라인 스캔(linescan)"이라고 지칭된다. 용어 라인 스캔(linescan)은 주사(scanning)의 사용 없이 이미지가 형성되는 경우를 포함할 정도로 넓게 사용된다는 점에 유의한다. 피처의 에지들의 위치들은 단일 라인 스캔(linescan)으로부터, 또는 도 9의 상단 부분에 도시된 전체 이미지를 나타내는 라인 스캔들의 집합으로부터 검출될 수 있다. 이러한 동일한 에지들은 도 9의 하단 부분의 그레이스케일 값 대 픽셀 위치 그래프에서 피크들(905' 및 910')로서 나타난다. 일단 특정 피처의 에지들이 결정되면, 특정 피처의 폭은 이들 2개의 에지들의 위치들 사이의 차이이다.
5. 라인 스캔 모델
이미지는 구조의 이미지를 획득하기 위해 사용되는 현미경 또는 다른 이미징 툴(tool)에 기초하여 물리적 프로세스를 통해 생성된다. 종종 이들 이미지는 데이터의 2차원 어레이이며, 여기서 이미지는 구조로부터 도출된 데이터 세트로서 생각될 수 있다. 이미지를 관통하여 단일의 일차원 단면이 라인 스캔으로 불린다. 이미징 툴의 모델은 이미징되는 주어진 구조에 대한 이미지를 예측할 수 있다. 예를 들어, 주사 전자 현미경을 설명하는 모델은 주어진 구조를 이미징할 때 SEM에 의해 획득될 이미지를 예측할 수 있다.
CD-SEM은 측정된 라인 스캔 또는 일련의 측정된 라인 스캔들을 단일 차원 수, 즉 측정된 CD로 변환한다. 라인 스캔들이 측정되는 피처의 실제 치수들과 어떻게 관련되는지를 더 잘 이해하기 위해, 패턴 구조들에 대한 SEM 측정 툴의 체계적인 응답이 결과적인 라인 스캔들의 형상에 어떻게 영향을 미치는지를 이해하는 것이 중요하다. SEM 라인 스캔들의 엄격한 3D 몬테 카를로 시뮬레이션(Monte Carlo simulation)들은 이러한 목적을 위해 매우 가치 있을 수 있지만, 그것들은 종종 일상적인 사용을 위해 너무 계산적으로 비싸다. 따라서, 하나의 접근법은 라인 스캔들을 신속하게 예측하는 작업에 더 계산적으로 적합한 단순화된 분석 라인 스캔 모델(ALM : analytical linescan model)을 개발하는 것이다. ALM은 전자 산란과 2차 전자 생성의 물리학을 채용하며 모델에서의 각 항은 물리적인 의미를 가지고 있다. 이 분석 라인 스캔 표현은 그 용도를 입증하고 캘리브레이션하기 위해 엄격한 몬테 카를로(Monte Carlo) 시뮬레이션에 적합할 수 있다.
ALM에 대한 일반적인 적용은 전형적인 순방향 모델링 문제였다: 주어진 재료 속성들(피처 및 기판에 대한) 및 피처의 기하학적 설명(폭, 피치, 측벽 각도, 상부 코너 라운딩, 푸팅(footing) 등)에서, ALM은 결과적인 라인 스캔을 예측한다. ALM의 수학적 세부사항들은 간행물에서 발견되며: Chris A. Mack and Benjamin D. Bunday, “Analytical Linescan Model for SEM Metrology”, Metrology, Inspection, and Process Control for Microlithography XXIX, Proc., SPIE Vol. 9424, 94240F (2015), 및 Chris A. Mack and Benjamin D. Bunday, “Improvements to the Analytical Linescan Model for SEM Metrology”, Metrology, Inspection, and Process Control for Microlithography XXX, Proc., SPIE Vol. 9778, 97780A (2016), 두 간행물의 개시 내용은 그 전체가 참조로 본원에 통합된다. 유사한 입력 및 출력을 가진 다른 모델도 사용될 수 있다.
분석 라인 스캔 모델(ALM)에 대해서는 아래에서 간략히 검토한다. 수학적 모델링은 주어진 물질의 편평한 샘플과 전자 빔의 상호 작용이 전방 산란 폭 및 전방 산란된 에너지의 분율, 및 후방 산란 폭 및 이들 후방 산란된 전자들에 의해 적층된 에너지의 분율을 갖는 이중 가우시안(double Gaussian)의 형태를 취하는 에너지 적층 프로파일을 생성한다고 가정함으로써 시작된다. 이 모델은 또한, 재료 내에서 생성되는 2차 전자들의 수가 단위 체적당 적층된 에너지에 정비례하고, 웨이퍼를 탈출하는(그리고 따라서 SEM에 의해 검출되는) 2차 전자들의 수가 웨이퍼의 최상부 근처의 2차 전자들의 수에 정비례한다고 가정한다.
검출기에 도달하는 2차 전자는 입사 빔의 위치로부터 일정 거리 r 떨어져 출현할 것이다. 상기 가정으로부터, 검출되는 2차 전자의 수는 아래의 수학식 5에 주어진 함수일 것이다:
Figure pct00005
(5)
여기서, σf 및 σb는 개별적으로 전방 및 후방 산란 범위이고, a 및 b는 개별적으로 전방 산란 및 후방 산란의 양이다.
SEM들은 빔이 피처 위에 있을 때와 비교하여 피처들 사이의 공간에 있을 때 탈출하는 2차 전자들의 상이한 수 때문에 토포그래피(topography)를 검출한다. 도 10은 2차 전자가 공간(특히 작은 경우)으로부터 탈출하는 데 문제가 있어 공간이 비교적 어둡게 보이는 것을 도시한다. 전자 빔이 라인들 사이의 공간 내의 스폿에 집속될 때, 산란된 전자들은 탈출하는 2차 전자들의 일부를 흡수하는 피처(815)와 상호 작용한다. 검출된 2차 전자 신호는 빔이 공간 내의 피처 에지에 접근함에 따라 감소된다.
계단(즉, 피처(815))에 의한 흡수는 공간 영역에서의 라인 스캔의 형상의 예측을 생성하도록 모델링될 수 있다. 큰 피처가 x = 0에서 좌측 에지(815-1)를 갖고, 피처(815)가 우측(양의 x)에 있는 경우, 위치의 함수로서 검출된 2차 전자 신호(SE(x))는 아래의 수학식 6에 의해 주어질 것이다:
Figure pct00006
(6)
여기서 αf는 계단에 의해 흡수된 전방 산란 2차 전자의 분율(fraction)이고, αb는 계단에 의해 흡수된 후방 산란 2차 전자의 분율이다.
그러나, 빔이 피처(815)의 상부에 있을 때, 산란된 전자들과 피처의 상호 작용은 아래의 수학식 7에서 설명되는 바와 같이 매우 상이하다. 도 8에 예시된 바와 같이, 빔이 더 멀리 있는 것보다 에지에 더 가까울 때 두 가지 현상이 발생한다. 첫째, 전방 및 후방 산란된 전자 둘 모두로부터의 2차 전자는 에지(815-1)로부터 더 쉽게 탈출할 수 있다. 이는 이미 상기에서 논의된 에지 블룸(edge bloom)을 야기한다. 이러한 영향을 설명하기 위해, 포지티브 항(positive term)
Figure pct00007
이 추가되어, σe가 계단 재료의 전방 산란 범위와 매우 유사한 전방 산란된 2차 전자의 증강된 탈출을 설명한다. 추가로, 빔이 에지(815-1) 근처에 있을 때 상호 작용 체적 자체가 감소하여, 더 적은 2차 전자가 생성된다. 따라서, σv < σe인 경우
Figure pct00008
항은 큰 피처(815)의 최상부에 대한 라인 스캔 표현인 아래의 수학식 7을 제공하기 위해 감산된다:
Figure pct00009
(7)
도 11은 이 모델에 대한 결과의 예를 도시한다. 보다 구체적으로, 도 11은 실리콘 웨이퍼와 같은 기판 상의 좌향(left-facing) 레지스트 계단(815)(x = 0에서 좌측 에지(815-1)를 갖는 큰 피처)의 예측된 라인 스캔을 도시한다. 캘리브레이션된 모델(1105)은 엄격한 몬테 카를로 시뮬레이션 결과들(1110) 상에 중첩된다. 캘리브레이션된 모델(1105)은 몬테 카를로 시뮬레이션 결과들(1110)과 매우 가깝게 일치하여, 2개의 커브들이 거의 하나의 라인으로 함께 보인다.
위의 논의는 격리된 좌향 에지(815-1)를 모델링하는 것을 포함한다. 우향(right-facing) 에지를 포함하도록 모델을 적응시키는 것은 에지를 병진 및 반전시키고 결과적인 2차적인 것(즉, 2차 전자들)을 추가하는 것을 포함한다. 만약 두 에지가 상호 작용할 만큼 가까우면 약간의 복잡한 관계(complication)가 발생하여 추가적인 항이 생긴다. 추가적으로, 피처 에지의 최상부 및 바닥에서의 비-수직 측벽들 및 둥근 코너들의 영향이 모델에 포함될 수 있다(도 12).
도 12는 실리콘 웨이퍼 상의 레지스트 라인들 및 공간들의 패턴의 대표적인 예측된 라인 스캔(linescan)을 도시한다. 캘리브레이션된 모델(1205)은 엄격한 몬테 카를로 시뮬레이션 결과들(1210) 상에 중첩된다. 다시, 캘리브레이션된 모델(1205)은 몬테 카를로 시뮬레이션 결과들(1110)과 매우 근접하게 일치하여, 2개의 커브들이 거의 하나의 라인으로 함께 보인다. 최종 모델 (ALM)은 피처 및 웨이퍼의 재료들의 속성들, 및 빔 전압에 의존하는 15 개의 파라미터들을 포함한다. 모델을 검증하고 이러한 파라미터들을 캘리브레이션하기 위해, 엄격한 제1 원리 몬테 카를로 시뮬레이션들이 상이한 재료들 및 피처 기하학적 구조들에 대한 라인 스캔들을 생성하기 위해 사용될 수 있다. 그런 다음, ALM은 몬테 카를로(Monte Carlo) 결과로 피팅될 수 있으며, 15개의 미지의 파라미터의 최적-피팅 값을 생성한다.
6. 역 라인 스캔 모델(Inverse Linescan Model)
위에서 논의된 분석 라인 스캔 모델(ALM)과 같은 라인 스캔 또는 이미지 모델들은 특정 패턴 구조(예컨대, 웨이퍼 상의 피처)에 대한 이미지 또는 이미지 라인 스캔의 형상을 예측한다. ALM은 모델이 입력으로서 특정 피처에 대한 기하학적 구조 정보를 수신하고, 출력으로서 특정 피처의 개개의 SEM 라인 스캔의 예측된 형상을 제공하는 순방향 모델링 문제를 해결한다.
ALM과 대조적으로, 개시된 에지 검출 시스템(700)은 웨이퍼 상의 특정 피처를 설명하는 SEM(701)으로부터 입력으로서 "측정된 라인 스캔 정보(measured linescan information)"를 수신하는 역방향 모델을 포함한다. 특정 피처를 설명하는 측정된 라인 스캔 정보에 응답하여, 에지 검출 시스템(700)은 측정된 라인 스캔을 생성할 피처 기하학적 구조를 설명하는 출력 "피처 기하학적 구조 정보(feature geometry information)"를 생성하기 위해 그의 역방향 모델을 채용한다. 유리하게는, 에지 검출 시스템(700)은 SEM(701)으로부터 측정된 라인 스캔 정보가 상당한 양의 이미지 노이즈를 포함할 때에도 유효한 것으로 밝혀졌다. 일 구현예에서, 출력된 피처 기하학적 정보는 적어도 피처 폭을 포함한다. 다른 구현예에서, 출력된 피처 정보는 측벽 각도, 피처 두께, 최상부 코너 라운딩(top corner rounding), 또는 바닥 푸팅(bottom footing)과 같은, 특정 피처의 기하학적 구조에 대한 피처 폭 및/또는 다른 기하학적 구조 설명자(descriptor)를 포함한다. 반도체 웨이퍼 상에 배치된 피처는 개시된 기술이 적용되는 하나의 특정 유형의 패턴 구조의 예라는 점에 유의한다.
많은 이미징 시스템들의 모델들과 같이, ALM은 본질적으로 비선형이다. ALM의 비선형 특성을 해결하기 위해, 에지 검출 시스템(700)은 ALM 또는 유사한 순방향 모델을 수치적으로 반전시키고, 결과적인 역 라인 스캔 모델을 측정된 라인 스캔에 피팅하여 피처 에지들을 검출한다(예를 들어, 웨이퍼 상의 피처 기하학적 구조를 추정하기 위해). 개시된 에지 검출 시스템 장치 및 에지 검출 프로세스는 피처 거칠기를 검출 및 측정하는 능력을 포함한다. 개시된 장치 및 방법론은 피처 폭(CD) 및 에지 위치 또는 배치의 정밀한 측정과 같은 1D 또는 2D 피처들의 일반적인 CD 계측의 다른 애플리케이션들에도 적용될 수 있다.
먼저, ALM(및 유사한 모델들 또한)은 2가지 유형의 입력 파라미터들, 즉 재료-의존 파라미터들(material-dependent parameters) 및 기하학적 구조 파라미터들(geometry parameters)을 갖는다는 점에 유의한다. 재료-의존 파라미터들은 전방 및 후방 산란 거리들과 같은 파라미터들을 포함하는 반면, 기하학적 파라미터들은 피처 폭 및 피치(pitch)와 같은 파라미터들을 포함한다. 일 구현예에서, 반복된 에지 검출 애플리케이션에 대해, 재료 파라미터들은 고정될 것이고, 기하학적 구조 파라미터들만이 변할 것이다. 가장 간단한 경우(즉, 간단한 에지 검출을 위한 경우)에는, 피처에 대한 에지 위치들만이 변화하고 있다고 가정하여, 측벽 각도(sidewall angle), 코너 라운딩(corner rounding) 등이 일정하다고 가정된다. 따라서, 에지 검출 시스템(700)에서의 에지 검출을 위한 라인 스캔 모델의 사용은 2개의 단계들: 1) 전체 이미지에 걸쳐 일정하다고 가정되는 파라미터들을 캘리브레이션한 다음, 2) 각각의 측정에 대해 라인 스캔 모델에 대해 측정된 라인 스캔의 최상의 피팅을 제공하는 특징 에지 위치들을 찾는 단계를 수반한다.
일 구현예에서, 제1 단계에서, 캘리브레이션은 라인 스캔 모델을 엄격한 몬테 카를로(Monte Carlo) 시뮬레이션들과 비교함으로써 달성된다. 이 단계의 목표는 필요한 범위의 애플리케이션에 걸쳐 재료 파라미터를 찾고, 피팅이 필요한 범위의 피처 기하학적 구조에 적절한지 확인하는 것이다. 완료될 때, 이 캘리브레이션된 라인 스캔 모델은 역 라인 스캔 모델의 생성을 위한 시작점으로서 역할을 할 수 있다. 역 라인 스캔 모델(ILM : Inverse Linescan Model)은 측정될 특정 SEM 이미지로 캘리브레이션되어야 한다. 이미지 그레이스케일 값들은 단지 2차 전자 신호들에 비례하기 때문에, 그레이스케일 값들에 대한 최소 매핑이 요구된다. 실세계 애플리케이션에서, 실험 측정에서의 재료 속성은 몬테 카를로 시뮬레이션에서 가정된 것과 동일하지 않을 것이서 이들 파라미터의 일부 캘리브레이션(calibration)이 또한 요구될 것이다.
7. 역 라인 스캔 모델의 캘리브레이션
에지 검출을 위해 ILM을 사용하기 전에, ILM은 먼저 캘리브레이션된다. 모델의 일부 파라미터(예컨대, 재료-의존 파라미터)는 전체 이미지에 대해 일정한 것으로 가정된다. 그러나 에지의 위치, 피처 폭 및 피치와 같은 기하학적 구조 파라미터는 라인 스캔마다 달라지는 것으로 가정된다. ILM 캘리브레이션의 목표는 피처 에지의 정확한 위치에 관계없이 전체 이미지에 대해 일정한 파라미터를 결정하는 것이다. 이미지 노이즈가 존재하에서 이러한 파라미터를 정확하게 결정하는 것이 ILM 캘리브레이션의 추가 목표이다. 이러한 목표들은 측정되는 피처에 대한 대칭 축을 따라서의 평균화(averaging)에 의해 달성되고, 따라서 이미지 노이즈 및 실제 피처 거칠기 둘 모두를 평균화한다.
대칭 축(예를 들어, 긴 라인 또는 공간 피처에 평행한 방향)을 따라 라인 스캔을 평균화함으로써, 실제 에지 위치들에 대한 정보는 손실되지만 라인 스캔 모델의 재료 파라미터들에 대한 정보는 유지된다. 또한, 이미지에서의 노이즈는 대부분 이러한 방식으로 평균화된다. ILM을 평균 라인 스캔들에 대해 캘리브레이션하는 것은 이 이미지에 특정한 재료 파라미터들(또는 이미지 전체에 걸쳐 일정한 것으로 가정된 임의의 파라미터들)의 세트를 생성할 수 있다.
측정될 많은 피처들은 ILM 캘리브레이션에 적절한 대칭축을 나타낸다. 예를 들어, 수직 에지는 대칭의 수직 축을 갖는다. 이미지로부터 픽셀들의 수직 열(column)의 모든 픽셀들을 평균화하는 것은 피처의 에지에 수직인 방향에서, 수평 정보만을 남기고, 모든 수직 변동을 평균화할 것이다. 이 평균화의 결과는 평균 라인 스캔이라고 불리는 1차원 라인 스캔이다. 마찬가지로, 명목상 원형 컨택 홀 또는 필라는 이상적으로 반경 방향으로 대칭이다. 피처의 중심에 대한 극각(polar angle)을 통해 평균화하는 것은 이미지로부터 노이즈 및 거칠기를 제거하는 평균 라인 스캔을 생성할 것이다. 타원형 홀 형상은 타원의 장축 대 단축의 비율에 비례하여 픽셀 크기를 한 방향으로 압축하거나 확장함으로써 그렇게 평균화될 수 있다. 다른 대칭 축들이 다른 피처들에 대해서도 존재한다.
하나의 측정된 이미지(예를 들어, 하나의 SEM 이미지)는 이미지 내에 하나 이상의 피처들을 포함할 수 있다. 예를 들어, 도 1a는 다수의 수직 라인 피처들 및 다수의 수직 공간 피처들을 도시한다. 도 1b는 다수의 컨택 홀들을 도시한다. 그러한 경우에 대해, 각각의 피처는 대칭축을 따라 개별적으로 평균화되어 해당 피처에 대한 평균 라인 스캔을 형성할 수 있다. 도 1a의 예에서, SEM 이미지는 수직 스트라이프(stripe)들로 파티셔닝될 수 있고, 각각의 스트라이프는 단지 하나의 라인 피처를 포함하고, 여기서 스트라이프는 대략 하나의 공간의 중심으로부터 대략 다음 공간의 중심으로 수평으로 연장된다. 도 1b의 예의 경우, 이미지는 별개의 직사각형 영역들로 파티셔닝될 수 있으며, 각각은 직사각형 영역의 중심과 대략 일치하는 컨택 홀의 중심을 갖는 정확히 하나의 컨택 홀을 포함한다. 그런 다음, 해당 컨택 홀에 대한 평균화된 라인 스캔은 이미지의 해당 직사각형 영역으로부터 결정된다. 대안적으로, 이미지 내의 각각의 피처로부터의 각각의 평균화된 라인 스캔들은 그 자체가 함께 평균화되어 전체 이미지에 적용 가능한 단일 평균화된 라인 스캔을 형성할 수 있다.
(단일 SEM 이미지 상의 모든 에지들의 검출과 같은) 반복된 에지 검출 애플리케이션에 대해, 재료 파라미터들은 고정될 것이고, 기하학적 구조 파라미터들만이 변할 것이다. 가장 간단한 경우(즉, 간단한 에지 검출을 위해), 피처에 대한 에지 위치들만이 변화하고 있다고 가정할 수 있어서, 피처 두께, 측벽 각도, 코너 라운딩(corner rounding) 등이 일정하다고 가정된다. 따라서, 에지 검출을 위한 ILM의 사용은 2개의 단계들을 수반할 것이다: 평균 라인 스캔을 사용하여 일정하다고 가정되는 파라미터들(즉, 재료 및 고정된 기하학적 구조 속성들)에 대해 한 번 캘리브레이션하는 단계, 및 그런 다음 각각의 라인 스캔에 대한 라인 스캔 모델에 측정된 라인 스캔의 최상의 피팅을 제공하는 피처 에지 위치들을 찾는 단계. 옵션으로, 캘리브레이션은 앞서 설명된 바와 같이, 라인 스캔 모델과 엄격한 몬테 카를로(Monte Carlo) 시뮬레이션의 비교에 의해 달성된다. 이 초기 단계의 목표는 필요한 범위의 애플리케이션에 걸쳐 재료 파라미터를 찾고 모델이 필요한 범위의 피처 기하학적 구조에 적절한지 확인하는 것이다. 완료될 때, 이러한 부분적으로 캘리브레이션된 라인 스캔 모델은 평균 라인 스캔을 사용하여 측정될 특정 SEM 이미지에 대해 계속 완전히 캘리브레이션되어야 한다.
일단 ILM이 주어진 SEM 이미지 또는 이미지들의 세트들로 캘리브레이션되었다면, 그것은 에지들을 검출하는 데 사용된다. ALM 모델과 같은 라인 스캔 모델들의 비선형 성질로 인해, 예를 들어, 모델에 데이터에 가장 잘 맞는 좌측 및 우측 에지 위치들의 값들을 찾기 위해 비선형 최소 제곱 회귀 분석(non-linear least-square regression)를 사용하는 수치 반전(numerical inversion)이 필요하다. 더 간단한 라인 스캔 모델들의 경우, 선형 최소 제곱 피팅(linear least-square fit)가 가능할 수 있다. "최적 피팅(best fit)"의 다른 수단도 당업계에 알려져 있다. 에지 검출기로서의 ILM은 필터를 사용하지 않고 고 노이즈 환경에서 에지를 검출할 수 있게 한다. 도 13a 및 13b는 임의의 필터링 또는 이미지 평활화(smoothing)를 사용하지 않고 매우 노이즈가 많은 이미지에 대한 에지들의 신뢰성 있는 검출을 입증한다. 보다 구체적으로, 도 13a는 ILM을 이용한 에지 검출 전에 18 nm 라인 및 공간을 나타내는 패턴 구조의 원래의 SEM 이미지이다. 도 13b는 ILM을 이용한 에지 검출 후의 동일한 이미지이다.
가우시안 필터는 이미지의 노이즈를 감소시키도록 설계된 일반적인 이미지 평활 필터이다. 박스 필터들 및 메디안 필터(median filter)들과 같은 다른 필터들이 또한 이러한 목적을 위해 통상적으로 사용된다. 거칠기 측정에 대한 이미지 필터링의 영향을 예시하기 위해, 아래의 표 1은 가우시안 필터 x- 및 y-폭(픽셀 단위)의 함수로서 측정된 3σ 선폭 거칠기(LWR)를 도시한다. 각각의 경우에 대해, ILM 에지 검출 방법이 이용되었고, 따라서 결과적인 LWR에서의 차이는 이미지 필터 파라미터들의 함수일 뿐이다. 이 범위는 거의 2 배(factor)이며, 필터 파라미터의 임의 선택에 기초하여 많은 상이한 거칠기 측정이 획득될 수 있음을 보여준다. 모든 경우에 ILM 에지 검출이 사용되었다. 기존의 임계값 에지 검출 방법을 사용하면 결과 3σ의 거칠기 값의 범위가 훨씬 커진다(표 2). 다른 필터 유형(예를 들어, 박스 또는 메디안)을 사용하는 경우 유사한 결과가 획득된.
표 1
ILM 에지 검출을 사용하여, 가우시안 필터 x- 및 y-폭(픽셀 단위)의 함수로서 미가공(raw)(편향된(biased)) 3σ LWR(nm).
Figure pct00010
표 2
종래의 임계 에지 검출을 사용하여, 가우시안 필터 x- 및 y-폭(픽셀 단위)의 함수로서 미가공(편향된) 3σ LWR(nm).
Figure pct00011
이미지 필터 파라미터들의 임의적 선택은 패턴 구조의 거칠기의 측정에 큰 영향을 미치지만, 임계 값의 영향은 사용된 특정 에지 검출 방법에 의존한다. 이미지 필터링 후에 간단한 임계 에지 검출의 경우에 대해, 측정된 3σ의 거칠기를 최소화하는 하나의 임계값이 존재하며, 다른 값들은 거칠기를 매우 극적으로 변화시킨다(도 14 참조). ILM의 경우, 임계값의 선택은 측정된 LWR에 거의 영향을 미치지 않는다 (도 14에서 임계값이 0.25에서 0.75로 변화할 때, LWR은 5.00 nm에서 4.95 nm까지 변화함). 종래 기술의 에지를 검출하는 방법에 있어서, 임계값의 임의의 선택은 측정된 거칠기에 큰 변동을 야기할 수 있다. ILM에 대해, 거칠기의 측정에 영향을 미치는 임의적 선택은 본질적으로 없다.
개시된 ILM 시스템이 고 레벨들의 노이즈의 존재시 에지들의 정확한 검출을 달성하지만, 노이즈는 여전히 측정된 거칠기에 추가된다. 주어진 에지 기울기의 라인 스캔에 대해, 라인 에지 근처의 그레이스케일 값들의 불확도(uncertainty)는 에지 위치에서의 불확도로 직접 변환된다. 그러나 주요한 차이점은 노이즈의 영향은 필터링 없이 해당 경우에 대해 측정될 수 있다는 것이다. 필터링되지 않은 이미지의 노이즈 플로어(noise floor)는 PSD(파워 스펙트럼 밀도)로부터 감산되어, PSD(및 따라서 거칠기)의 비편향된(unbiased) 추정치를 생성할 수 있다. 필터링된 이미지의 경우, 노이즈 플로어는 대부분 알아보지 못하게 되어(smear), 검출, 측정, 또는 제거될 수 없다.
도 15a 및 15b는 개별적으로 결합된 우측 및 좌측 에지들을 갖는 많은 거친 피처들로부터의 LER 파워 스펙트럼 밀도들을 도시한다. 보다 구체적으로, 도 15a는 개시된 ILM 기술을 이용한 에지 검출 후의 미가공 PSD들을 도시하는 반면, 도 15b는 노이즈 감산 후의 PSD들을 도시한다.
패턴 구조 상의 피처의 좌측 및 우측 에지들에 대한 라인 에지 거칠기(LER)가 비교되는 도 15a에 도시된 결과들을 고려한다. 미가공 PSD들은 2개의 에지들이 상이하게 거동함을 나타낸다. 그러나, 이들 차이는 우측 라인 스캔 기울기를 좌측 라인 스캔 기울기보다 낮게 만드는 스캔-방향 비대칭(대전(charging)과 같은)에 의해 야기되는 SEM의 아티팩트(artifact)이다. 실제로, 이 샘플에 대해 웨이퍼 상의 우측 에지와 좌측 에지 사이에는 차이가 없다. 각각의 에지에 대한 노이즈 플로어를 개별적으로 측정함으로써, 노이즈를 감산하는 것은 실제 PSD의 비편향된 추정치인 공통 좌측/우측 LER(도 15b)을 생성한다.
일단 노이즈가 감산되면, PSD의 신뢰성 있는 분석은 제로 주파수 PSD(0), 상관 길이, 및 거칠기 지수 H와 같은 중요한 거칠기 파라미터들의 신뢰성 있는 추정치들로 이어질 수 있다. 비편향 3σ 거칠기가 또한 획득될 수 있다. 노이즈를 제거하지 않고, 경험적 PSD로부터 이러한 파라미터들의 추출은 문제가 있고 체계적인 에러들이 발생하기 쉽다.
8. PSD의 비편향 측정(Unbiased Measurement of PSD)
정확한 거칠기 측정의 가장 큰 장애는 CD-SEM 이미지에서의 노이즈이다. 다른 노이즈 소스들 중에서, SEM 이미지들은 샷 노이즈(shot noise)를 겪는데, 주어진 픽셀에 대해 검출된 전자들의 수는 무작위로 변화한다. 예상된 포아송 분포(Poisson distribution)에 대해, 이미지의 주어진 픽셀에 대해 검출된 전자들의 수의 분산은 해당 픽셀에 대해 검출된 전자들의 예상된 수와 동일하다. 검출된 전자의 수는 해당 픽셀에 의해 표현되는 샘플 위치에 충돌하는 전자의 수에 비례하기 때문에, 샘플이 받는 전자 선량을 증가시킴으로써 상대적 양의 노이즈가 감소될 수 있다. 일부 유형의 샘플의 경우, 전자 선량(electron dose)이 몇 가지 결과로 증가될 수 있다. 그러나, 다른 유형의 샘플(예를 들어, 포토레지스트)의 경우, 높은 전자 선량이 샘플 손상(예를 들어, 레지스트 라인 슬리밍(resist line slimming))을 초래한다. 생물학적 시료와 같은 다른 유형의 샘플도 전자 손상을 겪을 수 있다. 따라서, 샘플 손상을 방지하기 위해, 전자 선량(electron dose)은 가능한 낮게 유지되고, 여기서 가능한 최저 선량은 결과적인 이미지의 노이즈에 의해 제한된다.
도 16은 상이한 전자 선량들에서 취해진 명목상 동일한 리소그래피 피처들의 3개의 SEM 이미지들의 부분들을 도시한다. 보다 구체적으로, 도 16은 (각각 좌측에서 우측으로) 2, 8, 및 32 프레임의 통합을 갖는 명목상 동일한 레지스트 피처의 SEM 이미지의 부분을 도시한다. 프레임들의 통합을 배가시키는 것(doubling)은 픽셀 당 전자 선량을 배가시킨다. 각각의 경우에 선량이 4배 증가하기 때문에 노이즈는 2배 저하된다.
SEM 이미지 노이즈는 웨이퍼 상의 패턴들의 실제 거칠기에 추가되어 더 크게 편향된 측정 거칠기를 생성한다. 전형적으로, 수학식 8A에 의해 주어진 편향된 거칠기(biased roughness)를 획득한다.
Figure pct00012
(8A)
여기서, σ편향됨은 SEM 이미지로부터 직접 측정된 거칠기이고, σ비편향됨는 비편향된 거칠기(즉, 웨이퍼 피처들의 실제 거칠기)이며, σ노이즈는 SEM 이미징 및 에지 검출에서의 노이즈로 인한 검출된 에지 위치(또는 선폭)에서의 무작위 에러이다. 수학식 8A는 노이즈가 측정되는 피처 상의 거칠기와 통계적으로 독립적이라고 가정한다. 그렇지 않으면, 아래에서 더 설명되는 바와 같이, 더 복잡한 노이즈 모델들이 사용될 수 있다. 피처 거칠기의 비편향된 추정치가 요구되기 때문에, 측정된 거칠기는 노이즈 항의 추정치를 감산함으로써 정정될 수 있다.
SEM의 픽셀 노이즈는 피처에 대한 예상된 라인 스캔의 형상에 따라 에지 검출 노이즈를 생성한다. 예를 들어, 도 17a는 픽셀 노이즈가 무시할 수 있을 정도로 매우 많은 수의 전자가 있을 때 웨이퍼 상의 라인 피처에 대한 전형적인 라인 스캔(그레이스케일 값 대 수평 위치, g(x))을 도시한다. 결과는 통계적 관점에서 라인 스캔 신호의 "예상(expected)" 라인 스캔, 즉 기대값이다. 임계 그레이스케일 레벨을 정의함으로써, 에지 위치가 결정될 수 있다. 그러나, 그레이스케일 값들의 노이즈는 검출된 에지 위치에서 노이즈를 초래한다. 주어진 그레이스케일 노이즈 σ그레이에 대해, 에지 위치 불확도 σ노이즈는 에지 dg/dx에서 라인 스캔의 기울기에 의존할 것이다. 작은 레벨의 노이즈에 대하여,
Figure pct00013
(8B)
따라서, 에지 검출 노이즈의 레벨은 픽셀 그레이스케일 노이즈 및 피처 에지에서의 라인 스캔의 기울기의 함수이다.
이 수학식(8B)은 작은 레벨의 노이즈 및 무한히 작은 픽셀 크기에 대해서만 엄격하게 유효하다. 더 많은 양의 노이즈 및 0이 아닌 픽셀 크기의 영향을 탐색하기 위해, SEM 이미지의 시뮬레이션이 사용되었다. 완벽하게 매끄러운 라인 및 공간(25 nm 폭, 50 nm 피치)을 합성 SEM 이미지를 생성하기 위해 분석 라인 스캔 모델(Analytical Linescan Model)에 대한 입력으로서 사용하였다. 그런 다음, 각각의 픽셀의 결과적인 그레이스케일 값들(0 내지 255의 범위)은 주어진 표준 편차(σ그레이)를 갖는 정규 분포의 평균으로서 처리되었고, 무작위 그레이스케일 수가 이 정규 분포로부터 도출된 각각의 픽셀에 할당되었다. 그런 다음, 이들 SEM 이미지는 실험 SEM 이미지로서 처리되었고, 각각의 피처의 에지 위치를 검출하기 위해 역 라인 스캔 모델을 사용하여 측정되었다. 이들 이미지들로부터 측정된 1-시그마 LER은 그레이스케일 픽셀 노이즈로 인한 검출된 에지 위치 불확도(edge position uncertainty)이다. 도 17b는 그레이스케일 노이즈의 존재시 이러한 완벽하게 매끄러운 피처들에 대한 에지 검출 위치에서의 1-시그마 불확도를 도시한다. 이 그래프에서, 3개의 상이한 X 픽셀 크기에 대한 에지 검출 노이즈는 시뮬레이션된 합성 SEM 이미지(평균 100개의 이미지, 각각은 폭 25nm 및 피치 50nm의 20개의 조밀 라인/공간 피처를 가짐)에 대한 그레이스케일 노이즈의 함수로서 플롯팅된다. 에지 검출은 역 라인 스캔 모델(inverse linescan model)을 사용하였고, 피처들의 결과적인 라인 에지 거칠기는 에지 검출 노이즈인 것으로 간주되었다. 결과는 다소 비선형적이며, 더 높은 레벨의 픽셀 노이즈는 훨씬 더 큰 에지 검출 노이즈를 생성한다. 또한, 더 작은 X 픽셀 크기들은 더 낮은 레벨들의 에지 검출 노이즈를 생성한다. 사실상, 에지 검출 분산
Figure pct00014
은 낮은 레벨들의 그레이스케일 노이즈에 대한 X 픽셀 크기에 정비례한다.
픽셀 노이즈가 에지 검출 노이즈의 유일한 소스는 아니다. 동작 동안, 전자 빔은 빔 조향 전자 기기(beam steering electronics)를 사용하여 좌측에서 우측으로 주사된다. 빔 조향에서의 에러들은 빔을 부정확한 위치에 배치할 수 있으며, 이는 에지 에러를 생성한다. 전자 노출 동안 샘플의 대전(charging)은 빔을 부정확한 위치로 편향시킬 것이다. 대전 영향들 중 일부는 체계적일 것이지만, 검출된 에지 위치에서 무작위 변동으로서 나타날 무작위 또는 의사 무작위(pseudo-random) 성분들이 또한 존재할 것이다.
SEM 에지 위치 노이즈를 추정하고 그것을 감산하기 위한 여러 접근법들이 종래 기술에서 제안되었지만, 이들 접근법들은 오늘날의 작은 피처 크기들 및 높은 레벨들의 SEM 이미지 노이즈에 대해 성공적인 것으로 입증되지 않았다. 문제는 높은 이미지 노이즈가 존재하는 경우 에지 검출 강건성(edge detection robustness)의 결여이다. 특히, 노이즈 레벨이 높은 경우, 에지 검출 알고리즘은 에지를 찾지 못하는 경우가 많다. 이 문제에 대한 해결책은 전형적으로 이미지를 필터링을 하는 것이며, 고주파 노이즈를 평활화하는 것이다. 예를 들어, 가우시안 7x3 필터가 이미지에 적용되는 경우, 7 픽셀 폭 및 3 픽셀 높이의 이미지의 각각의 직사각형 영역에 대해, 각각의 픽셀에 대한 그레이스케일 값들은 가우시안 가중치가 곱해진 다음 함께 평균화된다. 결과는 직사각형의 중심 픽셀에 할당된다. 박스(평균) 필터들 및 메디안 필터들이 또한 사용될 수 있고, 유사한 결과들을 생성할 수 있다. 이러한 평활화는 이미지 노이즈가 높을 때 에지 검출을 상당히 더 강건하게 만든다. 도 17c는 우측 이미지에서는 이미지 필터링을 갖고 좌측 이미지에서는 이미지 필터링을 갖지 않는 간단한 임계 에지 검출 알고리즘을 사용하는 예를 도시한다. 이미지 필터링이 없다면, 에지 검출 알고리즘은 대부분 이미지 내의 노이즈를 검출하여 에지를 신뢰성 있게 찾지 못한다.
이미지 필터링의 사용은 결과적인 PSD 및 측정된 거칠기에 큰 영향을 미칠 수 있다. 도 18은 각각이 12개의 피처들을 포함하는 30개의 이미지들의 집합으로부터 획득된 PSD에 대한 2개의 상이한 이미지 필터들의 영향을 도시한다. 모든 이미지는 에지 검출을 위해 역 라인 스캔 모델(inverse linescan model)을 이용하여 측정되었다. 파워 스펙트럼 밀도는 도면에 라벨링된 바와 같이 7x2 또는 7x3 가우시안 필터를 사용하여 전처리되거나 전혀 필터링되지 않은 이미지를 갖는 이들 360개의 거친 피처들로부터 평균되었다. 알 수 있는 바와 같이, 고주파 영역은 필터링에 의해 크게 영향을 받는다. 그러나, PSD의 저주파수 영역도 평활 필터를 사용할 때 눈에 띄는 변화를 보여준다. y-방향으로의 필터링은 고주파 거칠기를 평활화시킨다. x-방향으로의 필터링은 라인 스캔의 기울기를 낮추고, 이는 측정된 저주파 거칠기에 영향을 미칠 수 있다. 후술하는 바와 같이, 이미지 필터링의 사용은 이미지 노이즈의 측정 및 감산을 불가능하게 한다.
이미지 필터링이 없이 에지 검출이 달성될 수 있다면, 노이즈의 PSD 거동을 실제 웨이퍼 피처들의 PSD 거동과 대조함으로써 노이즈 측정 및 감산이 달성될 수 있다. 레지스트 피처들(뿐만 아니라 에칭-후(after-etch) 피처들)은 "실제(True) PSD"로서 도 19에 도시된 바와 같은 PSD 거동(그리고 또한 도 4에 더 앞서 도시됨)을 가질 것으로 예상된다. 피처 에지의 길이를 따른 상관 관계들은 고주파 거칠기를 감소시켜 매우 짧은 길이 스케일들에 걸쳐 거칠기가 매우 작아진다. 한편, SEM 이미지 노이즈는 종종 백색 노이즈(white noise)인 것으로 가정될 수 있어서, 노이즈 PSD는 모든 주파수에 걸쳐 평평하다. SEM 이미지 노이즈의 다른 모델들이 또한 가능하며, 예를 들어, 아래에서 추가로 설명되는 바와 같이, 노이즈를 설명하기 위해 라인 스캔 대 라인 스캔 상관(linescan-to-linescan correlation)을 사용한다. 따라서, 충분히 높은 주파수에서, 측정된 PSD는 이미지 노이즈에 의해 지배되고, 실제 피처 거칠기에 의해 지배되지 않을 것이다(소위 "노이즈 플로어(noise floor)"). 라인의 길이를 따른 그리드 크기가 주어지면(△y), SEM 에지 검출 백색 노이즈는 아래의 수학식 9에 따라 PSD에 영향을 미친다:
Figure pct00015
(9)
따라서, (임의의 이미지 필터링이 없는 경우) 고주파 PSD의 측정은 SEM 에지 검출 노이즈의 측정을 제공한다. 도 19는 백색 SEM 노이즈 모델의 경우에 대한 이러한 접근법을 예시한다. 명백하게, 노이즈 감산에 대한 이러한 접근법은 필터링된 이미지들로부터 나오는 PSD들에 대해 사용될 수 없는데, 이는 그러한 필터링이 고주파 노이즈 플로어를 제거하기 때문이다(도 18 참조).
수학식 9는 백색 노이즈 모델을 가정하며, 여기서 이미지의 임의의 픽셀에서 발견되는 노이즈는 임의의 다른 픽셀에서 발견되는 노이즈와는 독립적이다. 항상 그런 것은 아닐 수 있다. 예를 들어, 각각의 픽셀에서의 노이즈는 그의 가장 가까운 이웃들과 다소 상관되어, 수학식 8B에서 σ그레이에 영향을 미칠 수 있다. 대안적으로, 수학식 8B의 그레이스케일 기울기는 픽셀의 한 행에서 다음 행으로 상관될 수 있으며, 이는 아마도 도 8에 도시된 전자의 상호 작용 체적에 의해 야기될 수 있다. 상관 모델이 가정되거나 측정되는 경우, PSD에 대한 적절한 노이즈 표현은 아래에서 더 설명되는 수학식 9를 대체하기 위해 사용될 수 있다.
도 19는 개시된 에지 검출 장치 및 방법의 노이즈 감산 프로세스의 일 구현예를 도시한다. 개시된 에지 검출 방법에서, 방법은 임의의 이미지 필터링을 이용하지 않고 ILM을 이용하여 (예를 들어, 역 라인 스캔 방법을 이용하여) 에지의 위치를 먼저 검출한다. 이러한 검출된 에지들로부터, 실제 웨이퍼 거칠기 PSD와 SEM 노이즈 PSD의 합인 편향된(biased) PSD가 획득된다. SEM 이미지 노이즈에 대한 모델(일정한 백색 노이즈 PSD와 같은)을 사용하여, 측정된 PSD의 고주파 부분에서 노이즈 플로어를 측정함으로써 노이즈의 양이 결정된다. 실제(비편향된) PSD는 측정된 대로의(as-measured) (편향된) PSD로부터 노이즈 레벨을 감산함으로써 획득된다. 비편향된 PSD(및 따라서, 파라미터들 σLWR(∞), PSD(0), 및 ξ)를 획득하기 위해 노이즈 감산의 상기 접근법을 사용하는 것에 대한 핵심은 이미지 필터링의 사용 없이 강건하게 에지들을 검출하는 것이다. 이는 역 라인 스캔 모델을 사용하여 달성될 수 있다. 역 라인 스캔 모델이 도 18에 도시된 필터 없는 PSD 데이터를 생성하는데 사용되었다.
백색 노이즈를 감산하는 일 예제 방법이 이제 설명될 것이다. 먼저, 어떠한 이미지 필터링도 사용하지 않고(예를 들어, 역 라인 스캔 모델을 사용하여) SEM 이미지로부터 에지들이 검출된다. 하나 이상의 에지의 파워 스펙트럼 밀도는 일반적인 방식으로 계산된다. 단일 에지의 PSD가 상당히 노이즈가 있기 때문에, 많은 에지를 측정하고 PSD를 평균화하는 것은 매우 중요하다. 종종 수백 또는 수천 개의 에지들이 측정되고 그들의 PSD들이 평균화된다. 이러한 평균화된 PSD를 편향된 PSD라 한다. 평균 편향된 PSD로부터, 편평한 노이즈 플로어(flat noise floor)가 관찰되는지를 결정하기 위해 가장 높은 주파수가 검사된다. 이러한 노이즈 플로어는 y 픽셀 크기가 실제 거칠기의 상관 길이보다 충분히 작을 때마다 관찰된다. 전형적으로, 상관 길이의 20% 이하인 y-픽셀 크기가 적당하다. 노이즈 플로어가 관찰되면, 편평한 영역에서의 평균 PSD 값이 계산된다. 이것이 노이즈 플로어이다. 그런 다음, 이 수는 비편향된 PSD를 생성하기 위해 모든 주파수에서 편향된 PSD로부터 감산된다. 편향된 PSD는 웨이퍼 상의 거칠기의 실제 PSD의 최상의 추정치이다.
다른 SEM 에러는 거칠기 PSD의 측정에도 영향을 미칠 수 있다. 예를 들어, SEM 필드 왜곡은 LWR에 거의 영향을 미치지 않지만, LER 및 PPR에 대한 저주파수 PSD를 인위적으로 증가시킬 수 있다. SEM에서의 배경(background) 강도 변동은 또한 LWR뿐만 아니라 LER 및 PPR을 포함하는 측정된 저주파 PSD의 증가를 야기할 수 있다. 이러한 변동들이 측정될 수 있다면, 이들은 잠재적으로 감산될 수 있어서, PSD 및 그의 파라미터들의 최상의 가능한 비편향된 추정치를 생성한다. 측정의 유일한 공통 양태가 사용된 SEM인 많은 SEM 이미지의 결과를 평균화함으로써, SEM 이미지 왜곡 및 배경 강도 변동의 결정이 이루어질 수 있다.
9. 계측 툴 설정에 대한 민감도
측정된 PSD의 모든 노이즈가 백색 노이즈는 아니다. 백색 노이즈는 각각의 라인 스캔으로부터의 에지 위치의 측정 노이즈가 모든 다른 라인 스캔(및 특히 가장 가까운 이웃)과 완전히 독립적일 때 발생한다. 백색 노이즈는 하나의 라인 스캔의 에러와 이웃하는 라인 스캔의 에러를 연결하는 상관 관계가 없는 상태에서 발생한다. 라인의 길이를 따라 에지 에러들의 임의의 작은 상관 관계들은 전체 주파수 영역에 걸쳐 완전히 편평하지 않은 노이즈 시그니처인 "핑크 노이즈(pink noise)"를 야기할 것이다.
SEM 계측 툴의 설정들은 패턴 구조에서 피처의 측정된 거칠기에 영향을 줄 수 있다. 이들 설정은 SEM(701)의 배율 및 픽셀 크기를 포함한다. 이들 2개의 파라미터들은 이미지의 픽셀들의 수를 변경함으로써 독립적으로 변경될 수 있다(예를 들어, 512×512로부터 2048×2048까지). 추가로, SEM 이미지를 캡처할 때의 통합의 프레임 수(전자 선량)를 조절될 수 있다. 이러한 설정의 영향을 연구하기 위해, 통합 프레임의 수는 예를 들어, 전자 선량의 16X 변동을 나타내는 2에서 32까지 변화될 수 있다.
총 전자 선량은 통합의 프레임들의 수에 정비례한다. 따라서, 샷 노이즈(shot noise) 및 에지 검출 노이즈에 대한 영향은 통합 프레임들의 수의 제곱근에 비례할 것으로 예상된다. 도 20은 상이한 수의 통합 프레임으로 측정된, 주어진 웨이퍼 상의 특정 레지스트 피처 유형의 PSD를 도시한다. 이 경우, PSD는 통합 프레임의 수만이 변화되는 18 nm 레지스트 라인 및 공간에 대응한다. 사용된 SEM 조건은 500 eV, 조건당 49개의 이미지, 이미지당 21개의 피처, 픽셀 크기 = 0.8 평방 nm, 및 이미지 크기 = 1024×1024 픽셀이었다. 8개 이상의 프레임의 통합의 경우들은 상당히 편평한 고주파 노이즈 영역을 나타내는 PSD들을 생성한다. 통합 프레임 2개 및 4개의 경우 노이즈 영역이 눈에 띄게 경사진다. 따라서, 백색 SEM 노이즈의 가정은 단지 대략적으로 사실이고, 통합 프레임들의 수가 증가하고 노이즈 레벨이 감소할 때 더 정확한 가정이 된다. 이러한 관찰은 다른 상황들에서 입증되었다: 높은 노이즈 사례들은 비-평탄 노이즈 플로어(non-flat noise floor)들을 보일 가능성이 더 높다.
도 21은 통합 프레임들의 수의 함수로서 측정된 3σ 선폭 거칠기의 편향된 값 및 비편향된 값들을 도시한다. 모든 조건은 도 20에 설명된 것과 동일하였고, 에러 바(error bar)는 95% 신뢰 구간 추정치를 나타낸다. 편향된 거칠기는 2개의 통합 프레임에서 8.83 nm로부터 8 개의 프레임에서 5.68 nm 및 32 개의 프레임에서 3.98 nm로 변한다. 한편, 비편향된 거칠기는 6개의 통합 후에 상당히 안정되어, 2개의 통합 프레임에서의 5.25 nm로부터 8개의 프레임에서의 3.25 nm 및 32개의 프레임에서의 3.11 nm로 변한다. 편향된 거칠기는 32개에 비교하여 8 개의 프레임에서 43% 더 높지만, 비편향된 거칠기는 32개에 비교하여 8개의 프레임에서 단지 4% 더 높다. 백색 SEM 노이즈의 가정은 통합되는 2 개 및 4 개의 프레임들에서 매우 정확하지 않기 때문에, 백색 노이즈 모델을 사용하는 비편향된 측정의 노이즈 감산은 통합의 이들 매우 작은 개수의 프레임들에서 완전히 성공적이지 않다. 상관된 노이즈 모델은, 아래에서 더 충분히 설명되는 바와 같이, 특히 작은 개수의 통합 프레임들에 대해 더 양호한 노이즈 감산(noise subtraction)을 생성할 수 있다. 도시된 결과들은 LWR에 대한 것이지만, 라인 에지 거칠기 (LER) 및 패턴 배치 거칠기 (PPR)의 측정에 대해 유사한 결과들이 획득된다.
에지 노이즈에서의 상관 관계들의 하나의 가능한 원인은 픽셀 노이즈에서의 상관들일 것이다. 이러한 가능성을 테스트하기 위해, 격리된 에지가 CD-SEM로 측정되었다. 에지는 SEM이 전형적인 방식으로 그의 이미징 기능을 수행할 수 있게 하지만, 에지로부터 좌측 또는 우측의 거리에서 필드는 평탄하고 피처가 없다. 이 영역에서 픽셀 그레이스케일 값의 유일한 변동은 이미지 노이즈에서 나온다. 그런 다음, 이웃하는 픽셀들 사이의 상관 계수가 계산될 수 있다. 이들 계산을 수행하면, x-방향으로의 이웃하는 픽셀들 사이의 평균 상관은 0.12이었지만, y-방향으로의 평균 상관은 0.01에 불과하여, 본질적으로 0이었다. 이들 상관 계수들은 2 내지 32 프레임의 통합에서 측정된 에지들에 대해 결정되었다. 통합의 프레임들의 수의 함수로서 픽셀-대-픽셀 상관 관계에서의 변동이 거의 없었다. 따라서, 상관된 픽셀 노이즈는 낮은 통합 프레임에서 관찰된 핑크 노이즈에 대한 책임이 없다. 그러나, 수학식 8B의 라인 스캔 기울기가 노이즈 상관들에 대한 원인일 가능성이 있다.
라인 스캔 기울기에서의 노이즈 상관 관계의 가능한 원인은 빔과 샘플의 상호 작용으로부터 비롯된다. 샘플에 충돌하는 전자는 전자의 에너지 및 샘플의 재료 속성에 의존하는 다수의 프로세스를 겪는다. 전자는 샘플 재료의 원자를 산란시켜, 에너지를 방출하고, 방향을 변화시키며, 종종 샘플 원자를 이온화시킴으로써 2차 전자의 캐스케이드를 생성한다. 때때로 전자는 원자 핵으로부터 역방향으로 튀어나와서 샘플 밖으로 빠져 나간다(후방 산란 전자라고 함). 더 낮은 에너지의 2차 전자들 중 일부는 또한 (종종 피처의 에지들을 통해, 도 8a 및 8b 참조) 샘플 밖으로 탈출할 수 있다(도 참조). SEM이 이미지를 형성하는 방식은 각각의 빔 위치에 대해 샘플을 탈출하는 2차 전자 및/또는 후방 산란 전자의 수를 검출하는 것에 의한다.
SEM을 사용하여 이미지를 형성할 때, 전자들의 작은 스팟이 샘플(즉, 픽셀) 상의 특정 포인트에 체류하면서, 탈출하는 2차 전자들의 수가 2차 전자 검출기에 의해 카운트된다. 도 8a에서와 같이, 스팟이 피처 에지로부터 먼 거리에 있을 때, 검출된 2차 전자들(805)의 수는 작다(그리고 픽셀은 어둡다). 도 8b에서와 같이 스팟이 피처 에지 근처에 있을 때, 상호 작용 체적으로부터의 2차 전자(805)는 밝은 픽셀을 생성하는 피처 에지로부터 쉽게 탈출한다.
전자의 상호 작용 체적(interaction volume)은 빔 전압 및 샘플 재료 속성에 따라 직경이 1 내지 수십 나노미터일 수 있다. 이 상호 작용 체적은 샘플 상의 하나의 스폿에 충돌하는 전자가 상호 작용 체적에 의해 결정되는 범위에 걸쳐 샘플 형상에 의해 영향을 받음을 의미한다. 따라서, 픽셀들의 하나의 행에서의 라인 스캔의 기울기는, 상호 작용 체적 반경이 y 픽셀 크기보다 클 때마다, 이웃하는 픽셀들에서의 라인 스캔의 기울기에 독립적이지 않을 것이다. 이러한 의존성은 전자 빔 상호 작용 체적에 의해 영향을 받는 노이즈 상관 길이와 함께, 노이즈에서의 상관들의 원인이 될 수 있다.
10. 파워 스펙트럼 밀도에서 스파이크(spike) 검출 및 제거
거친 피처들의 전형적인 이미지들에서 신호와 간섭하는 노이즈 이외에, 백색 노이즈 또는 핑크 노이즈에 비해 그리고 측정되는 거칠기에 비해 매우 상이한 주파수 거동을 갖는 이미지들에서 다른 에러들이 존재할 수 있다. 일부 이러한 에러는 PSD에서 크지만 좁은(narrow) 스파이크를 생성한다. 도 25a는 데이터세트들에서 간헐적으로 발견되는 고주파수 "스파이크(spike)들"의 일 예를 도시한다. 그러한 스파이크에 대한 하나의 원인은 이미징 툴의 주사 전자 기기에서의 전기적 간섭일 수 있다. 간섭이 이미지의 전체 주사 내에서 하나 이상의 간섭 이벤트들을 허용하는 범위의 주파수에 있는 경우, 이러한 간섭은 주사 빔 위치의 약간 그러나 규칙적인 "지터(jitter)"를 초래할 수 있다. 매우 정밀한 주사(scanning)를 위해, 심지어 서브-나노미터 지터는 측정된 PSD에서 하나 이상의 큰 스파이크들을 초래할 수 있다. 메커니즘에 따라, 이러한 간섭 스파이크들은 라인 에지 거칠기 (LER) 및 패턴 배치 거칠기 (PPR)에 존재할 수도 있지만, 선폭 거칠기 (LWR) PSD에 존재하지 않을 수 있다. 대안적으로, 간섭은 모든 3개의 PSD들에서 동일한 주파수들에서 스파이크들을 야기할 수 있다.
예를 들어, 50Hz 또는 60Hz의 주파수에서의 전기적 간섭은 측정 툴이 표준 "TV" 주사 레이트 또는 이러한 레이트의 작은 배수들로 이미지들을 캡처할 때 측정된 PSD에서 현저한 스파이크들을 야기할 수 있다. 추가적으로, 정상 오디오 주파수들에서의 전기적 간섭은 전형적인 측정 툴 이미지들에서 더 높은 PSD 주파수들에서 가시적인 스파이크들을 야기할 수 있다.
PSD에서의 스파이크의 존재는 그것들의 양, 그것들의 진폭, 및 그것들의 주파수에 의존하는 다수의 이유로 바람직하지 않을 수 있다. 도 25a에 도시된 바와 같은 고주파 스파이크의 경우에 있어서, 스파이크는 상술한 노이즈 제거 프로세스에 영향을 미칠 수 있고, 그 결과 이미지에서 백색 또는 핑크 노이즈의 양의 과대 평가를 초래한다.
PSD 스파이크는 이미징 툴 내에서의 전기적 간섭 이외의 현상에 의해 야기될 수 있다. 측정되는 객체는 측정될 개략적인 피처들 이외의 주기적 또는 반주기적 구조들을 포함할 수 있다. 예를 들어, 객체의 수직으로 배향된 거친 피처들의 세트는 수평 피처들의 주기적 세트의 최상부 상에 있을 수 있으며, 이는 이미지에서 약간 보이는 거친 피처들 아래의 토포그래피(topography)를 초래한다. 이러한 하지(underlying)의 토포그래피는 PSD에 대한 중간 주파수 스파이크(mid-frequency spike)를 초래할 수 있다(더 높은 주파수 고조파도 또한 가능하다). 도 26은 이러한 현상의 예를 도시한다.
PSD에서 스파이크를 발생시킬 수 있는 또 다른 현상은 측정될 객체 상의 피처의 재료 내에 작은 크기 범위의 입자(grain)의 존재일 것이다. 함께 빽빽하게 패킹된 유사한 크기의 입자들은 측정된 PSD에서 스파이크를 초래하는 거의 주기적인 외관을 생성할 수 있다.
거칠기 측정은 또한 포토마스크 피처들의 촬영된 이미지들에 대해 수행될 수 있으며, 여기서 포토마스크들은 리소그래피 프로세스에서 사용된다. 포토마스크들은 전형적으로 이미지를 구성하기 위해 0이 아닌 어드레스 그리드(non-zero address grid) 및 직사각형 샷들과 같은 제한들을 갖는 직접-기록 리소그래피 툴을 사용하여 제조된다. 포토마스크를 인쇄하는 데 사용되는 툴의 기록 그리드의 방향에 대해 45도로 배향된 라인과 같은 일부 피처의 경우, 그 결과는 포토마스크 피처의 에지를 따라 작고 규칙적으로 이격된 조그(jog)들일 것이다. 이들 조그는 측정된 포토마스크 거칠기의 PSD에서 스파이크(spike)(또는 메인 스파이크와 고조파 스파이크(harmonic spike))를 생성할 것이다.
도 26에서 발견되는 것과 같은 스파이크들은 편향된 또는 비편향된 PSD로부터의 거칠기 파라미터들의 측정에 매우 불리할 수 있다. 도 27a는 PSD(0), 상관 길이, 및 거칠기 지수(roughness exponent)와 같은 모델링 파라미터들을 포함하는, 스파이크들을 갖는 PSD가 어떻게 비편향된 PSD에 피팅되는 모델을 변경할 수 있는지를 도시한다. 대조적으로, 도 27b는 PSD(0), 상관 길이, 및 거칠기 지수와 같은 모델링 파라미터들을 포함하는, 스파이크들이 제거된 PSD가 비편향된 PSD에 피팅된 모델에 어떻게 영향을 미칠 수 있는지를 도시한다.
이들 및 다른 이유들로 인해, 이들 스파이크들의 원인이 측정되는 피처들의 거칠기(roughness)를 야기하는 메커니즘들과는 상이한 메커니즘으로부터 비롯된 것으로 생각될 때 PSD에서 스파이크들을 제거하는 것이 바람직하다. 즉, (전기적 간섭에 의해 야기되는 스파이크들과 같은) 하나의 메커니즘에 의해 야기되는 PSD 아티팩트들을 (거칠기를 야기하는 확률적 영향들과 같은) 다른 메커니즘들에 의해 야기되는 PSD 아티팩트들로부터 분리하는 것이 바람직하다. 이것은 상이한 메커니즘들의 상이한 주파수 시그니처(frequency signature)들을 인식함으로써, 상기에서 설명된 노이즈 제거와 매우 유사하게 행해질 수 있다.
전술한 바와 같이, 백색 노이즈(또는 핑크 노이즈)은, 노이즈 주파수 시그니처(고주파수에서 편평하거나 거의 편평함)가 실제 거칠기의 주파수 시그니처(고주파수에서 감소하는 멱법칙(power-law))와 매우 다르기 때문에, 실제(비편향된) 거칠기 PSD로부터 분리될 수 있다. 마찬가지로, PSD에서의 이러한 소위 스파이크들은 피처 거칠기 자체의 주파수 시그니처와는 매우 상이한 주파수 시그니처들을 갖는다. 특히, 소위 스파이크는 매우 좁은 주파수 범위에 걸쳐 높은 진폭을 갖는다.
이제 스파이크를 검출 및 제거하는 절차가 설명된다. 첫째, "스파이크(spike)"의 정의는 임계값("임계 범위(threshold range)")보다 작은 주파수 범위에 걸쳐 상승 및 하강하고, 임계값("임계 높이(threshold height)")보다 큰 높이를 갖는 주파수 응답인 것으로 확립될 수 있다.
다음으로, 기준선(baseline)은 스파이크 없는 PSD의 최상의 추정치인 것으로서 확립될 수 있다. 예를 들어, 스파이크 검출을 위한 임계 범위는 PSD 데이터에서 3개의 주파수 증분으로 설정될 수 있다(전형적으로 일정한 주파수 증분으로 샘플링됨). 다른 임계 범위들이 또한 가능하다. 기준선은 (예를 들어, 선형 또는 로그 스케일 상의 직선을 사용하여, 또는 예상된 PSD 거동에 대한 모델을 사용하여) 임계 범위에 하나의 증분을 더한 만큼 분리된 PSD 값들을 매끄럽게 연결함으로써 결정될 수 있다. 그런 다음, 이 기준선은 이 주파수 범위 내의 비-기준선 PSD 거동의 추정치에 도달하기 위해 이 임계 범위 내의 실제 PSD 데이터로부터 감산된다. 비-기준선 PSD 거동이 (절대 항들 또는 기준선 PSD 값의 배수로서 표현되는) 임계 높이보다 큰 값으로 상승하면, 스파이크가 식별되었다. 스파이크를 제거하기 위해, 계산된 기준선 거동은 임계 범위 내의 실제 PSD 값들을 대체하는데 사용될 수 있다. 스파이크에 대한 탐색은 원하는 경우 전체 PSD 주파수 범위를 커버할 수 있다.
임계 범위는 특정 유형의 메커니즘으로 인해 발생하는 스파이크만을 검출(및 가능하게는 제거)하는 방식으로 선택될 수 있다. 예를 들어, 정확히 단일 주파수에서의 간섭은 (스파이크가 PSD의 샘플링된 주파수들과 정확히 일치하는 주파수에 있지 않을 것이기 때문에) 2개 주파수 증분들까지 넓은 PSD에서의 스파이크를 야기할 가능성이 가장 클 것이다. 넓은 2 내지 3 개의 주파수 증분들의 임계 범위는 이러한 "단일 주파수(single frequency)" 간섭 이벤트들을 검출하는데 효과적일 것이다. 더 넓은 임계 범위는 다른 더 넓은 대역의 간섭 이벤트들을 검출할 것이다.
임계 높이는 또한 검출되기를 원하는 메커니즘들에 기초하여 조절될 수 있다. 그러나, 최소 임계 높이는 또한 PSD의 전체 노이즈의 함수이다. PSD는 정의에 의해 무작위 거친 샘플에서 무작위성(randomness)을 측정하기 때문에, PSD 측정은 본질적으로 노이즈가 존재한다. 단일 측정된 피처의 PSD는 100% (1-시그마)의 통계적 불확도를 갖는 것으로 잘 알려져 있다. 즉, 임의의 주어진 주파수에서 임의의 주어진 PSD 값의 통계적 불확도는 단일 피처의 측정에 대해 100%이다. 그러한 이유로, 많은 피처들이 전형적으로 함께 측정되고 평균화되어, PSD에서의 불확도가 측정되는 피처들의 수의 제곱근에 대해 1만큼 감소될 수 있다.
그러나, 측정되고 평균화된 임의의 주어진 수의 피처들에 대해, PSD는 샘플 크기에 고유한 통계적 불확도를 가질 것이다. 스파이크 검출을 위한 임계 높이는 PSD의 고유 노이즈 레벨보다 상당히 더 높도록 선택되어야 한다. 그렇지 않으면, 스파이크들의 검출은 물리적 스파이크들에 의해서가 아니라 PSD 데이터에서의 노이즈에 의해 빈번하게 트리거될 것이다. 대안적으로, 임계 높이는 측정되거나 계산된 PSD 노이즈의 배수(예를 들어, 5X)이도록 선택될 수 있다.
도 25a는 수 개의 고주파 스파이크들(스파이크 아티팩트들(2507))을 나타내는 수 개의 PSD들(선폭 거칠기(LWR PSD(2502)), 라인 에지 거칠기(LER PSD(2504)), 및 패턴 배치 거칠기(PPR PSD(2506))을 도시한다. 도 25b는 이전 단락들에서 약술된 절차를 사용하여 스파이크들이 제거된 동일한 PSD들(예를 들어, LWR PSD(2508)로서 LWR PSD(2502), LER PSD(2510)로서 LER PSD(2504), 및 PPR PSD(2512)로서 PPR PSD(2506))을 도시한다. 이러한 제거를 위해, 임계 범위는 3개의 주파수 증분으로 설정되었고, 임계 높이는 기준선 PSD 값의 3배로 설정되었다. 스파이크의 효과적인 제거는 이러한 설정을 사용하여 달성되었다.
도 27a 및 27b는 스파이크 제거의 또 다른 경우를 보여주는데, 이번에는 중간 주파수 스파이크에 대한 것이다. 도 27a의 좌측 그래프는 스파이크 제거 전의 PSD(편향된 및 비편향된)를 도시한다. 스파이크의 존재는 PSD의 모델링 및 PSD 측정값의 추출에 유해한 영향을 미친다. 우측 그래프인 도 27b는 이전 단락에 약술된 절차를 사용하여 스파이크가 제거된 동일한 PSD를 나타낸다. 이러한 제거를 위해, 임계 범위는 3개의 주파수 증분으로 설정되었고, 임계 높이는 기준선 PSD 값의 3배로 설정되었다. 스파이크의 효과적인 제거는 이러한 설정을 사용하여 달성되었다. 결과적인 PSD 모델링 및 PSD 측정은 스파이크들을 발생시킨 메커니즘을 배제한 피처 거칠기 PSD 거동을 더욱 정확하게 반영한다.
스파이크들을 제거하는 대안 절차가 이제 설명될 것이다. 스파이크들은 PSD를 저역 통과 필터를 통과시킴으로써 PSD로부터 제거될 수 있다. 잘 알려진 기술을 사용하여, PSD는 푸리에 변환되고, 저역 통과 주파수 필터에 증배된 후, 역 푸리에 변환될 수 있다. 저역 통과 필터의 차단 주파수는 설정된 한계치보다 더 좁은 스파이크들을 필터링하기만 하도록 설정될 수 있다. 당해 분야에 공지된 저역 통과 필터링에 대한 다른 접근법들이 또한 적용될 수 있다.
보다 느리게 변화하는 실제 거칠기(true roughness) PSD와 비교하여 스파이크의 상이한 주파수 특성에 기초하여 스파이크를 검출 및 제거하기 위한 다른 방법이 당업자에게 공지될 것이다.
도 7을 참조하면, 정보 처리 시스템(IHS(750))은 본 명세서에 설명된 예시적인 방법 중 하나를 사용하여 스파이크의 검출 및/또는 제거를 포함하도록 수정될 수 있다. 그 중심 주파수, 진폭, 면적, 및/또는 폭과 같은 각각의 검출된 스파이크에 관한 정보가 레코딩되고 출력 디바이스(770)에 출력될 수 있다. 이 정보는 스파이크 형성의 근본 원인을 식별하는 데 유용할 수 있고, 따라서 그러한 근본 원인 메커니즘을 감소시키거나 제거하는 프로세스를 도울 수 있다.
11. PSD 범프의 검출 및 측정
다른 현상은 PSD에서 "범프(bump)"로서 나타나는 PSD 거동을 야기할 수 있고, 이는 달리 도 3에 도시된 전형적인 형상을 갖는다. 이러한 범프는 일반적으로 상대적으로 낮은 주파수에서 발생한다. 이러한 범프는 스파이크의 좁은 주파수 한정(narrow frequency confines)과 대조적으로, 상대적으로 넓은 범위의 주파수를 커버함으로써 스파이크와 구별된다. 도 28a 및 28b는 범프 유형 I 및 범프 유형 II로서 라벨링된, PSD에서의 이러한 소위 범프 거동의 2개의 예들을 도시한다.
범프 유형 I(도 28a)는 PSD(0)에 의해 특징지어지는 편평한 저주파 영역으로 일반적으로 간주되는 것 이상의 저주파 PSD 거동에서 큰 상승이다. 포토리소그래피 단계 동안 웨이퍼로 전사되는 포토마스크 거칠기(photomask roughness)의 존재와 같은 몇몇 메커니즘들이 이러한 범프를 발생시킬 수 있다. 측정되는 이미지들을 캡처하기 위해 사용되는 이미징 툴에서의 보상되지 않은 필드 왜곡들은 또한 이러한 종류의 범프를 야기할 수 있다. 다른 메커니즘들도 가능하다.
범프 유형 II(도 28b)는 범프보다 높고 낮은 주파수에서의 PSD 거동이 예상 거동을 따르도록(예를 들어, 도 3에서 볼 수 있는 바와 같이) 저-중(low-to-mid) 주파수에서 발생한다. 이러한 유형의 PSD 범프가 라인 에지 거칠기 PSD에서 발견되지만 선폭 거칠기 PSD에서는 발견되지 않을 때, 그 영향은 때때로 이미지의 피처에서 구불 구불한 것으로서 눈에 띄기 때문에 "구불 구불함(wiggle)"으로 지칭된다. 그러한 구불 구불함은 예를 들어, 피처를 제조하는 데 사용되는 필름에서의 응력 또는 장력으로부터 야기될 수 있다. 피처를 형성하기 위한 필름의 포토리소그래피 및 서브트랙티브 에칭(subtractive etching)은 응력을 완화하고, 구불 구불한 것이 완화된 나머지 필름을 허용할 수 있다. 구불 구불함(wiggle)을 야기하는 다른 메커니즘들이 또한 가능하다.
백색 노이즈 및 스파이크들과 같이, PSD에서의 범프들은 PSD의 나머지를 발생시키는 확률적 메커니즘으로부터 분리된 메커니즘을 통해 발생하는 것으로 생각된다. 따라서, PSD의 나머지로부터 범프의 영향들을 분리하는 것이 바람직하다. 범프 검출 및 제거를 위해 스파이크 검출 및 제거와 유사한 절차를 사용할 수 있다. 그러나, 이러한 접근법은 큰 주파수 범위에 걸쳐 기준선 PSD 거동을 정의하는 어려움으로 인해 범프의 폭이 클 때 문제가 된다. 범프의 주파수 범위가 넓다는 것은 범프와 스파이크를 구별할 수 있다는 것을 의미하지만, 범프를 검출하고 측정하기 위한 상이한 절차가 필요할 가능성이 있다는 것을 의미한다.
범프 검출, 측정 및 제거의 별개의 기술은 범프에 대한 모델의 사용을 포함한다. 백색 노이즈 및 핑크 노이즈과 같이, 범프 모델은 피처 거칠기의 전형적인 PSD에 직접 추가된다. 따라서, 범프 모델은 범프 거동을 포함하지 않는 전형적인 PSD 모델과 동시에 PSD에 피팅될 수 있다.
범프 모델에 대한 유용한 형태는 아래의 수학식 10에 주어진다:
Figure pct00016
(10)
여기서, A는 범프의 진폭이고, fc는 범프의 중심 주파수이고, σw는 범프의 폭이다. 유형 I 범프(도 28a)의 경우, 중심 주파수는 0일 수 있다. 다른 모델들이 또한 사용될 수 있다. 범프의 면적 및 중심 주파수와 같은 모델의 대안적인 파라미터화가 또한 사용될 수 있다.
예를 들어, 최적 피팅 모델(best fit model)로부터 결정된, 기준선 PSD 위의 범프의 면적은 범프를 발생시킨 현상의 크기의 유용한 측정치이다. 예를 들어, 구불 구불함(범프 유형 II 예, 도 28b)의 경우, 면적은 확률적 거칠기에 의해 야기되는 분산에 더해진 구불 구불함의 분산을 나타낸다. 즉, 범프 검출 및 측정을 위한 이러한 접근법은 피처의 총 분산이 구불 구불함 분산(wiggle variance) 더하기 확률적 거칠기 분산(stochastic roughness variance)으로 분리되게 한다.
도 7을 참조하면, IHS(750)는 본 명세서에 설명된 예시적인 방법들 중 하나를 사용하여 범프들의 검출 및/또는 제거를 포함하도록 수정될 수 있다. 중심 주파수, 진폭, 면적, 및/또는 폭과 같은 각각의 검출된 범프에 관한 정보가 레코딩되고 출력 디바이스(770)에 출력될 수 있다. 이 정보는 범프 형성의 근본 원인을 식별하는 데 유용할 수 있고, 따라서 그러한 근본 원인 메커니즘을 감소시키거나 제거하는 프로세스를 도울 수 있다. 총 PSD로부터 범프 거동을 감산함으로써, 나머지 PSD는 (예를 들어, PSD(0), 상관 길이, 및 거칠기 지수(roughness exponent)와 같은 파라미터들을 사용하여) 특성화될 수 있어서, 이 나머지 PSD는 범프 메커니즘을 제외한 PSD를 발생시키는 메커니즘을 더 정확하게 반영한다.
이제 도 30을 참조하면, PSD 데이터세트에서 원하지 않는 스파이크들을 검출하고 PSD 데이터세트에서 스파이크들을 제거하기 위한 예시적인 방법(3000)이 예시된다. 방법(3000)은 시작하고(블록 3002), 이미징 디바이스를 사용하여, 하나 이상의 이미지들의 세트를 생성하고, 세트의 각각의 이미지는 각개의 패턴 구조 내의 피처의 인스턴스를 포함하고, 각각의 이미지는 노이즈를 포함하는 패턴 구조에 대응하는 측정된 라인 스캔 정보를 포함한다(블록 3004). 다음으로, 방법은 이미지들을 필터링하지 않고 세트의 각각의 이미지의 패턴 구조 내의 피처들의 에지들을 검출하도록 진행하고(블록 3006), 이미지들의 세트의 에지 검출 측정들에 대응하는 피처 기하학적 정보를 나타내는 파워 스펙트럼 밀도(PSD) 데이터세트를 생성한다(블록 3008). 원하는 경우, 비편향된 PSD 데이터 세트는 SEM 노이즈를 감산함으로써 편향된 PSD 데이터 세트로부터 생성될 수 있다. 다음으로, 방법은 임계 범위 및 임계 높이를 정의하고(블록 3010), PSD 데이터세트의 부분의 제1 PSD 값을 제2 PSD 값에 매끄럽게 연결함으로써 PSD 데이터세트의 부분에 대한 기준선(baseline)을 생성하고, 여기서, 제1 PSD 값 및 제2 PSD 값은 임계 범위만큼 분리되고(블록 3012), PSD 데이터세트의 부분의 제3 PSD 값과 기준선 사이의 차이가 임계 높이보다 더 크다고 결정하고(블록 3014), PSD 데이터세트의 부분을 PSD 데이터세트의 부분에 대한 기준선으로 대체한다(블록 3016). 그 후, 방법은 종료한다(블록 3018).
이제 도 31을 참조하면, PSD 데이터세트에서 범프들을 모델링하기 위한 예시적인 방법(3100)이 예시된다. 방법(3100)은 시작하고(블록 3102), 이미징 디바이스를 사용하여, 하나 이상의 이미지들의 세트를 생성하고, 세트의 각각의 이미지는 개개의 패턴 구조 내의 피처의 인스턴스를 포함하고, 각각의 이미지는 노이즈를 포함하는 패턴 구조에 대응하는 측정된 라인 스캔 정보를 포함한다(블록 3104). 다음으로, 방법은 이미지들을 필터링하지 않고 세트의 각각의 이미지의 패턴 구조 내의 피처들의 에지들을 검출하도록 진행하고(블록 3106), 이미지들의 세트의 에지 검출 측정치들에 대응하는 피처 기하학적 구조 정보를 나타내는 편향된 파워 스펙트럼 밀도(PSD) 데이터세트를 생성한다(블록 3108). 원하는 경우, SEM 노이즈를 감산함으로써 편향된 PSD 데이터 세트로부터 비편향된 PSD 데이터 세트가 생성될 수 있다. 범프 모델(bump model)을 생성하기 위해 제1 범프가 PSD 데이터세트에서 평가되고(블록 3110); 최상의 피팅 모델(best fit model)을 생성하기 위해 전형적인 PSD 모델 및 범프 모델을 PSD 데이터세트에 피팅한다(블록 3112). 그 후, 방법은 종료된다(블록 3114).
도 30 및 도 31의 흐름도는, SEM(701)에 의해 수행될 수 있는 특정 단계들 및 IHS(750) 및 그 포함된 프로세서(755) 및 스토리지(760) - 둘 모두는 본 명세서에 상세히 설명됨 - 에 의해 수행될 수 있는 특정 다른 단계들을 포함하는, 도 7에 도시된 에지 검출 시스템(700)을 사용하여 수행될 수 있는 단계들을 포함한다. 명령어들은, 프로세서에 의해 실행될 때, 프로세서로 하여금, 본 명세서에 설명된 역 라인 스캔 모델 계측 툴(765)을 구현하는 스토리지(760)에 저장된 다른 명령어들과 유사한 방식으로, 본 명세서에 개시되고, 도 30 및 도 31의 흐름도들에 의해 설명된 방법들을 수행하게 하는 스토리지(760)에 저장될 수 있다.
12. 픽셀 크기 및 배율의 영향
SEM(701)에 의해 채용되는 픽셀 크기 및 배율에 관하여, 도면들 22a 및 22b는 백색 노이즈 모델을 가정하여, 상이한 배율들 및 픽셀 크기들에 대한 16 nm 라인들 및 공간들의 패턴에 대한 편향된 및 비편향된 파워 스펙트럼 밀도들(PSD들)을 개별적으로 도시한다. 주어진 수의 통합 프레임에 대해, 픽셀 크기를 변화시키는 것은 단위 웨이퍼 면적당 전자 선량 및 SEM 이미지에서의 노이즈를 변화시킨다. 이러한 범위의 조건들 하에서, 편향된 LWR은 0.63 nm(14%)만큼 변화한 반면, 비편향된 LWR은 단지 0.07 nm(2%)만큼 변화했다. 비편향된 LWR은 본질적으로 이러한 계측 툴 설정들에 의해 영향을 받지 않는다. LER 및 PPR의 측정에 대해서도 유사한 결과가 획득되었다.
도 22a 및 22b는 픽셀 크기 및 배율의 함수로서 파워 스펙트럼 밀도를 도시한다. 보다 구체적으로, 도 22a는 편향된 LWR PSD를 도시하고, 도 22b는 노이즈가 측정되고 감산된 후의 비편향된 LWR PSD를 도시한다. 이들 결과에 대한 SEM 조건은 500 eV의 랜딩 에너지, 조건당 3개의 이미지, 및 16 nm 레지스트 라인 및 공간을 사용하였다.
아래의 표 3은 도 22a 및 22b에 도시된 PSD들에 대한 측정된 PSD 파라미터들을 도시한다.
표 3
픽셀 크기 및 배율의 함수로서 편향된 및 비편향된 3σ LWR (nm) 측정치.
Figure pct00017
편향된 LWR과 비편향된 LWR 사이의 차이는 일정하지 않지만, 계측 툴 설정들, 피처 크기, 및 프로세스에 따라 달라진다는 것이 발견되었다. 마찬가지로, 편향된 LWR와 비편향된 LWR 사이의 비율은 계측 툴 설정, 피처 크기, 및 프로세스에 따라 변한다. 아래의 표 4는 다양한 조건들에 대한 편향 대 비편향된 LWR의 차이 및 비율을 보여준다. 이러한 조건들에 대해, 편향 대 비편향된 LWR의 비율은 1.09에서 1.66까지 변한다. 편향된 LWR과 비편향된 LWR 사이의 차이는 이 특정 예에서 0.32 nm 내지 2.19 nm로 변한다.
표 4
다양한 프로세스들에 대한 편향된 LWR과 비편향된 LWR 사이의 관계.
Figure pct00018
13. 에지 검출 구현
도 23은 개시된 SEM 에지 검출 시스템이 패턴 구조의 에지들을 검출하기 위해 채용하는 대표적인 전체 프로세스 흐름을 도시하는 흐름도이다. 논의 목적들을 위해, 도 23의 흐름도에서 설명된 프로세스는 도 24a의 샘플(2400)에 적용된다. 샘플(2400)은 패턴 구조(2400)로도 지칭될 수 있는 패턴 구조이다. 도 23의 흐름도는 패턴 구조의 에지들을 결정하기 위해 역 라인 스캔 모델 계측 툴(765)에 의해 수행되는 단계들을 포함한다.
프로세스 흐름은 도 23의 시작 블록(2300)에서 시작된다. 도 7에 도시된 바와 같이, IHS(750)는 SEM(701)에 결합되어 SEM(701)으로부터 SEM 라인 스캔 이미지 정보를 수신한다. IHS(750)는 프로세서(755) 및 이에 결합된 스토리지(760)를 포함한다. 스토리지(760)는 애플리케이션들 및 다른 정보를 영구적으로 저장하는 하드 드라이브들, 솔리드 스테이트 저장 디바이스들(SSD들) 등과 같은 휘발성 시스템 메모리 및 비휘발성 영구 메모리를 포함할 수 있다. 스토리지(760)는 본 명세서에 개시되고 도 23의 흐름도에 의해 설명되는 ILM(inverse linescan model) 계측 툴(765)을 저장한다. SEM(701)은 IHS(750)가 패턴 구조(800) 상에서 이미지 획득을 수행하도록 지시하고 SEM(701)으로부터 IHS(750)로 라인 스캔 정보를 제공하는 제어기(도시되지 않음)를 포함한다.
블록(2305)에 따라, SEM(701)은 패턴 구조(800)의 SEM 이미지를 IHS(750)에 발송하고, 이에 응답하여, IHS(750)는 이 SEM 이미지를 스토리지(760) 내의 시스템 메모리에 로딩한다. IHS(750)는 블록(2310)에 따라 SEM(701)으로부터의 패턴 구조 이미지를 전처리한다. 예를 들어, 로딩된 SEM 이미지의 이러한 전처리는 그레이스케일 값을 조정하고, 강도 레벨의 배경 틸트(background tilt)를 감산하는 것을 포함할 수 있다. 옵션으로, 블록(2315)에 따라, IHS(750)는 로딩된 이미지의 필터링을 수행할 수 있지만, 이는 일반적으로 바람직하지 않다.
도 24a의 패턴 구조(2400)에서 보이는 수직 라인 및 공간과 같은 패턴 구조의 경우, 역 라인 스캔 모델 계측 툴(765)은 블록(2320)에 따라 평균 라인 스캔을 생성하기 위해 대칭 축에 대해 수직으로 평균화한다. 평균 라인 스캔은 수평 위치의 함수로서의 그레이스케일 값일 수 있고 모든 수직 픽셀들은 함께 평균화된다. 이는 SEM 이미지에 포함된 SEM 노이즈의 대부분을 평균화하여 노이즈 없는 라인 스캔을 생성하는 물리적 프로세스를 더 잘 대표하는 라인 스캔을 생성한다. 도 24b는 하나의 Y-픽셀 위치에서의 단일 라인 스캔을 도시한다. 도 24c는 모든 Y-픽셀들에 걸쳐 평균화함으로써 생성되는 평균화된 라인 스캔을 도시한다.
여기에 도시된 예는 수직 라인 및 공간에 대한 것이지만, 대칭 축을 갖는 임의의 패턴은 평균 라인 스캔을 생성하도록 그렇게 프로세싱될 수 있다. 예를 들어, 긴 라인들, 긴 공간들, 또는 긴 격리된 에지들은 라인의 길이가 적절한 평균화를 허용하기에 충분할 때마다 그렇게 프로세싱될 수 있다. 원형 또는 타원형 대칭을 갖는 컨택 홀 또는 필라는 또한 평균 라인 스캔을 생성하기 위해 반경 방향으로 평균화될 수 있다.
블록(2325)에 따라, 툴(765)은 전술된 방식으로 획득된 평균 라인 스캔에 대해 역 라인 스캔 모델을 캘리브레이션한다. 라인 스캔 모델은 2가지 종류의 파라미터, 즉 1) SEM의 속성 및 재료에 의존하는 파라미터, 및 2) 샘플 상의 피처의 기하학적 구조에 의존하는 파라미터를 포함한다는 것에 유의한다. 툴(765)은 이러한 파라미터들 모두를 캘리브레이션할 수 있다. 툴(765)은 블록(2325)에 따라, 도 24c의 평균 라인 스캔에 대한 모델의 최상의 피팅을 발견한다. 그런 다음, 모델의 최상의 피팅 파라미터들의 값들은 캘리브레이션된 값들이다.
그 캘리브레이션된 모델은 도 24b에 도시된 단일 라인 스캔에 적용된다. 도 24b의 단일 라인 스캔에 대한 모델의 최상의 피팅이 발견되지만, 이 경우, 역 라인 스캔 모델 계측 툴(765)은 재료들 및 SEM 이미징 툴에 관련된 파라미터들 모두를 고정한다. 이 시나리오에서, 툴(765)은 단일 라인 스캔에 대한 캘리브레이션된 모델의 최상의 피팅을 찾기 위해 패턴 구조의 피처의 기하학적 구조와 관련된 파라미터들만을 변경한다.
단순화된 시나리오에서, 블록(2330)에서 변경된 유일한 파라미터들은 피처의 에지들의 위치들일 것이다. 일 구현예에서, 피처의 수직 치수는 미리 결정된 두께를 나타내고 피처의 에지 위치들만이 변화한다고 가정된다. 다음으로, 캘리브레이션된 역 라인 스캔 모델은, 블록(2330)에 따라, 피처의 2D 이미지를 통한 모든 단일 수평 단면에 피팅된다. 픽셀들의 최상부 수평 행을 취하고, 그런 다음, 한 픽셀 아래인 다음 픽셀 행을 취하고, 그런 다음, 한 픽셀 아래인 다음 픽셀 행을 취한다. 하나의 그러한 단일 라인 스캔의 예가 도 24b에 도시되어 있다. 결과적인 최상의 피팅 에지 위치들은 검출된 에지들이다.
피처의 에지들이 전술된 방식으로 검출된 후, 툴(765)은 샘플이 이미지 획득 동안 약간 회전되어 결과적으로 평행한 틸팅된 라인들(즉, 완전히 수직이 아닌 라인들)을 초래했음을 검출할 수 있다. 이러한 틸팅(tilting) 또는 회전은 평균 라인 스캔 및 그에 따라 캘리브레이션된 ILM을 변경함으로써 검출된 에지들의 부정확성에 기여할 수 있다. 이미지 회전은 이미지의 모든 에지들을 평행 라인들의 세트에 피팅하고 수직에 비해 그것들의 기울기를 결정함으로써 검출될 수 있다. 기울기가 수직 케이스와 충분히 다르면, 회전은 제거되어야 한다. 하나의 가능한 기준은 이미지의 최상부에서의 최상의 피팅 라인의 픽셀 위치를 이미지의 바닥에서의 최상의 피팅 라인의 픽셀 위치와 비교하는 것일 것이다. 이들 픽셀 위치가 2개의 픽셀과 같은 일부 임계값만큼 상이하면, 이미지 회전은 그 제거가 요구될 만큼 충분히 큰 것으로 간주된다.
그러한 틸팅/회전이 블록(2335)에 따라 검출되면, 이전 캘리브레이션은 제1 패스(pass) 캘리브레이션인 것으로 간주되고 캘리브레이션이 반복된다. 보다 구체적으로, 이러한 틸팅/회전이 검출되면, 블록(2345)에 따라, 에지들을 수직 정렬하게 하기 위해 픽셀들의 일부 행들을 시프트하고, 새로운 평균 라인 스캔을 계산함으로써 회전이 감산된다. 그런 다음, 블록(2350 및 2325)에 따라 모델의 캘리브레이션이 반복된다. 블록(2330)에 따라, 다른 피팅이 또한 수행된다. 궁극적으로, 툴(765)은 툴(765)에 제공된 라인 스캔 이미지 정보에 대응하는 피처의 기하학적 구조를 설명하는 기하학적 구조 피처 정보(예컨대, 에지 위치들)를 출력한다.
이미지 회전과 같이, 피처들 자체의 거칠기는 ILM의 캘리브레이션에 부정확성을 기여한다. 옵션으로, 제1 패스 에지 검출 후에, 픽셀들의 각각의 행은 이미지 회전을 감산할 뿐만 아니라 피처 거칠기를 감산하도록 또한 시프트될 수 있다. 픽셀들의 각각의 행의 시프팅 후의 최종 결과는 에지 위치가 완벽한 수직 라인으로부터 1 픽셀 미만만큼 변하는 수직 에지이다. 그런 다음, 픽셀들의 이들 시프트된 행들은 ILM 캘리브레이션에 사용하기 위한 더 정확한 평균 라인 스캔을 생성하기 위해 수직으로 평균화될 수 있다.
실제 실시에서, IHS(750)는 프로세서(755)와 디스플레이, 프린터, 또는 다른 사용자 인터페이스와 같은 출력 디바이스(770) 사이에 결합된 인터페이스(757)를 포함할 수 있어서, 사용자가 역 라인 스캔 모델 계측 툴(765)에 의해 결정된 피처 에지들을 관찰할 수 있다. 인터페이스(757)는 그래픽 인터페이스, 프린터 인터페이스, 네트워크 인터페이스 또는 특정 유형의 출력 디바이스(770)에 적합한 다른 하드웨어 인터페이스일 수 있다.
전술한 구현예들은 반도체 디바이스들의 제조에서 사용되는, 반도체 웨이퍼들 상에서 발견되는 구조들의 측정을 참조하지만, 본 발명은 이들 애플리케이션들에 제한되지 않는다. 본 개시는 평판 디스플레이, 마이크로전자기계 시스템, 미세유체(microfluidic) 시스템, 광학 도파관, 광자 디바이스, 및 다른 전자, 광학 또는 기계 디바이스에서 발견되는 피처 에지의 거칠기를 측정하기 위해 유용하게 사용될 수 있다. 또한, 본 발명은 결정(crystal) 또는 광물(mineral)과 같은 자연 발생 구조, 또는 나노입자 또는 다른 나노구조과 같은 인공 구조(manmade structures)의 피처 에지 특성(feature edge characteristics)을 측정하는데 사용될 수 있다. 더욱이, 본 발명은 생물학적 샘플의 특징 에지 특성도 측정하는데 이용될 수 있다.
전술한 구현예들은 주사 전자 현미경(scanning electron microscope)을 사용하는 측정들을 참조하지만, 본 발명은 해당 이미징 툴에 제한되지 않는다. 광학 현미경, STED(stimulated emission and depletion) 현미경, x-선 현미경, TEM(transmission electron microscope), 집속 이온 빔 현미경, 및 헬륨 이온 현미경과 같은 다른 이미징 툴이 또한 사용될 수 있다. 주사 탐침 현미경(scanning probe microscope)(원자힘 현미경)(AFM : atomic force microscope) 및 주사 근접장 광학 현미경(SNOM : scanning near-field optical microscope)과 같은 다른 형태의 현미경이 또한 사용될 수 있다.
14. 프로세스 윈도우 결정을 위한 종래 기술의 방법들
리소그래피에서, 2개의 주요 변수들은 최종 인쇄된 피처들의 제어를 유지하기 위해 빈번하게 조정된다: 노광 선량(exposure dose)(노광 에너지, 광 강도 및 노광 시간의 곱으로도 지칭됨) 및 초점(focus)(종종 웨이퍼 상에 코팅되는 포토레지스트의 최상부 표면에 대한 투사된 이미지의 최상의 초점 평면의 위치로서 설명됨). 이들 프로세스 변수들 둘 모두는 피처들을 인쇄하기 위해 이용되는 리소그래피 투사 이미징 툴 (예컨대, 스테퍼(stepper), 스캐너, 또는 스텝-앤드-스캔 툴(step-and-scan tool))을 이용하여 변경될 수 있다. 직접-기록 패터닝(direct-write patterning), 근접 인쇄(proximity printing), 및 전자-빔 리소그래피와 같은 다른 리소그래피 기술들이 또한 노광 선량(exposure dose) 및 초점 또는 이들의 등가물을 조정할 수 있다.
최상의 리소그래피 결과들을 획득하기 위해, 리소그래피 툴의 노광 선량 및 초점을, 때때로 최상의 선량 및 최상의 초점으로 불리는 최적의 값들로 설정하는 것이 바람직하다. "최상(best)"의 의미는 리소그래피 프로세스의 목표들에 따라 달라질 수 있다. 하나의 공통적인 목표는 웨이퍼 또는 웨이퍼들 상의 특정 인쇄된 패턴들의 집합의 평균 피처 크기(mean feature size)가 일부 허용 오차 내에, 해당 피처 크기에 대한 타겟 값에 매칭되게 하는 것일 것이다. 또 다른 목표는 피처들의 일부 집합에 걸쳐 피처 크기의 변동을 최소화하는 것일 것이다. 또 다른 목표는 인쇄된 패턴들의 패턴 충실도를 향상시키는 것일 것이다. 다른 목표는 피처들의 일부 집합에 걸쳐 프로세스 변동들에 대한 인쇄된 피처 크기 또는 충실도의 민감도를 최소화하는 것일 것이다.
검사된 피처들의 집합은 이미징 필드의 상이한 포인트들(또는 주사 리소그래피의 경우에 대해 스캐너 슬릿 영역 내의 상이한 포인트들)에 인쇄된 피처들, 제조되는 칩에 걸친 상이한 포인트들, 웨이퍼에 걸친 상이한 포인트들, 및 상이한 웨이퍼들 또는 웨이퍼들의 상이한 로트(lot)들에 걸친 상이한 포인트들을 포함할 수 있다. 검사된 피처들의 집합은 또한 상이한 시간들에 인쇄된 피처들을 포함할 수 있다. 검사된 피처들의 집합은 또한 상이한 피처 유형들, 다른 피처들에 대한 상이한 근접도를 갖는 피처들, 및 상이한 타겟 피처 크기들을 갖는 피처들을 포함할 수 있다.
많은 리소그래피 프로세스에서, 피처 크기는 노광 선량에 따라 단조성으로(monotonically) 변화한다. 예를 들어, 작은 범위의 선량에 대하여 피처 크기는 선량에 따라 대략 선형적으로 변할 수 있다. 일부 프로세스들의 경우, 피처 크기는 노광 선량에 대해 1에 대략 비례할 수 있다. 초점의 경우, 피처 크기는 종종 초점에 따라 대략 2차원으로 변한다. 게다가, 초점 및 선량은 일반적으로 상호 작용하여 인쇄된 패턴들에 변화를 야기한다. 피처에 대한 선량의 영향은 노광 툴의 초점 설정에 의존하고, 피처에 대한 초점의 영향은 노광 툴의 노광 선량 설정에 의존한다.
인쇄된 패턴에 대한 초점 및 노광 선량의 영향을 특성화하는 것은 일반적으로 초점-노광 매트릭스(FEM : focus-exposure matrix)를 사용하여 달성된다. FEM에 대한 설명은 선행 기술, 예를 들어, 교과서 Chris A. Mack, Fundamental Principles of Optical Lithography: The Science of Microfabrication, John Wiley & Sons, (London: 2007)에서 찾아볼 수 있다.
일반적으로, 초점 심도(DOF : depth of focus)는 프로세스가 용인할 수 있고 여전히 허용 가능한 리소그래피 결과들을 제공할 수 있는 초점 에러들의 범위로서 생각될 수 있다. 초점의 변화는 최종 리소그래피 결과에 대한 2개의 주요 변화들을 초래한다: 포토레지스트 프로파일은 (그 치수들을 포함하여) 변화하고, 다른 프로세싱 에러들에 대한 프로세스의 감도가 변화된다. 전형적으로, 포토레지스트 프로파일은 선폭(또는 임계 치수, CD), 에지 배치 에러, 프로파일의 측벽 각도, 피처의 최종 높이, 라인 에지 또는 선폭 거칠기, 및 당업계에 공지된 다른 메트릭(metric)와 같은 파라미터를 사용하여 설명된다. 초점과 이러한 파라미터의 변동은 다양한 측정 방법을 사용하여 임의의 주어진 조건 세트에 대해 결정될 수 있다. 예를 들어, CD는 주사 전자 현미경을 사용하여 측정될 수 있다.
또한, 이미지가 초점이 맞지 않을 때, 프로세스는 노광 선량, 노광 후 베이크 시간(post-exposure bake time) 및 온도, 현상 시간, 현상제 농도 및 온도, 하지 필름 스택(underlying filmstack) 속성들 등과 같은 다른 프로세싱 변수들의 변화들에 더 민감하게 된다. 노광 선량은 일반적으로 이러한 다른 프로세스 응답들을 나타내도록 선택된다. 노광 선량에 대한 패터닝의 감도에 대한 초점의 영향은, 각각 일부 설정 범위에 걸쳐 노광 선량 및 초점 둘 모두를 변화시키고, CD, 선폭 거칠기 등과 같은 인쇄된 패턴들의 특성들을 측정함으로써 특징지어질 수 있다.
CD에 대한 초점 및 노광 선량의 조합된 영향을 디스플레이하는 한 가지 방법은 도 39a에 도시된 보썽 플롯이라 불리는 것을 사용하는 것이다. 도 39a에 도시되지 않은 것은 실험 데이터에 항상 존재하는 실험 에러(또는 실험 불확도(experimental uncertainty))이다. 실험 에러/불확도(종종 표준 에러의 2배와 같이 측정된 값의 표준 에러의 배수로서 표현됨)은 최상의 초점 및 노광에서 CD의 1 내지 수 퍼센트일 수 있지만, 에러는 초점이 맞지 않을 때 훨씬 더 높을 수 있다. 초점-노광 CD 데이터를 더 양호하게 분석하기 위해, 당해 기술 분야의 하나의 일반적인 접근법은 데이터 노이즈를 감소시키고 플라이어(flyer)들(이상치(outliers)로도 지칭됨)을 제거하기 위해 합리적인 경험적 수학식에 데이터를 피팅하는 것이다. 이러한 접근 방식의 단점은 경험적 표현의 선택이 결과적 분석에 임의성의 레벨을 추가한다는 것이다.
2개의 입력들의 함수로서의 하나의 출력은 여러 상이한 방식들로 플롯팅될 수 있다. 예컨대, 보썽 커브(Bossung curve)들은 또한 상이한 초점 설정들에 대한 노광 관용도 커브들(선폭 대 노광 선량)로서 플롯팅될 수 있다. 이 2차원 데이터 세트를 플롯팅하는 또 다른 매우 유용한 방법은 등고선 플롯(contour plot)인데, 등고선 플롯은 일정한 선폭 대 초점 및 노광의 등고선이다(도 39b). 노이즈가 많은 실험 데이터의 경우, 이러한 등고선 플롯에서 데이터의 평활화된 버전을 플롯팅하는 것이 또한 일반적이다. 예를 들어, 상기에서 언급한 것처럼 커브 피팅 함수를 사용하여 데이터를 평활하게 만든 다음 미가공 데이터의 등고선 대신 커브 피팅을 기반으로 하는 등고선을 플롯할 수 있다.
데이터 시각화의 등고선 플롯 형태는 최종 이미지가 특정 사양을 충족시킬 수 있게 하는 노광 및 초점의 한계치를 확립하는 데 특히 유용하다. 일정한 CD의 모든 등고선을 플롯팅하기보다는, 수용의 외부 한계치에 대응하는 2개의 CD - CD 사양에 기초하여 허용되는 최소 CD 및 최대 CD - 만을 플롯팅할 수 있다. 등고선 플롯의 특성 때문에, 다른 변수들도 동일한 그래프 상에 플롯팅될 수 있다. 도 39c는 CD의 등고선(명목상 +/-10%), 80도 측벽 각도 및 10% 레지스트 손실을 모두 동일한 그래프에 플롯팅한 예를 도시한다. 그 결과는 프로세스 윈도우(process window) 이다 - 최종 인쇄 패턴을 모든 적용된 사양 내에서 유지하는 초점 및 노광 영역(region of focus and exposure)이 된다.
많은 상이한 측정 결과들이 프로세스 윈도우로 결합될 수 있다. 확률적 변동이 상당한 피처 크기들 및 리소그래피 프로세스들에 대해, 확률적 관련 결과들이 프로세스 윈도우 등고선(contour)들 상에 플롯팅될 수 있다. 예를 들어, 선폭 거칠기는 단일 사양 - 최대 허용 LWR을 사용하여 플롯팅될 수 있다. 결함성(defectivity)은 확률적 메트릭으로도 사용될 수 있다.
초점-노광 프로세스 윈도우는 노광 및 초점이 인쇄된 결과를 판단하는 데 사용되는 선폭 및/또는 다른 메트릭에 영향을 미치도록 함께 작동하는 방법을 보여주기 때문에 유용하다. 프로세스 윈도우는 프로세스가 초점 및 노광의 변화에 어떻게 응답하는지에 대한 프로세스 수용력(process capability)으로 생각될 수 있다. 주어진 프로세스에서 초점 및 노광에 대한 에러 소스들의 분석은 프로세스 요건을 제공할 것이다. 프로세스 수용력이 프로세스 요건들을 초과하면, 제조되는 디바이스들의 수율이 높을 것이다. 그러나, 프로세스 요건이 더 넓어서 프로세스 수용력 내에 맞지 않으면, 수율 또는 디바이스 성능이 저하될 수 있다.
프로세스 윈도우 내에 들어올수 있는 최대 초점 범위 및 노광(즉, 최대 프로세스 요건)을 평가하는 것이 종종 유용하다. 이 질문을 조사하는 간단한 방법은 초점 및 노광의 에러를 프로세스 윈도우과 동일한 플롯에서 직사각형으로 그래픽으로 나타내는 것이다. 직사각형의 폭은 프로세스들의 빌트-인(built-in) 초점 에러들을 나타내고, 높이는 빌트-인 선량 에러들을 나타낸다. 그러면 이 문제는 프로세스 윈도우 안에 맞는 최대 사각형을 찾는 것 중 하나가 된다.
'최대(maximal)'인 상이한 폭들 및 높이들의 많은 가능한 직사각형들이 있을 수 있는데, 즉 이들은 프로세스 윈도우를 넘어 연장되지 않고는 어느 방향으로든 더 크게 만들어질 수 없다(도 40a). 각각의 최대 직사각형은 초점 에러에 대한 허용 오차 및 노광 에러에 대한 허용 오차 사이의 하나의 가능한 트레이드 오프(trade-off)를 나타낸다. 노광 에러가 최소화되면 더 큰 DOF가 획득될 수 있다. 마찬가지로, 노광 관용도(exposure latitude)는 초점 에러가 작으면 개선될 수 있다. 그 결과는 노광 관용도와 DOF 사이의 트레이드 오프이다.
모든 초점 및 노광 에러가 체계적이었다면, 그러한 에러의 그래픽(또는 기하학적) 표현은 직사각형일 것이다. 폭 및 높이는 개개의 에러의 총 범위를 나타낼 것이다. 그러나, 에러가 무작위로 분포된 경우, 이를 설명하기 위해 확률 분포 함수가 필요할 것이다. 노광 및 초점에서의 무작위 에러들은 많은 작은 에러 소스들의 합산에 의해 야기되어, 중심 극한 정리(central limit theorem)에 의해 초점 및 선량에 대한 전체 확률 분포들이 대략 가우시안(정규 분포)일 것이라고 가정하는 것이 일반적이다.
초점과 노광의 에러를 그래픽적으로 표현하기 위해서는, 일정한 발생 확률의 표면을 서술해야 한다. 표면 내부의 초점 및 노광의 모든 에러는 확립된 컷오프보다 더 큰 발생 확률을 가질 것이다. Bi-Gaussian 분포(두 개의 독립 변수에 대한 가우시안 분포)에 대해, 일정한 확률의 표면은 타원이다(도 40b).
체계적 에러들에 대한 직사각형 또는 무작위 에러들에 대한 타원을 사용하여, 주어진 프로세스 윈도우에 대해 허용될 수 있는 에러들의 크기는 이러한 기하학적 접근법을 사용하여 추정될 수 있다. 직사각형을 예로 들면, 프로세스 윈도우 내에 들어맞을 최대 직사각형을 찾을 수 있다. 도 41은 모든 최대 사각형이 결정되고 그 높이(노광 관용도) 대 그 폭(초점 심도)이 플롯팅된 프로세스 윈도우의 분석을 도시한다. 마찬가지로, 초점 및 노광에서 가우시안 에러들을 가정하면, 프로세스 윈도우 내에 맞는 모든 최대 타원이 결정될 수 있다. 타원의 수평 폭은 초점에서 6-시그마 에러(최상의 초점에 대한 플러스 및 마이너스 3-시그마 변동)를 나타낼 수 있는 반면, 타원의 수직 높이는 노광에서 6-시그마 에러를 제공할 것이다. 모든 최대 타원의 높이 대 폭을 플롯팅하여 도 41의 노광 관용도 대 DOF의 제2 커브를 획득하였다.
초점 심도의 정의는 또한 당연히 최상의 초점 및 최상의 노광의 결정으로도 이어진다. 노광 관용도 대 DOF 커브의 한 포인트에 대응하는 DOF 값은 프로세스 윈도우 내에 맞는 하나의 최대 직사각형 또는 타원에 대응한다. 그러면 이 직사각형 또는 타원의 중심은 이 원하는 동작점에 대한 최상의 초점 및 노광에 대응할 것이다. 최적의 초점 및 선량 값들을 아는 것은 전체 프로세스 윈도우를 이용할 수 있도록 하기 위해 필수적이다. 프로세스 초점 및 선량 설정들이 이 최적치로부터 벗어나면, 허용될 수 있는 초점 및 선량 에러들의 범위가 그에 따라 감소될 것이다.
상기 결과들 모두가 하나의 임계 피처의 초점 및 노광 응답을 설명하지만, 실제로는 다수의 마스크 피처가 동시에 인쇄되어야 한다. 예를 들어, 상이한 공칭 크기 및 피치의 피처들 또는 다른 피처들에 대한 상이한 근접도가 동일한 설계 내에 존재할 수 있다. 그러한 경우에, 전체 프로세스 윈도우는 고려 중인 각각의 피처 크기 또는 유형에 대한 프로세스 윈도우들의 중첩일 것이다. 중첩하는 프로세스 윈도우 내의 초점 및 선량 설정들은 각각의 피처 유형 및/또는 크기가 사양들을 충족시킬 수 있게 할 것이다. 각각의 개별 피처의 DOF보다 더 중요한 성능 척도는 다수의 임계 피처의 중첩하는 DOF이다.
도 39c에서 다수의 프로파일 메트릭들이 중첩되어 하나의 중첩 프로세스 윈도우를 형성했듯이, 상이한 피처들로부터의 프로세스 윈도우들이 중첩되어 이들 다수의 피처들을 동시에 인쇄하기 위한 DOF를 결정할 수 있다. 도 42는 두 개의 다른 피치의 라인/공간 패턴에 대한 예를 도시한다. 모든 임계 피처 크기와 피치에 대한 프로세스 윈도우는 중첩되는 것이 이상적이다.
필드 위치의 함수로서 패터닝에서의 체계적인 변동들이 또한 중첩하는 프로세스 윈도우들로 설명될 수 있다. 동일한 피처가 리소그래피 툴 이미지 필드 내의 상이한 포인트들에 인쇄되었다면(전형적으로, 필드의 중심 및 4개의 코너들이 충분함), 프로세스 윈도우들은 중첩되어 해당 피처에 대해 사용가능한 프로세스 윈도우를 생성할 수 있다. 결과적인 초점 심도는 때때로 사용 가능한 초점 심도(UDOF)로 불린다.
FEM 분석은 다른 방식으로도 사용될 수 있다. 프로세스 윈도우 분석의 하나의 가능한 출력은 등초점 편향(isofocal bias)이다. 일반적으로, 인쇄된 피처가 초점 변화에 대한 최소 감도를 갖도록 하는 하나의 노광 선량이 있다. 이 선량을 등초점 선량(isofocal dose)이라 한다. 이 선량에서, 인쇄된 CD는 일반적으로 타겟 또는 원하는 CD와 상이하다. 이러한 차이를 등초점 편향이라 한다. 프로세스 윈도우는 등초점 편향을 평가하는 데 사용될 수 있다.
상술한 바와 같은 프로세스 윈도우 결정 및 분석을 위한 종래 기술의 기하학적 방법들은 여러 문제점들을 가지고 있다. 첫째로, 계측 에러들 또는 계측 불확도가 고려되지 않는다. 도 39c 또는 도 40a에 도시된 등고선들은 프로세스 윈도우 외부의 영역과는 별개인 것으로서 프로세스 윈도우 내부의 영역을 묘사하는 날카로운 경계들을 도시한다. 이러한 예리한 경계는 "양호(good)"(프로세스 윈도우 내부)로 간주되는 영역과 "불량(bad)"(프로세스 윈도우 외부 영역)으로 간주되는 영역 사이에 하드 컷오프(hard cut-off)를 생성한다. 실제로는 계측상의 불확도로 인하여 야호와 불량의 경계가 모호하다.
종래 기술의 기하학적 방법들의 두 번째 문제점은 프로세스 윈도우를 구성하기 전에 측정 데이터의 커브-피팅(curve-fitting) 또는 평활화(smoothing)에 대한 필요성이다. 프로세스 윈도우 에지 근처의 단지 하나의 이상 데이터 포인트는 등고선 위치에서 큰 변동을 생성할 수 있다(도 43). 결과는 이 프로세스 윈도우로부터 결정된 DOF에서의 매우 현저한 차이, 및/또는 최상의 초점 및 노광에서의 큰 차이일 수 있다.
원본 데이터의 평활화 또는 커브 피팅을 사용하면 데이터 분석에 임의성이 추가된다. 사용할 평활화 또는 올바른 피팅 함수의 선험적인(a priori) 정확한 양이 없으며, 상이한 선택들이 상이한 결과들을 생성한다.
세번째 문제는 최상의 초점 및 선량 값들에 대한 초점 및 노광 선량 에러들의 확률들을 나타내기 위해 직사각형들, 타원들, 또는 일부 다른 기하학적 형상을 사용하는 것 사이의 선택이다. 도 41에 도시된 바와 같이, 상이한 선택들이 상이한 결과들을 생성한다. 또한, 이러한 확률들을 나타내기 위해 기하학적 형상들을 사용하고, 그런 다음 프로세스 윈도우 내에 맞는 최대 형상을 찾는 것은 프로세스 윈도우 형상의 소수의 포인트들만이 결과에 영향을 미친다는 것을 의미한다. 도 40b에 도시된 바와 같이, 내접된(inscribed) 타원 및 사각형은 단지 몇 개의 포인트에서 프로세스 윈도우과 터치한다. 이는 그러한 내접된 형상들의 크기를, 등고선(contour) 기반 프로세스 윈도우의 그러한 몇 개의 포인트들에만 영향을 미칠 수 있는 계측 에러들에 특히 민감하게 한다.
위의 모든 문제들은 패턴 크기 또는 충실도 또는 거칠기의 더 많은 메트릭들을 중첩시킬 때, 또는 상이한 피처들로부터 프로세스 윈도우들을 중첩시킬 때 강조된다.
기하학적 프로세스 윈도우 분석의 문제들의 결과는 노광 관용도 및 초점 심도의 부정확하고/하거나 정밀하지 않은 측정이다. 노광 관용도 또는 초점 심도의 부정확하거나 정밀하지 않은 측정의 결과는 패터닝 프로세스의 불량한 최적화이다. 종종 재료 선택들(상이한 포토레지스트들, 포토레지스트 아래의 상이한 하지층들 등)은, 예를 들어, 어느 재료가 가장 큰 초점 심도를 제공하는지에 기초하여(또는 고려하여) 이루어진다. 또한, 광 투사 툴에 의해 사용되는 조명의 크기 및 형상과 같은 프로세스 툴 설정은 결과적인 초점 심도를 고려한다.
OPC(Optical Proximity Correction)는 최종 인쇄 패턴의 충실도를 향상시키기 위해 포토마스크 상에 표현되는 칩 설계를 수정하는 데 사용된다. 때때로 이러한 OPC는 프로세스 윈도우에 대한 이러한 포토마스크 수정들의 영향을 고려한다. 따라서, 프로세스 윈도우의 부정확하거나 정밀하지 않은 결정 또는 분석은 OPC의 결과들에 유해한 영향을 미칠 수 있다.
기하학적 프로세스 윈도우 분석의 문제점들의 다른 결과는 최상의 초점 및 최상의 노광의 부정확하고/하거나 정밀하지 않은 측정이다. 프로세스 윈도우 분석으로부터의 최상의 초점 및 최상의 노광의 출력은 제조 동안 리소그래피 노광 툴을 제어하는 데 사용될 수 있다. 최상 초점 및 선량의 측정된 값들은 리소그래피 툴로 발송되고, 제품 웨이퍼들을 제조하는데 있어서 후속 사용을 위해 해당 툴의 선량 및 초점 설정들을 조정하는 데 사용된다. 최상의 초점 및 최상의 노광의 부정확하고/하거나 정밀하지 않은 측정은 그런 다음 결과적으로 디바이스 수율 또는 디바이스 성능에 부정적인 영향을 미칠 수 있다.
또한, 리소그래피 툴의 노광 설정은 해당 툴의 스루풋(throughput)에 영향을 미칠 수 있다. 일반적으로, 더 낮은 노광 선량은 노광 툴의 더 높은 스루풋을 생성한다. 이러한 이유로, 프로세스 윈도우 분석에 의해 결정된 적절한 노광 관용도 및 초점 심도를 계속 유지하면서 가능한 한 노광 선량을 낮추는 것이 바람직할 수 있다. 프로세스 윈도우의 부정확하고 및/또는 정밀하지 않은 측정은 디바이스 수율 또는 디바이스 성능을 위험에 빠뜨리지 않고서는 스루풋의 이러한 개선을 달성하기 어렵게 한다.
프로세스 윈도우 분석의 추가 사용은 프로세스 모니터링을 위한 것이다. 최상의 초점, 최상의 노광, 노광 관용도 및 초점 심도는 모두 경향 차팅(trend charting) 또는 통계 프로세스 제어(SPC : statistical process control)와 같은 표준 통계 기술을 사용하여 시간에 따라 모니터링될 수 있다. 차팅된 변수의 현재 거동과 그 이력 거동의 편차는 추가 조사 또는 다른 행동을 위해 플래깅(flag)될 수 있다. 프로세스 윈도우 및 그의 관련 측정치들의 부정확하고/하거나 정밀하지 않은 측정은 경향 차트 또는 다른 형태들의 프로세스 제어의 효능을 감소시킨다.
또한, 종래 기술의 기하학적 프로세스 윈도우 접근법은 최상의 초점, 최상의 노광, 초점 심도, 및 노광 관용도와 같은 다양한 분석 출력들에 대한 불확도 추정치들을 생성하지 않는다. 불확도 추정치가 없으면 이들 출력들의 유용성은 감소한다. 예를 들어, 제조 시에 스캐너의 선량 및 초점 설정들을 제어하기 위해 프로세스 윈도우 분석으로부터 결정된 최상의 선량 및 초점의 값들을 사용하는 것은, 해당 변화가 프로세스 윈도우 최상의 선량 및/또는 초점에 대한 불확도 추정치들보다 더 큰 경우 스캐너 설정들에 대한 변화만을 초래해야 한다.
이들 및 다른 이유들로, 종래 기술의 프로세스 윈도우 접근법들에서의 문제점들 중 하나 이상을 해결하는 프로세스 윈도우 측정 및 분석을 위한 상이한 방법을 발견하고자 하는 요구가 있다.
15. 확률적 프로세스 윈도우
프로세스 윈도우 측정 및 분석을 위한 새로운 방법 실시예, 및 프로세스 최적화 및 제어를 위한 그 사용이 이제 설명될 것이다. PPW(Probabilistic Process Window)라고 불리는 이 새로운 방법 실시예는 상술한 종래 기술의 방법(기하학적 프로세스 윈도우 등)의 많은 문제를 해결할 수 있다. 이 PPW 접근법은 하나 이상의 출력 결과에 대한 영향을 결정하기 위해 둘 이상의 상호 작용 프로세스 변수가 변화할 때 사용될 수 있다. 아래에서 사용되는 주요 예에서는, 두 가지 프로세스 변수에 대해 논의한다. 추가로, 아래의 주요 예제는 리소그래피 패터닝의 프로세스 단계 동안 노광 선량 및 초점의 2개의 프로세스 변수들을 사용할 것이다. 그러나, PPW 접근법은 리소그래피, 에칭, 증착, 또는 다른 프로세싱 단계들을 처리하는 2개 초과의 프로세스 변수들을 다루기에 대체로 충분하다.
일부 구현예들에서, 확률적 프로세스 윈도우들은, 다른 것들 중에서도, 리소그래피 프로세스 내의 연관들의 표시들의 확률들이 사양 요건을 충족하는 곳을 결정하기 위해 측정들에서의 불확도를 고려하는 데 사용된다. 예를 들어, ILM 계측 툴(765) 대신에(또는 그에 추가하여), 시스템(700)은 확률적 프로세스 윈도우 툴(미도시)을 포함할 수 있다. 실험들(DoE)의 2차원(2D) 설계는, 순서적으로 증가하는, 제1 DoE 인자를 나타내는 X값들(가능하게는 불규칙하게 이격됨)의 리스트를 포함할 수 있다. 이 제1 DoE 인자는 일부 출력 또는 출력들에 대한 그 영향을 결정하기 위해 의도적으로 변경된 프로세스 변수일 것이다. 2D DoE는 또한, 증가하는 제2 DoE 인자를 나타내는 Y값들(가능하게는 불규칙하게 이격됨)의 리스트를 포함할 수 있다. 이 제2 DoE 인자는 또한 그 출력 또는 출력들에 대한 그 영향을 결정하기 위해 의도적으로 변경된 프로세스 변수일 것이다.
2D DoE는 또한 일부 프로세스 응답 또는 출력에 적용되는 사양들의 세트 s(최소 및/또는 최대 허용 가능한 값을 갖는)를 포함할 수 있다. 예를 들어, 리소그래피 프로세스에서, 하나의 출력은 인쇄된 피처의 임계 치수(CD)일 수 있다. 해당 출력에 대한 사양은 해당 출력에 대한 최소 허용가능 값 및/또는 해당 출력에 대한 최대 허용가능 값을 설명할 것이다. 일 예로서, CD의 사양은 타겟 (원하는) 값 +/- 10%일 수 있다. 20 nm의 CD의 타겟 값에 대해, 사양(각각 최소값 및 최대값)은 18 nm 및 22 nm로 설정될 수 있다.
일부 포인트들
Figure pct00019
에 대해, 2D DoE는 또한 z-값(측정 결과) 및 각각의 사양
Figure pct00020
에 대한 그 z-값에 대응하는 표준 오차(측정 불확실성의 표현)를 포함할 수 있다. 즉, 측정 데이터는 2개의 3차원 어레이에 의해 표현될 수 있는데, 하나는 z-값에 대한 것이고 하나는 표준 오차에 대한 것이며,
Figure pct00021
로 색인된다. 일부 포인트
Figure pct00022
가 사양내에 있을 확률을 결정하기 위해, 확률적 프로세스 윈도우를 생성하기 위해 프로세스가 사용될 수 있다. 일부 구현예들에서, 프로세스는 2개의 주요 단계들을 포함할 수 있다. 제1 단계에서, 각각의 주어진 z-값이 실제로 사양 s에 있을 확률은 아래에 추가로 설명되는 바와 같이 해당 포인트에 대한 표준 오차(또는 불확도의 다른 측정치)를 사용하여 결정된다. 제2 단계에서, 제1 단계에서 생성된 필드에 걸쳐 일부 테스트 포인트들(x, y 값들)에 중심을 둔 2개의 변수를 갖는(bivariate) 확률 분포는, 아래에서 추가로 설명되는 바와 같이, x 및 y의 일부 에러들이 주어지면, 테스트 포인트가 사양 내에 있을 확률을 결정하기 위해 적용된다.
PPW 결정의 실험 부분은 매트릭스에서 2개 이상의 프로세스 변수를 변화시킨다. 노광 선량 및 초점(exposure dose and focus)와 같은 2개의 프로세스 변수(여기서, x-입력 및 y-입력이라 함)를 고려한다. 노광 선량 및 초점 각각은 일부 범위에 걸쳐, 예를 들어, 선량에서 일정한 단계 크기 및 초점에서 일정한 단계 크기를 사용하여 변화된다. 선량 및 초점의 변수 단계 크기가 또한 사용될 수 있다. 패턴은 하나 이상의 웨이퍼 상에 입력 값들의 이러한 2차원 매트릭스를 사용하여 인쇄된다. 그런 다음, 이들 웨이퍼들은 하나 이상의 측정 출력들 (여기서, z-출력들로 지칭됨)을 결정하기 위해 측정된다. 예를 들어, CD, 비편향된 거칠기, 결함도, 국부 CDU, 패턴 형상 또는 충실도의 메트릭들, 또는 프로세스의 출력의 다른 메트릭들이 측정될 수 있다.
대부분의 경우들에서, CD와 같은 출력의 측정치는 해당 출력에 대한 측정 불확도의 추정치를 포함한다. 예를 들어, 웨이퍼의 단일 SEM 이미지는, 예를 들어, 20개의 라인 및 공간을 포함할 수 있다. 그러한 경우에, 측정치 출력으로서, 원하는 출력으로서 평균 CD, 즉 이미지 상의 각각의 개별 라인의 CD들의 평균 값을 생성하는 것이 일반적이다. 평균의 표준 오차는 그 평균 CD의 측정 불확도의 추정치로서 사용될 수 있다. 통계의 분야에서 알려진 바와 같이, 평균의 불확도의 다른 메트릭들이 또한 사용될 수 있다. 때때로 표준 오차의 배수(예를 들어, 2배)가 불확도의 추정치로서 사용된다. 총 측정 불확도의 다른 추정치들이 또한 사용될 수 있다.
때때로, 다양한 이유들로, 입력 값들의 함수로서 출력들의 어레이 내의 하나 이상의 데이터 포인트들이 누락될 수 있다. 따라서, PPW 결정의 제1 단계 이전에, 누락 데이터 포인트들은 예를 들어, 보간에 의해 채워질 수 있다(그들의 값들은 근사화된다). 예를 들어, 하기 표 5 및 표 6은 데이터 포인트가 누락된 측정값이다. 누락 데이터 포인트들을 처리하기 위한 다른 방법들이 또한 이용될 수 있다.
표 5
평균 선 CD(사양 1).
Figure pct00023
표 6
비편향된 LER 평균(사양 2).
Figure pct00024
예를 들어 보간에 의해 결정될 수 있는 만큼 많은 값이 채워진 각 사양에 대한 그리드(gird)로, 프로세스의 제1 단계에서 출력 값이 해당 사양을 충족하는지(즉, 값이 "사양 내(within specifications)"인지 또는 "사양 내(in spec)"인지)가 결정된다. 프로세스의 제1 단계의 제1 부분에서, 각각의 측정된 출력(평균 z-값) 및 해당 출력에 대한 불확도(예컨대, σz, 평균 z-값의 표준 오차)에 대해, 그리고 각각의 사양 s에 대해, 출력이 실제로 사양 내에 있을 확률이 결정된다. 예를 들어, 출력의 분포가 정규(즉, 가우시안) 분포를 따른다고 가정할 수 있다. 다른 확률 분포도 가능하다. 출력이 실제로 사양 내에 있을 확률의 계산이 이제 설명될 것이다.
평균 출력값 z, 해당 평균의 표준 오차 σz 및 사양 s (최소 및 최대 사양 값, 예를 들어, smin 및 smax)이 주어지면, 측정된 평균값 z이 사양 내에 있을 확률을 결정하기를 원한다. 예를 들어, 평균값 z에 대한 가우시안 분포를 가정하면, 정규 곡선 아래의 면적은 z의 참값(true value)이 [smin,smax]인 확률을 결정하기 위해 smin로부터 smax까지 μ=z 및 σ=σz 로 계산될 수 있다. 예시적인 정규 분포 누적 분포 함수(CDF : cumulative distribution function)는 아래의 수학식 11에 주어진다:
Figure pct00025
(11)
여기서, erf는 잘 알려진 에러 함수이다. 에러 함수(Error Function)의 정의는 아래의 수학식 12에 주어진다 :
Figure pct00026
(12)
z 값이 사양(spec)에 있을 확률은 아래의 수학식 13을 이용하여 결정될 수 있다:
Figure pct00027
(13)
최대 사양 값이 없으면, 제1 항은 1과 같다. 또한, 최소 사양 값이 없으면 제2 항은 0과 같다. 도 32는 확률 밀도 커브 아래의 측정값이 사양 내에 있을 확률을 적절한 면적으로 해석하는 예를 도시한다.
계측 불확도를 고려하지 않고, 전통적인 프로세스 윈도우 접근법에서, 출력 값은 사양 내에 있거나 그렇지 않다. 즉, 1의 확률은 사양 내(in-spec) 출력에 유효하게 기인하고, 0의 확률은 사양을 벗어난(out-of-spec) 출력에 유효하게 기인한다.
제1 단계의 제2 부분에서, 출력이 모든 사양들 내에 있을 확률이 결정된다. 제1 단계의 출력은 "확률적 프로세스 윈도우(Probabilistic Process Window)"라 불릴 수 있다.
Figure pct00028
로 주어지면, 각각의 포인트(입력 값의 쌍)(x,y)에 대해, 각각의 포인트가 모든 사양 내에 있을 확률이 결정된다. 예를 들어, 각각의 P가 독립적이라는 가정에 기초하여(즉, 하나의 출력 응답에 대한 측정 불확도가 제2 출력 응답의 측정 불확도와는 독립적임), 모든 사양들에 대한 모든 확률들은 아래의 수학식 14에 주어진 바와 같이 함께 곱해진다:
Figure pct00029
(14)
다음으로 사양내 |s| 확률 그리드로 시직한 후, 제1 단계의 마지막 결과는 사양내 확률의 1 그리드이다. 일부 구현예들에서, 시스템(700)의 프로세서(755)는 사용자 인터페이스 상에(예를 들어, 출력 디바이스(770) 상에) 디스플레이된 그래프의 하나 이상의 그래픽 엘리먼트들로서 복수의 확률들을 제시하도록 구성된다. 예를 들어, 도 33은 제1 단계의 최종 결과를 나타내는 히트맵의 예이다. 도 33에 예시된 히트맵에 포함된 등고선들은 전통적인(기하학적) 프로세스 윈도우를 나타내고, 비교 목적들을 위해 도시된다. 3차원 최종 결과 히트맵(heat-map)의 대안 도면이 도 34에 예시된다.
프로세스의 제2 단계에서, 제1 단계로부터의 확률들의 그리드를 사용하여, 입력 값들의 2개의 변수를 사용하는 확률 분포가 일부 평균 μxy및 일부 에러 σxy를 사용하여 그리드에 적용된다. 평균 μxy 은 프로세스 설정점, 즉 프로세스에 의해 사용된 입력 변수 x 및 y의 공칭 값을 나타낸다. 에러들 σxy 은, 스캐너 필드에 걸친, 칩에 걸친, 웨이퍼에 걸친, 또는 웨이퍼로부터 웨이퍼로의 변동들과 같은, 프로세스에 존재하는 입력 변수들의 변동들을 나타낸다. 종종, 2개의 입력 변수들 x 및 y(초점 및 노광 선량(dose)과 같은)는 2개의 변수를 사용하는 확률 분포를 생성하기 위한 목적들을 위한 독립 변수들로서 고려될 수 있지만, 이는 필요 요건이 아니다. 일부 평균 μxy 과 일부 에러 σxy를 사용하는 2개의 변수를 사용하는 분포는 두 입력 변수 x와 y가 특정 값을 가질 확률 밀도를 나타낸다.
제2 단계의 제1 부분에서, 포인트들 사이의 각각의 그리드 셀에 대해, 일부 에러 σxy 를 갖는 일부 평균 μxy 에서 2개의 변수를 사용하는 분포 중심 아래의 체적이 결정된다. 다음으로, 결정된 체적은 프로세스의 제1 단계에서 생성된 현재 그리드 셀의 코너 포인트들의 확률들에 피팅되는 함수의 출력에 의해 가중된다. 예를 들어, 가우시안 분포(Gaussian distribution)를 이용하여, 상기 체적은 하기의 수학식 14를 이용하여 결정될 수 있다:
Figure pct00030
(14)
다음으로, 그리드 내의 모든 값들은 σxy를 갖는 이 평균 μxy 에 대한 전체 확률을 결정하기 위해 합산된다. 예를 들어, 그리드 내의 모든 값들은 아래의 수학식 15를 사용하여 합산될 수 있다:
Figure pct00031
(15)
제2 단계의 제2 부분에서, 제2 단계의 제1 부분은 해당 포인트를 중심으로 하는 평균을 갖는 사양내(in-spec) 확률을 결정하기 위해 μxy의 상이한 값들에 대해 반복된다. 예를 들어, 도 33 및 34에 도시된 제1 단계로부터의 원래 그리드를 사용하여, 각각의 μxy 값이 결정되지만, 그러나 μxy 가 원래의 입력 데이터 그리드의 값들로 설정되는 것이 필요 요건은 아니다. 도 35는 반복된 제2 단계의 제1 부분의 예를 도시하는 |X|×|Y|차트이다. 도 35에 예시된 각각의 정사각형은 해당 포인트에 중심을 둔 2개의 변수를 사용하는 가우시안(bivariate Gaussian)을 나타낸다. 도 35에 도시된 차트의 대안적인 차트 도면이 도 36에 도시된다.
프로세스의 제3 단계에서, 표준 비선형 최적화 알고리즘(예를 들어, 넬더-미드(Nelder-Mead) 또는 가우스-뉴턴(Gauss-Newton))이 어떤 에러 σxy에 대해 가장 높은 확률을 갖는 μxy 를 결정하는데 사용된다. 이는 입력 변수 x 및 y에 대한 프로세스 설정에 대한 "최상의" 값을 나타낸다. 여기서 "최상(best)"은 프로세스에 내장된 에러 σxy가 있는 경우 사양 내 기능의 가장 높은 분율을 생성하는 프로세스 설정(값 μxy)을 의미할 것이다. FEM 프로세스 윈도우의 경우, 이것은 최상의 초점 및 최상의 노광일 것이다. 이 초점과 노광 선량을 사용하도록 리소그래피 툴을 설정하는 것은 최상의 인쇄 결과들을 생성할 수 있다.
프로세스의 제4 단계에서, σy 대 σx 커브가 생성된다. σx의 단계 크기가 선택된다. σx가 증분됨에 따라 σy 가 조정되고 제3 단계의 검색 방법이 반복적으로 사용되어 σy 에 대한 최상의 값을 결정한다(여기서 '최상의 값'은 예를 들어, 일부 컷오프를 초과하는 확률이 가장 낮은 점을 나타낸다 예를 들어, 99.73%). 컷오프 기준을 충족하지 않으면 σy의 증분이 중지된다. 결정된 포인트들은 σy 대 σx 커브를 생성하도록 플롯팅된다. 일부 구현예에서,σx 는 초점 심도(depth of focus)이고 σy는 노광 관용도(exposure latitude)이다. 도 37은 σy 대 σx 커브의 예이다. 전통적인 프로세스 윈도우 분석은 기하학적으로 프로세스 윈도우 내부에 맞는 가장 큰 직사각형 또는 타원을 찾는 것에 기초할 수 있다. 유사하지만 더 엄격한 곡선들은 상기에서 설명된 단계들을 사용하여 확률적 프로세스 윈도우(Probabilistic Process Window)를 사용하여 생성될 수 있다.
일단 특정 사양들을 갖는 특정 데이터세트에 대해 확률적 프로세스 윈도우가 결정되면, 확률적 프로세스 윈도우는, 둘 이상의 입력 프로세스 변수들이 동일하고 유사한 경계들을 갖는 한, 임의의 수의 다른 확률적 프로세스 윈도우들과 조합될 수 있다. 다른 확률적 프로세스 윈도우들은 확률들의 단위가 없는(unit-less) 특성으로 인해, 상이한 기본 출력 값들, 피처 유형들, 및 사양들을 가질 수 있고 여전히 조합될 수 있다. 확률적 프로세스 윈도우들을 조합하기 위해, 각각의 테스트 포인트가 평가될 수 있고, 결과적인 확률들은 확률적 프로세스 윈도우들에 걸쳐 조합된 확률을 결정하기 위해 함께 곱해진다.
이제 도 38을 참조하면, 예시적인 방법(3800)이 측정 불확도를 설명하는 확률적 프로세스 윈도우를 생성하기 위해 제공된다. 방법(3800)은 시작하고(블록 3802) 그래프의 제1 축 상에 표시된 제1 변수를 선택한다(3804). 다음으로, 방법(3800)은 그래프의 제2 축 상에 표시된 제2 변수를 선택하는 단계로 진행한다(블록 3806). 일부 구현예들에서, 제1 변수 및 제2 변수는 확률적 프로세스 윈도우와 연관된다. 일부 구현예들에서, 제1 변수는 노광 선량을 포함하고, 제2 변수는 주사 리소그래피 프로세스의 초점을 포함한다. 대안적인 구현예들에서, 제1 변수는 에칭 시간을 포함하고, 제2 변수는 웨이퍼 온도를 포함한다. 프로세스 변수들의 많은 다른 조합들이 가능하다.
다음으로, 방법(3800)은 제1 변수 및 제2 변수의 함수인 적어도 하나의 응답 변수(또는 출력 응답 변수)를 선택하도록 진행한다(블록 3808). 이러한 출력 응답 변수들은 제1 및 제2 변수들의 함수로서 측정된다. 각각의 출력 응답 변수는 제1 및 제2 변수들의 함수로서 그래프화될 수 있다. 각각의 출력 응답 변수에 대해, 사양들이 수용 가능한 것으로 간주되는 출력 응답의 값들에 대해 설정된다.
다음으로, 방법(3800)은 출력 응답 변수들 각각에 대한 측정 불확도를 결정하도록 진행한다(블록 3810). 다음으로, 방법(3800)은 하나 이상의 출력 응답 변수들의 측정치 및 적어도 하나의 출력 응답 변수에 대한 측정 불확도에 기초하여, 리소그래피 프로세스와 연관된 복수의 포인트들이 각각의 출력 응답 변수에 대한 사양 요건을 충족시키는지의 복수의 표시들을 나타내는 복수의 확률들을 결정하도록 진행한다(블록 3812). 복수의 확률들은 프로세스 윈도우를 나타낸다. 다음으로, 방법(3800)은 프로세스 윈도우에 기초하여, 반도체 디바이스를 제조하기 위한 리소그래피 툴을 구성하도록 진행한다.
일부 구현예에서, 제3 입력 변수가 선택된다. 일부 구현예에서, 제3 변수는 온도이다. 또한, 제1 및 제2 변수들의 변동들과 조합된 제3 변수의 함수로서 출력 응답의 측정에 기초하여, 리소그래피 프로세스와 연관된 제2 복수의 포인트들이 사양 요건을 충족시키는지의 여부의 제2 복수의 표시들을 표현하는 제2 복수의 확률들이 결정된다. 제2 복수의 확률들은 제2 프로세스 윈도우를 나타낸다.
복수의 확률들은 반도체 디바이스를 제조하는 원하는 비용 최적화를 달성하기 위해 수정될 수 있다. 예를 들어, 일부 구현예들에서, 사용자가 실시간으로 또는 거의 실시간으로 복수의 확률들을 수정하기 위해 제1 및/또는 제2 변수를 변경할 수 있게 하도록 구성된 하나 이상의 그래픽 엘리먼트들이 제시된다. 실시간성은 2초 이하를 의미할 수 있다. 거의 실시간은 2명의 개인이 그러한 사용자 인터페이스를 통해 대화에 참여할 수 있도록 충분히 짧은 시간의 임의의 상호 작용을 지칭할 수 있고, 일반적으로 10초 미만(또는 2개의 상이한 시간들 사이의 임의의 적합한 근접 차이)이지만 2초 초과일 것이다.
일부 실시예들에서, 프로세스 범위 변동들은 제1 및 제2 변수들에 대해 선택된다. 제1 및 제2 변수들 각각에 대한 설정 및 프로세스 범위가 주어지면 사양 요건을 충족하는 피처들의 분율(fraction)이 결정된다. 사양 요건을 충족하는 피처들의 일부에 기초하여, 사양을 충족하는 피처들의 최대 분율을 생성하는 제1 및 제2 변수들의 설정들에 대한 결정이 이루어질 수 있다.
16. 확률적 프로세스 윈도우을 사용하여 프로세스 제어
초점 및 선량을 제어하는 것은 반도체 제조 동안 인쇄된 패턴의 임계 치수를 제어로 유지하는 중요한 부분이다. 초점 및 선량을 제어하는 방법을 이해하는 제1 단계는, 상기에서 논의된 바와 같이, 초점-노광 프로세스 윈도우를 사용하여 변동들에 대한 임계 피처들의 응답을 특성화하는 것이다. CD(및 다른 출력 응답들) 대 초점 및 선량 데이터의 적절한 분석은 프로세스 윈도우의 계산, 노광 관용도-DOF 플롯을 생성하기 위한 프로세스 윈도우 크기의 측정, 및 초점 심도에 대한 단일 값의 결정을 허용한다. 상기에서 논의된 바와 같이, 최상의 분석 방법은 확률적 프로세스 윈도우를 사용한다.
최상의 초점 및 선량은 또한 PPW에 기초하여 초점 및 선량 에러들에 대한 허용성을 최대화하는 프로세스 설정들로서 이 분석을 이용하여 결정된다. 일단 최상의 초점 및 선량이 결정되면, 다음 목표는 생산 웨이퍼들이 리소그래피 셀을 통과할 때 이 최상의 초점 및 선량 조건에서 프로세스가 중심에 있도록 하는것이다. 리소그래피에서 발생하는 거의 모든 에러가 유효 선량 에러(effective dose error) 또는 유효 초점 에러(effective focus error)와 같이 작용하기 때문에, 적절한 간격 후에 선량 및 초점을 적절히 조정하는 것은 훨씬 더 엄격한 패터닝 제어를 제공할 수 있다. 결국, 개선된 패터닝 제어는 더 높은 제조 수율들 및 제조되는 디바이스들의 더 양호한 성능으로 이어질 수 있다.
종종, 확률적 프로세스 윈도우 분석에 사용될 수 있는 다른 프로세스 변수뿐만 아니라, 변수 선량 및 초점은 잘 알려진 APC(Advanced Process Control) 방법론을 사용하여 모니터링 및 제어된다. 이러한 APC 방법들은 프로세스 툴들을 제어하기 위한 피드-포워드(feed-forward) 및 피드백 루프들을 포함한다. 이러한 프로세스 제어 방법은 기존의 프로세스 윈도우 분석을 상술된 더욱 엄격하고 정확한 PPW 분석으로 대체함으로써 강화될 것이다.
프로세스 윈도우 분석은 또한 웨이퍼 배치 목적들을 위해 사용될 수 있다. 웨이퍼 배치는 프로세스 윈도우 분석의 출력을 취하고, 프로세스 윈도우에 의해 표현되는 웨이퍼들이 후속 프로세스들로 진행되어야 하는지, 또는 예상된 불량한 인쇄된 패턴들로 인해 거부되어야 하는지를 결정한다. 거부되면, 이 웨이퍼들은 재가공되거나 폐기될 수 있다. 웨이퍼 배치 동안 부정확한 결정들은 매우 비용이 많이 들 수 있거나, 후속 프로세싱을 위해 불량 웨이퍼들을 전달하거나, 또는 양호한 웨이퍼들을 거부할 수 있다. 예를 들어, 상술된 PPW 프로세스를 사용하는 프로세스 윈도우 분석에서의 개선된 정확도는 웨이퍼 배치 정확도를 개선할 수 있다.
프로세스 제어에 대한 하나의 접근법은 기계 학습을 사용한다. 기계 학습 알고리즘은, 디바이스들의 제조에 사용되는 다수의 프로세스 변수들에 기초하여, 예를 들어, 수율 또는 디바이스 성능과 관련된 예측들을 행하려고 시도할 것이다. 예측 기계 학습 모델은 먼저 측정된 입력들(제조 프로세스의 특정 단계에서의 특정 피처의 CD와 같은 프로세스 변수들 및 중간 결과들)의 함수로서 측정된 출력들(예측될 것들)을 사용하여 트레이닝된다. 기계 학습 알고리즘은 훈련 및 예측 모두에 대해 프로세스 윈도우 정보를 사용할 수 있다. 이와 같이, PPW 접근법의 개선된 정확도 및 정밀도는 개선된 기계 학습 예측들을 초래할 수 있다.
전술한 구현예들은 에지 거칠기를 측정하기 위해 명목상 평면 패턴 구조들의 탑-다운 이미지들을 참조하지만, 본 개시는 이러한 패턴 구조 기하학적 구조들로 제한되지 않는다. 본 발명을 이용하여 3차원 구조, 비평면 구조, 곡면, 또는 틸트된 구조를 측정할 수 있다. 에지 거칠기 이외에, 표면 거칠기는 본 개시에 기재된 바와 유사한 기술을 사용하여 측정 및 분석될 수 있다.
전술한 구현예들이 거칠기의 측정을 참조하지만, 본 개시는 다른 측정들을 행하는 데에도 사용될 수 있다. 예를 들어, 패턴 구조 에지들의 매우 정확한 결정은 피처 폭, 피처 배치, 에지 배치, 및 다른 유사한 측정치들의 측정에 사용될 수 있다. 측정된 피처들의 등고선들은 측정된 디바이스의 성능을 모델링하거나 제어하는 것과 같은 많은 목적들을 위해 사용될 수 있다. 많은 샘플의 측정을 수집하고 통계적으로 평균화함으로써, 훨씬 더 큰 정확도(더 낮은 불확도)가 획득될 수 있다.
상기 개시에 따라, 다음의 항목들에 열거된 시스템들 및 방법들의 예들이 구체적으로 고려되고 비제한적인 예들의 세트로서 의도된다.
항목 1. 컴퓨터 구현 방법으로서,
제1 변수를 선택하는 단계;
제2 변수를 선택하는 단계;
상기 제1 변수 및 상기 제2 변수의 함수인 적어도 하나의 응답 변수를 선택하는 단계;
각각의 응답 변수에 대한 측정 불확도(measurement uncertainty)를 결정하는 단계;
상기 응답 변수의 측정치 및 상기 응답 변수에 대한 측정 불확도에 기초하여, 리소그래피 프로세스와 연관된 복수의 포인트들이 각각의 응답 변수에 대한 사양 요건(specification requirement)을 충족하는지 여부의 복수의 표시들을 나타내는 복수의 확률들을 결정하는 단계 - 상기 복수의 확률들은 프로세스 윈도우(process window)를 나타냄 -; 및
상기 프로세스 윈도우에 기초하여, 반도체 디바이스를 제조하기 위한 리소그래피 툴을 구성하는 단계를 포함하는, 컴퓨터 구현 방법.
항목 2. 제1항에 있어서, 상기 구성하는 단계는 상기 제1 변수에 기초하여 제1 동작 파라미터를 설정하고, 상기 제2 변수에 기초하여 제2 동작 파라미터를 설정하도록 상기 리소그래피 툴에 제어 신호들을 송신하는 단계를 포함하는, 컴퓨터 구현 방법.
항목 3. 본 명세서의 임의의 항목에 있어서, 컴퓨팅 디바이스의 사용자 인터페이스 상에 그래프의 그래픽 엘리먼트들로서 복수의 확률들을 제시하는 단계를 더 포함하는, 컴퓨터 구현 방법.
항목 4. 본 명세서의 임의의 항목에 있어서, 상기 복수의 확률들의 제시는 사용자 인터페이스 상의 히트맵 또는 3D 플롯 또는 등고선 플롯(contour plot)인, 컴퓨터 구현 방법.
항목 5. 본 명세서의 임의의 항목에 있어서, 하나 이상의 응답 변수들의 측정 불확도는 가우시안 정규 확률 분포(Gaussian normal probability distribution)에 의해 표현되는, 컴퓨터 구현 방법.
항목 6. 본 명세서의 임의의 항목에 있어서, 상기 제1 및 제2 변수들은 확률적 프로세스 윈도우와 연관되는, 컴퓨터 구현 방법.
항목 7. 본 명세서의 임의의 항목에 있어서, 상기 제1 변수는 노광 선량을 포함하고, 상기 제2 변수는 주사 리소그래피 프로세스의 초점을 포함하는, 컴퓨터 구현 방법.
항목 8. 본 명세서의 임의의 항목에 있어서, 실시간으로 또는 거의 실시간으로 상기 복수의 확률들을 수정하기 위해 사용자가 상기 제1 변수, 상기 제2 변수, 또는 둘 모두의 속성들을 변경할 수 있게 하도록 구성된 하나 이상의 그래픽 엘리먼트를 제시하는 단계를 더 포함하는, 컴퓨터 구현 방법.
항목 9. 본 명세서의 임의의 항목에 있어서, 사용자가 실시간으로 또는 거의 실시간으로 상기 복수의 확률들을 수정하기 위해 상기 제1 변수, 상기 제2 변수, 또는 둘 모두의 특성들을 변경할 수 있게 하도록 구성되는 하나 이상의 그래픽 엘리먼트들을 제시하는 단계를 더 포함하며, 상기 복수의 확률들을 수정하는 단계는 상기 반도체 디바이스를 제조하는 원하는 비용 최적화와 연관되는, 컴퓨터 구현 방법.
항목 10. 시스템에 있어서,
리소그래피 툴;
명령어들을 저장하는 메모리 디바이스; 및
상기 메모리 디바이스 및 상기 리소그래피 툴에 결합된 프로세싱 디바이스를 포함하고, - 상기 프로세싱 디바이스는 명령어들을 실행하여,
제1 변수를 선택하고;
제2 변수를 선택하고;
상기 제1 변수와 상기 제2 변수에 종속되는 응답 변수를 선택하고;
*상기 응답 변수에 대한 측정 불확도를 결정하고;
상기 응답 변수의 측정치 및 상기 응답 변수에 대한 측정 불확도에 기초하여, 리소그래피 프로세스와 연관된 복수의 포인트들이 각각의 응답 변수에 대한 사양 요건을 충족하는지 여부의 복수의 표시들을 나타내는 복수의 확률들을 결정하고 - 상기 복수의 확률들은 프로세스 윈도우를 나타냄 -; 및
상기 프로세스 윈도우에 기초하여, 반도체 디바이스를 제조하기 위해 상기 리소그래피 툴을 구성하는, 시스템.
항목 11. 본 명세서의 임의의 항목에 있어서, 상기 구성하는 것은 상기 제1 변수에 기초하여 제1 동작 파라미터를 설정하고, 상기 제2 변수에 기초하여 제2 동작 파라미터를 설정하도록 상기 리소그래피 툴에 제어 신호들을 송신하는 것을 포함하는, 시스템.
항목 12. 본 명세서의 임의의 항목에 있어서, 상기 프로세싱 디바이스는 컴퓨팅 디바이스의 사용자 인터페이스 상에 상기 복수의 확률을 상기 그래프의 그래픽 엘리먼트로서 제시하도록 추가로 구성되는, 시스템.
항목 13. 본 명세서의 임의의 항목에 있어서, 상기 복수의 확률의 제시는 상기 사용자 인터페이스 상의 히트맵(heat-map)인, 시스템.
항목 14. 본 명세서의 임의의 항목에 있어서, 응답 변수의 측정 불확도는 가우시안 정규 확률 분포(Gaussian normal probability distribution)인, 시스템.
항목 15. 본 명세서의 임의의 항목에 있어서, 상기 제1 및 제2 변수들은 확률적 프로세스 윈도우와 연관되는, 시스템.
항목 16. 본 명세서의 임의의 항목에 있어서, 상기 제1 변수는 노광 선량을 포함하고, 상기 제 2 변수는 주사 리소그래피 프로세스의 초점을 포함하는, 시스템.
항목 17. 본 명세서의 임의의 항목에 있어서, 상기 프로세싱 디바이스는:
상기 제1 및 제2 변수에 대한 프로세스 범위 변동을 선택하고,
상기 제1 변수 및 제2 변수 각각에 대한 설정 및 프로세스 범위가 주어지면 상기 사양 요건을 충족하는 피처의 분율을 결정하고,
사양 요건을 충족하는 피처의 분율에 기초하여 사양을 충족하는 피처의 최대 분율을 생성하는 상기 제1 및 제2 변수의 설정을 결정하도록 추가로 구성된, 시스템.
항목 18. 명령어를 저장하는 유형(tangible)의, 비일시적 컴퓨터 판독가능 매체에 있어서, 상기 명령어가 실행될 때, 프로세싱 디바이스가
제1 변수를 선택하고;
제2 변수를 선택하고;
상기 제1 변수 및 상기 제2 변수의 함수인 적어도 하나의 응답 변수를 선택하고;
각각의 상기 응답 변수에 대한 측정 불확도를 결정하고;
상기 응답 변수의 측정치 및 상기 응답 변수에 대한 측정 불확도에 기초하여, 리소그래피 프로세스와 연관된 복수의 포인트들이 각각의 응답 변수에 대한 사양 요건을 충족하는지 여부의 복수의 표시들을 나타내는 복수의 확률들을 결정하고 - 복수의 확률들은 프로세스 윈도우를 나타냄 -; 및
상기 프로세스 윈도우에 기초하여, 반도체 디바이스를 제조하기 위해 리소그래피 툴을 구성하게 하는, 컴퓨터 판독가능 매체.
항목 19. 본 명세서의 임의의 항목에 있어서, 상기 구성하는 것은, 상기 제1 변수에 기초하여 제1 동작 파라미터를 설정하고, 상기 제2 변수에 기초하여 제2 동작 파라미터를 설정하도록 상기 리소그래피 툴에 제어 신호들을 송신하는 것을 포함하는, 컴퓨터 판독가능 매체.
항목 20. 본원의 임의의 항목에 있어서, 상기 프로세싱 디바이스는 컴퓨팅 디바이스의 사용자 인터페이스 상에 상기 복수의 확률들을 상기 그래프의 그래픽 엘리먼트들로서 제시하도록 추가로 구성되는, 컴퓨터 판독가능 매체.
본 명세서에서 사용되는 용어는 단지 특정한 구현예들을 설명하기 위해 사용된 것으로, 본 개시를 제한하는 것으로 의도되지 않는다. 본 명세서에서 사용되는 단수 형태 "a", "an" 및 "the"는 문맥상 명백하게 달리 지시하지 않는 한, 복수의 형태도 포함하는 것으로 의도된다. 본 명세서에서 사용될 때, 용어 "포함한다(comprises)" 및/또는 "포함하는(comprising)"은 언급된 피처, 정수, 단계, 동작, 엘리먼트 및/또는 컴포넌트의 존재를 특정하지만, 하나 이상의 다른 피처, 정수, 단계, 동작, 엘리먼트, 컴포넌트 및/또는 이들의 그룹의 존재 또는 추가를 배제하지 않는다는 것을 추가로 이해할 것이다.
전반적인 설명 또는 예들에서 상기 설명된 활동들 모두가 요구되는 것은 아니며, 특정 활동의 일부가 요구되지 않을 수 있으며, 설명된 것들에 추가하여 하나 이상의 추가 활동들이 수행될 수 있다는 것에 유의한다. 또한, 활동들이 나열되는 순서는 반드시 이들이 수행되는 순서는 아니다.
본 특허 문서 전체에 걸쳐 사용되는 특정 단어 및 문구의 정의를 제시하는 것이 유리할 수 있다. 용어 "통신" 뿐만 아니라 그의 파생어들은 직접 및 간접 통신 둘 모두를 포함한다. 용어 "포함한다(include)" 및 "포함한다(comprise)" 뿐만 아니라 이들의 파생어는 제한 없이 포함을 의미한다. 용어 "또는"은 포괄적으로, 및/또는을 의미한다. "연관된"이라는 어구 및 이의 파생어는 포함하다, 내에 포함되다, 상호 연결하다, 함유하다, 내에 함유되다, 연결하다, 결합하다, 통신 가능하다, 협력하다, 끼어들다, 병치하다, 근접하다, 속박되다, 소유하다, 속성을 갖다, 관계를 맺다 등을 의미한다. 어구 "적어도 하나"는, 아이템들의 리스트와 함께 사용될 때, 리스트된 아이템들 중 하나 이상의 상이한 조합들이 사용될 수 있고, 리스트 내의 하나의 아이템만이 필요할 수 있다는 것을 의미한다. 예를 들어, "A, B, 및 C 중 적어도 하나"는 다음의 조합들 중 임의의 것을 포함한다: A, B, C, A 및 B, A 및 C, B 및 C, 및 A 및 B 및 C.
본 발명에서의 설명은 임의의 특정 엘리먼트, 단계 또는 기능이 청구 범위에 포함되어야 하는 필수 또는 중요 엘리먼트임을 암시하는 것으로 판독되어서는 안 된다. 특허된 주제의 범위는 허용된 청구항에 의해서만 정의된다. 또한, 청구항들 중 어느 청구항도, 정확한 단어들 "수단" 또는 "단계"가 기능을 식별하는 특정 어구가 후속하는 특정 청구항에서 명시적으로 사용되지 않는 한, 첨부된 청구항들 또는 청구 엘리먼트들 중 임의의 것에 대해 35 U.S.C. § 112(f)를 호출하지 않는다. 청구항 내의 "메커니즘", "모듈", "디바이스", "유닛", "컴포넌트", "엘리먼트", "부재", "장치", "기계", "시스템", "프로세서" 또는 "제어기"와 같은 (그러나 이에 제한되지 않는) 용어들의 사용은 청구항들 자체의 피처들에 의해 추가로 수정되거나 향상된, 관련 기술분야의 숙련자들에게 공지된 구조들을 지칭하는 것으로 이해되고 의도되며, 35 U.S.C. § 112(f)를 호출하도록 의도되지 않는다.
이점들, 다른 장점들, 및 문제들에 대한 해결책들이 특정 구현예들과 관련하여 상기에서 설명되었다. 그러나, 임의의 이점, 장점들, 또는 해결책이 발생하게 하거나 더 현저해질 수 있는 이점들, 장점들, 문제들에 대한 해결책들, 및 임의의 피처(들)은 청구항들 중 임의의 것 또는 전부의 결정적, 요구적, 또는 본질적 피처로 해석되지 않아야 한다.
본 명세서를 읽은 후, 당업자는 명료함을 위해, 별개의 구현예들의 맥락에서 본 명세서에 설명된 특정 피처들이 또한 단일 실시예에서 조합하여 제공될 수 있다는 것을 인식할 것이다. 반대로, 간결함을 위해, 단일 실시예의 맥락에서 설명된 다양한 피처들은 또한 개별적으로 또는 임의의 서브 조합으로 제공될 수 있다. 또한, 범위들로 언급된 값들에 대한 언급들은 그 범위 내의 각각의 그리고 모든 값을 포함한다.
이하의 청구항들에서의 모든 수단들 또는 단계 플러스 기능 엘리먼트들의 대응하는 구조들, 재료들, 동작들, 및 등가물들은 구체적으로 청구된 다른 청구된 엘리먼트들과 조합하여 기능을 수행하기 위한 임의의 구조, 재료, 또는 동작을 포함하도록 의도된다. 본 발명의 설명은 예시 및 설명의 목적으로 제시되었지만, 개시된 형태로 본 발명에 한정되거나 포괄적인 것으로 의도되지 않는다. 많은 수정예들 및 변형예들이 본 개시의 범위 및 사상을 벗어나지 않고 당업자에게 명백할 것이다. 구현예들은 본 개시의 원리들 및 실제 애플리케이션을 가장 잘 설명하고, 당업자가 고려되는 특정 용도에 적합한 다양한 수정예들을 갖는 다양한 구현예들에 대해 본 개시를 이해할 수 있게 하기 위해 선택되고 설명되었다.

Claims (20)

  1. 컴퓨터 구현 방법으로서,
    제1 변수를 선택하는 단계;
    제2 변수를 선택하는 단계;
    상기 제1 변수 및 상기 제2 변수의 함수인 적어도 하나의 응답 변수(response variable)를 선택하는 단계;
    각각의 응답 변수에 대한 측정 불확도(measurement uncertainty)를 결정하는 단계;
    상기 응답 변수의 측정치 및 상기 응답 변수에 대한 상기 측정 불확도에 기초하여, 리소그래피 프로세스와 연관된 복수의 포인트들이 각각의 응답 변수에 대한 사양 요건(specification requirement)을 충족하는지 여부의 복수의 표시들을 나타내는 복수의 확률들을 결정하는 단계 - 상기 복수의 확률들은 프로세스 윈도우(process window)를 나타냄 -; 및
    상기 프로세스 윈도우에 기초하여, 반도체 디바이스를 제조하기 위한 리소그래피 툴을 구성하는 단계를 포함하는, 컴퓨터 구현 방법.
  2. 제1항에 있어서, 상기 구성하는 단계는 상기 제1 변수에 기초하여 제1 동작 파라미터를 설정하고, 상기 제2 변수에 기초하여 제2 동작 파라미터를 설정하도록 상기 리소그래피 툴에 제어 신호들을 송신하는 단계를 포함하는, 컴퓨터 구현 방법.
  3. 제1항에 있어서, 컴퓨팅 디바이스의 사용자 인터페이스 상에 상기 복수의 확률을 상기 그래프의 그래픽 엘리먼트로서 제시하는 단계를 더 포함하는, 컴퓨터 구현 방법.
  4. 제3항에 있어서, 상기 복수의 확률들의 제시는 상기 사용자 인터페이스 상의 히트맵(heat-map), 3차원 플롯, 또는 등고선 플롯(contour plot)인, 컴퓨터 구현 방법.
  5. 제1항에 있어서, 상기 응답 변수의 측정 불확도는 가우시안 정규 확률 분포(Gaussian normal probability distribution)로 표현되는, 컴퓨터 구현 방법.
  6. 제1항에 있어서, 상기 제1 변수는 그래프의 제1 축 상에 표현되고, 상기 제2 변수는 상기 그래프의 제2 축 상에 표현되는, 컴퓨터 구현 방법.
  7. 제1항에 있어서, 상기 제1 변수는 노광 선량(exposure dose)을 포함하고, 상기 제2 변수는 주사 리소그래피 프로세스(scanning lithography process)의 초점(focus)을 포함하는, 컴퓨터 구현 방법.
  8. 제1항에 있어서, 실시간으로 또는 거의 실시간으로 상기 복수의 확률들을 수정하기 위해 사용자가 상기 제1 변수, 상기 제2 변수, 또는 둘 모두의 속성들을 변경할 수 있게 하도록 구성된 하나 이상의 그래픽 엘리먼트를 제시하는 단계를 더 포함하는, 컴퓨터 구현 방법.
  9. 제1항에 있어서, 사용자가 실시간으로 또는 거의 실시간으로 상기 복수의 확률들을 수정하기 위해 상기 제1 변수, 상기 제2 변수, 또는 둘 모두의 속성들을 변경할 수 있게 하도록 구성되는 하나 이상의 그래픽 엘리먼트들을 제시하는 단계를 더 포함하고, 상기 복수의 확률들을 수정하는 단계는 상기 반도체 디바이스를 제조하는 원하는 비용 최적화와 연관되는, 컴퓨터 구현 방법.
  10. 시스템에 있어서,
    리소그래피 툴;
    명령어들을 저장하는 메모리 디바이스; 및
    상기 메모리 디바이스 및 상기 리소그래피 툴에 결합된 프로세싱 디바이스를 포함하고, - 상기 프로세싱 디바이스는 명령어들을 실행하여,
    제1 변수를 선택하고;
    제2 변수를 선택하고;
    상기 제1 변수와 상기 제2 변수에 종속되는 응답 변수를 선택하고;
    상기 응답 변수에 대한 측정 불확도를 결정하고;
    상기 응답 변수의 측정치 및 상기 응답 변수에 대한 측정 불확도에 기초하여, 리소그래피 프로세스와 연관된 복수의 포인트들이 각각의 응답 변수에 대한 사양 요건을 충족하는지 여부의 복수의 표시들을 나타내는 복수의 확률들을 결정하고 - 상기 복수의 확률들은 프로세스 윈도우를 나타냄 -; 및
    상기 프로세스 윈도우에 기초하여, 반도체 디바이스를 제조하기 위해 상기 리소그래피 툴을 구성하는, 시스템.
  11. 제10항에 있어서, 상기 구성하는 것은 상기 제1 변수에 기초하여 제1 동작 파라미터를 설정하고, 상기 제2 변수에 기초하여 제2 동작 파라미터를 설정하도록 상기 리소그래피 툴에 제어 신호들을 송신하는 것을 포함하는, 시스템.
  12. 제10항에 있어서, 상기 프로세싱 디바이스는 컴퓨팅 디바이스의 사용자 인터페이스 상에 상기 복수의 확률을 상기 그래프의 그래픽 엘리먼트로서 제시하도록 추가로 구성되는, 시스템.
  13. 제12항에 있어서, 상기 복수의 확률들의 제시는 상기 사용자 인터페이스 상의 히트맵(heat-map)인, 시스템.
  14. 제10항에 있어서, 상기 응답 변수의 측정 불확도는 가우시안 정규 확률 분포(Gaussian normal probability distribution)인, 시스템.
  15. 제10항에 있어서, 상기 제1 변수는 그래프의 제1 축 상에 표현되고, 상기 제2 변수는 상기 그래프의 제2 축 상에 표현되는, 시스템.
  16. 제10항에 있어서, 상기 제1 변수는 노광 선량(exposure dose)를 포함하고, 상기 제2 변수는 주사 리소그래피 프로세스의 초점(focus)을 포함하는, 시스템.
  17. 제10항에 있어서, 상기 프로세싱 디바이스는,
    상기 제1 및 제2 변수에 대한 프로세스 범위 변동을 선택하고,
    상기 제1 변수 및 제2 변수 각각에 대한 설정 및 프로세스 범위가 주어지면 사양 요건을 충족하는 피처(feature)의 분율(fraction)을 결정하고,
    사양 요건을 충족하는 피처의 분율에 기초하여 사양을 충족하는 피처의 최대 분율을 생성하는 상기 제1 및 제2 변수의 설정을 결정하도록 추가로 구성된, 시스템.
  18. 명령어를 저장하는 유형(tangible)의, 비일시적 컴퓨터 판독가능 매체에 있어서, 상기 명령어가 실행될 때, 프로세싱 디바이스가
    제1 변수를 선택하고;
    제2 변수를 선택하고;
    상기 제1 변수 및 상기 제2 변수의 함수인 적어도 하나의 응답 변수를 선택하고;
    각각의 상기 응답 변수에 대한 측정 불확도를 결정하고;
    상기 응답 변수의 측정치 및 상기 응답 변수에 대한 측정 불확도에 기초하여, 리소그래피 프로세스와 연관된 복수의 포인트들이 각각의 응답 변수에 대한 사양 요건을 충족하는지 여부의 복수의 표시들을 나타내는 복수의 확률들을 결정하고 - 복수의 확률들은 프로세스 윈도우를 나타냄 -; 및
    상기 프로세스 윈도우에 기초하여, 반도체 디바이스를 제조하기 위해 리소그래피 툴을 구성하게 하는, 컴퓨터 판독가능 매체.
  19. 제18항에 있어서, 상기 구성하는 것은, 상기 제1 변수에 기초하여 제1 동작 파라미터를 설정하고, 상기 제2 변수에 기초하여 제2 동작 파라미터를 설정하도록 상기 리소그래피 툴에 제어 신호들을 송신하는 것을 포함하는, 컴퓨터 판독가능 매체.
  20. 제18항에 있어서, 상기 프로세싱 디바이스는 컴퓨팅 디바이스의 사용자 인터페이스 상에 상기 복수의 확률을 상기 그래프의 그래픽 엘리먼트로서 제시하도록 추가로 구성된, 컴퓨터 판독가능 매체.
KR1020237015350A 2021-09-10 2022-09-09 확률적 프로세스 윈도우들의 검출 KR102590974B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US17/472,335 US20220068594A1 (en) 2017-04-13 2021-09-10 Detection of probabilistic process windows
US17/472,335 2021-09-10
PCT/US2022/043101 WO2023039186A1 (en) 2021-09-10 2022-09-09 Detection of probabilistic process windows

Publications (2)

Publication Number Publication Date
KR20230074278A true KR20230074278A (ko) 2023-05-26
KR102590974B1 KR102590974B1 (ko) 2023-10-17

Family

ID=85506841

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020237015350A KR102590974B1 (ko) 2021-09-10 2022-09-09 확률적 프로세스 윈도우들의 검출

Country Status (7)

Country Link
EP (1) EP4222774A4 (ko)
JP (1) JP2024508077A (ko)
KR (1) KR102590974B1 (ko)
CN (1) CN116670710A (ko)
IL (1) IL303188A (ko)
TW (1) TW202329183A (ko)
WO (1) WO2023039186A1 (ko)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN117389120B (zh) * 2023-12-07 2024-03-22 华芯程(杭州)科技有限公司 一种坡度角检测方法、装置、设备及介质

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050197772A1 (en) * 2002-12-20 2005-09-08 Archie Charles N. Assessment and optimization for metrology instrument including uncertainty of total measurement uncertainty
US20170010538A1 (en) * 2014-02-11 2017-01-12 Asml Netherlands B.V. Model for calculating a stochastic variation in an arbitrary pattern
WO2021043551A1 (en) * 2019-09-06 2021-03-11 Asml Netherlands B.V. Method for increasing certainty in parameterized model predictions

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102351345B1 (ko) * 2017-02-28 2022-01-13 케이엘에이 코포레이션 오버레이 계측 데이터에 대한 확률적 행위의 영향 결정
US20220068594A1 (en) * 2017-04-13 2022-03-03 Fractilia, Llc Detection of probabilistic process windows
WO2019195481A1 (en) * 2018-04-06 2019-10-10 Lam Research Corporation Process simulation model calibration using cd-sem
US20230076218A1 (en) * 2020-02-21 2023-03-09 Asml Netherlands B.V. Method for calibrating simulation process based on defect-based process window

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050197772A1 (en) * 2002-12-20 2005-09-08 Archie Charles N. Assessment and optimization for metrology instrument including uncertainty of total measurement uncertainty
US20170010538A1 (en) * 2014-02-11 2017-01-12 Asml Netherlands B.V. Model for calculating a stochastic variation in an arbitrary pattern
WO2021043551A1 (en) * 2019-09-06 2021-03-11 Asml Netherlands B.V. Method for increasing certainty in parameterized model predictions

Also Published As

Publication number Publication date
WO2023039186A1 (en) 2023-03-16
JP2024508077A (ja) 2024-02-22
CN116670710A (zh) 2023-08-29
EP4222774A4 (en) 2024-03-06
IL303188A (en) 2023-07-01
TW202329183A (zh) 2023-07-16
KR102590974B1 (ko) 2023-10-17
EP4222774A1 (en) 2023-08-09

Similar Documents

Publication Publication Date Title
US11670480B2 (en) System and method for generating and analyzing roughness measurements
US11664188B2 (en) Edge detection system
US10656532B2 (en) Edge detection system and its use for optical proximity correction
US10648801B2 (en) System and method for generating and analyzing roughness measurements and their use for process monitoring and control
US10664955B2 (en) Edge detection system and its use for machine learning
US10488188B2 (en) System and method for removing noise from roughness measurements
US11380516B2 (en) System and method for generating and analyzing roughness measurements and their use for process monitoring and control
US20220068594A1 (en) Detection of probabilistic process windows
US11355306B2 (en) System and method for generating and analyzing roughness measurements and their use for process monitoring and control
US11508546B2 (en) System and method for low-noise edge detection and its use for process monitoring and control
US11361937B2 (en) System and method for generating and analyzing roughness measurements and their use for process monitoring and control
WO2018042581A1 (ja) パターン計測装置、及びコンピュータープログラム
US11521825B2 (en) System and method for predicting stochastic-aware process window and yield and their use for process monitoring and control
KR102590974B1 (ko) 확률적 프로세스 윈도우들의 검출
US20230134093A1 (en) System and method for determining and/or predicting unbiased parameters associated with semiconductor measurements

Legal Events

Date Code Title Description
A302 Request for accelerated examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant