KR20230038598A - 웨이퍼를 스핀하는 프로세스 모듈의 스테이션에 대한 자동-캘리브레이션 - Google Patents

웨이퍼를 스핀하는 프로세스 모듈의 스테이션에 대한 자동-캘리브레이션 Download PDF

Info

Publication number
KR20230038598A
KR20230038598A KR1020237007735A KR20237007735A KR20230038598A KR 20230038598 A KR20230038598 A KR 20230038598A KR 1020237007735 A KR1020237007735 A KR 1020237007735A KR 20237007735 A KR20237007735 A KR 20237007735A KR 20230038598 A KR20230038598 A KR 20230038598A
Authority
KR
South Korea
Prior art keywords
wafer
offset
pedestal
process module
calibrated
Prior art date
Application number
KR1020237007735A
Other languages
English (en)
Inventor
자콥 히스터
리치 블랭크
피터 타울라드
폴 콘콜라
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20230038598A publication Critical patent/KR20230038598A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67259Position monitoring, e.g. misposition detection or presence detection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • H01J37/32724Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67748Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber horizontal transfer of a single workpiece
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • H01L21/681Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment using optical controlling means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68764Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a movable susceptor, stage or support, others than those only rotating on their own vertical axis, e.g. susceptors on a rotating caroussel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68771Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by supporting more than one semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/244Detection characterized by the detecting means
    • H01J2237/2446Position sensitive detectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/245Detection characterised by the variable being measured
    • H01J2237/24571Measurements of non-electric or non-magnetic variables
    • H01J2237/24578Spatial variables, e.g. position, distance
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • H01J2237/3321CVD [Chemical Vapor Deposition]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Robotics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Processing Of Stones Or Stones Resemblance Materials (AREA)
  • Measuring Fluid Pressure (AREA)

Abstract

캘리브레이션을 위한 방법은 프로세스를 위한 온도 조건 하의 프로세스 모듈의 페데스탈의 온도 유도된 오프셋을 결정하는 단계를 포함한다. 방법은 로봇에 의해 프로세스 모듈의 페데스탈로 웨이퍼를 전달하는 단계, 및 진입 오프셋을 검출하는 단계를 포함한다. 방법은 각도로 페데스탈 위의 웨이퍼를 회전시키는 단계를 포함한다. 방법은 로봇에 의해 페데스탈로부터 웨이퍼를 제거하는 단계 및 진출 오프셋을 측정하는 단계를 포함한다. 방법은 진입 오프셋 및 진출 오프셋을 사용하여 온도 유도된 오프셋의 크기 및 방향을 결정하는 단계를 포함한다.

Description

웨이퍼를 스핀하는 프로세스 모듈의 스테이션에 대한 자동-캘리브레이션{AUTO-CALIBRATION TO A STATION OF A PROCESS MODULE THAT SPINS A WAFER}
본 실시예들은 로봇들, 보다 구체적으로 웨이퍼 프로세싱 시스템들에 채용된 로봇들에 관한 것이다.
반도체 프로세싱 시스템들에서, 로봇들은 일 위치로부터 또 다른 위치로 웨이퍼들을 이동시키도록 채용된다. 예를 들어, 하나 이상의 로봇들은 로딩 포트의 웨이퍼 카세트로부터 웨이퍼를 픽업하고, 웨이퍼를 로드 록으로 이동시키고, 웨이퍼를 하나 이상의 중간 위치들 (예를 들어, 이송 모듈들) 로 이동시키고, 그리고 웨이퍼 프로세싱을 위해 웨이퍼를 프로세스 모듈 또는 반응기로 이동시키도록 채용될 수도 있다.
웨이퍼들을 정확하게 배치하고 픽업하기 (pick up) 위해, 로봇은 웨이퍼 프로세싱 시스템의 다양한 위치들에서 좌표들을 알아야 한다. 좌표들은 웨이퍼 프로세싱 시스템 내에 설치된 후, 셋-업 (set-up) 프로세스 동안 각각의 로봇 내에 프로그래밍될 수도 있다. 이러한 방식으로, 로봇에 의해 사용된 핸드-오프 (hand-off) (예를 들어, 픽 앤 플레이스 (pick and place)) 위치들은 공지된다. 예를 들어, 로봇은 이송 모듈로부터 프로세스 모듈 내로, 예컨대 페데스탈 중심으로 웨이퍼들을 이송하도록 사용될 수도 있다. 통상적으로, 셋-업 프로세스는 프로세스 모듈이 냉각되는 동안 기술자 또는 현장 서비스 엔지니어에 의해 수행된다. 그러나, 일단 프로세스 모듈이 진공 하에 있거나 보다 높은 온도로 상승되면, 프로세스 모듈 내 특정한 위치 (예를 들어, 페데스탈의 중심) 의 좌표들은 이동될 수도 있다. 프로세스 조건들 동안 특정한 위치로 웨이퍼의 정확한 배치는 웨이퍼의 프로세싱 동안 발생한 에러들을 감소시키고, 반도체 디바이스들 및/또는 집적 회로들에 대해 보다 작은 형태 인자들 (form factors) 을 달성하기 위해 목표된다.
본 명세서에 제공된 배경기술 기술 (description) 은 본 개시의 맥락을 일반적으로 제시할 목적들을 위한 것이다. 이 배경기술 섹션에 기술된 정도의 본 명세서에 명명된 발명자들의 업적, 뿐만 아니라 출원시 종래 기술로서 달리 인증되지 않을 수도 있는 본 기술의 양태들은 본 개시에 대한 종래 기술로서 명시적으로나 암시적으로 인정되지 않는다.
이러한 맥락에서 본 개시가 발생한다.
본 실시예들은 관련 기술에서 발견된 하나 이상의 문제들을 해결하는 것, 구체적으로 조건 하의 프로세스 모듈 내, 디바이스와 관련된 위치와 같은, 특정한 위치의 오프셋을 측정하는 것에 관한 것이다.
본 개시의 실시예들은 프로세스를 위한 온도 조건 하에서 프로세스 모듈의 페데스탈에서 온도 유도된 오프셋을 결정하는 것을 포함하는 캘리브레이션 방법을 포함한다. 방법은 로봇에 의해 프로세스 모듈의 페데스탈로 웨이퍼를 전달하고, 진입 오프셋 (entry offset) 을 검출하는 단계를 포함한다. 방법은 페데스탈 위에서 각도로 웨이퍼를 회전시키는 단계를 포함한다. 방법은 로봇에 의해 페데스탈로부터 웨이퍼를 제거하고 진출 오프셋 (exit offset) 을 측정하는 단계를 포함한다. 방법은 진입 오프셋 및 진출 오프셋을 사용하여 온도 유도된 오프셋의 크기 및 방향을 결정하는 단계를 포함한다.
본 개시의 실시예들은 캘리브레이션 방법을 포함한다. 방법은 프로세스 모듈 내 회전 디바이스의 회전 축의 최초 캘리브레이팅된 위치에 기초하여 기준 좌표계를 확립하는 단계를 포함한다. 방법은 프로세스 모듈에 조건을 적용하는 단계를 포함한다. 방법은 프로세스 모듈로 캘리브레이션 웨이퍼를 이송하도록 구성된 이송 모듈 (TM) 로봇을 사용하여 인바운드 로드 록으로부터 캘리브레이션 웨이퍼를 픽업하는 (pick up) 단계를 포함한다. 방법은 캘리브레이션 웨이퍼를 프로세스 모듈로 이송할 때, 측정 디바이스를 사용하여 기준 좌표계에서 캘리브레이션 웨이퍼의 제 1 측정값을 결정하는 단계를 포함하고, 측정 디바이스는 기준 좌표계 내에 고정된다. 방법은 TM 로봇을 사용하여 캘리브레이션 웨이퍼를 프로세스 모듈로 핸드오프하는 (hand off) 단계를 포함한다. 방법은 캘리브레이션 웨이퍼를 회전 디바이스와 인터페이싱하는 단계를 포함한다. 방법은 회전 디바이스를 사용하여 각도로 캘리브레이션 웨이퍼를 회전시키는 단계를 포함한다. 방법은 프로세스 모듈로부터 TM 로봇을 사용하여 캘리브레이션 웨이퍼를 제거하는 단계를 포함한다. 방법은 캘리브레이션 웨이퍼를 아웃바운드 로드 록으로 이송할 때 측정 디바이스를 사용하여 기준 좌표계에서 캘리브레이션 웨이퍼의 제 2 측정값을 결정하는 단계를 포함한다. 방법은 제 1 측정값 및 제 2 측정값에 기초하여 회전 축의 조건 보정을 결정하는 단계를 포함하고, 조건 보정은 프로세스 모듈이 조건 하에 있을 때 최초 캘리브레이팅된 위치로부터 회전 축의 오프셋에 대응한다.
본 개시의 실시예들은 또 다른 캘리브레이션 방법을 포함한다. 방법은 프로세스 모듈 내 회전 디바이스의 회전 축의 최초 캘리브레이팅된 위치에 기초하여 기준 좌표계를 확립하는 단계를 포함한다. 방법은 이송 모듈 (TM) 로봇을 사용하여 최초 캘리브레이팅된 위치로부터 프로세스 모듈로부터 캘리브레이션 웨이퍼를 이송할 때 기준 좌표계 내에 고정된 측정 디바이스를 사용하여 기준 좌표계 내에서 캘리브레이션 웨이퍼의 캘리브레이팅된 기준 측정값을 확립하는 단계를 포함한다. 캘리브레이션 웨이퍼는 캘리브레이팅된 기준 측정값이 회전 축의 최초 캘리브레이팅된 위치와 정렬되도록 회전 축을 중심으로 센터링되도록 배치된다. 방법은 프로세스 모듈이 조건 하에 있을 때 프로세스 모듈 내에서 회전 디바이스를 사용하여 회전 축을 중심으로 각도로 캘리브레이션 웨이퍼의 회전에 기초하여 최초 캘리브레이팅된 위치로부터 회전 축의 오프셋에 대응하는 회전 축의 조건 보정을 결정하는 단계를 포함한다. 방법은 TM 로봇을 사용하여 인바운드 로드 록으로부터 프로세스 웨이퍼를 픽업하는 단계를 포함한다. 방법은 프로세스 웨이퍼를 프로세스 모듈로 이송할 때 측정 디바이스를 사용하여 기준 좌표계에서 프로세스 웨이퍼의 정렬 측정값을 결정하는 단계를 포함한다. 방법은 정렬 측정값에 기초하여 캘리브레이팅된 기준 측정값으로부터 프로세스 웨이퍼의 오프셋에 대응하는 프로세스 웨이퍼의 정렬 보정을 결정하는 단계를 포함한다. 방법은 TM 로봇을 사용하여 프로세스 웨이퍼에 조건 보정을 적용하는 단계를 포함한다. 방법은 최초 캘리브레이팅된 위치로부터 오프셋되는 회전 축에 프로세스 웨이퍼를 정렬하기 위해 TM 로봇을 사용하여 정렬 보정을 적용하는 단계를 포함한다.
본 개시의 실시예들은 웨이퍼들을 프로세싱하기 위한 시스템을 포함한다. 시스템은 회전 축을 갖는 회전 디바이스를 포함하는 프로세스 모듈을 포함한다. 시스템은 회전 디바이스의 회전 축의 최초 캘리브레이팅된 위치에 기초한 기준 좌표계를 포함한다. 시스템은 프로세스 모듈로 그리고 프로세스 모듈로부터 웨이퍼들을 이송하기 위해 구성된 이송 모듈 (TM) 로봇을 포함한다. 시스템은 기준 좌표계 내에 고정된 측정 디바이스를 포함하고, 측정 디바이스는 프로세스 모듈로 그리고 프로세스 모듈로부터 이송된 웨이퍼들을 인터셉트한다 (intercept). 시스템은 프로세서, 및 프로세서에 커플링되고 프로세서에 의해 실행될 때, 프로세서로 하여금 캘리브레이션 방법을 실행하게 하는, 인스트럭션들이 저장되는 메모리를 포함한다. 방법은 프로세스 모듈 내 회전 디바이스의 회전 축의 최초 캘리브레이팅된 위치에 기초하여 기준 좌표계를 확립하는 단계를 포함한다. 방법은 프로세스 모듈에 조건을 적용하는 단계를 포함한다. 방법은 프로세스 모듈로 캘리브레이션 웨이퍼를 이송하도록 구성된 TM 로봇을 사용하여 인바운드 로드 록으로부터 캘리브레이션 웨이퍼를 픽업하는 단계를 포함한다. 방법은 캘리브레이션 웨이퍼를 프로세스 모듈로 이송할 때, 측정 디바이스를 사용하여 기준 좌표계 내에서 캘리브레이션 웨이퍼의 제 1 측정값을 결정하는 단계를 포함하고, 측정 디바이스는 기준 좌표계 내에 고정된다. 방법은 캘리브레이션 웨이퍼를 프로세스 모듈로 핸드오프하는 단계를 포함한다. 방법은 캘리브레이션 웨이퍼를 회전 디바이스와 인터페이싱하는 단계를 포함한다. 방법은 회전 디바이스를 사용하여 각도로 캘리브레이션 웨이퍼를 회전시키는 단계를 포함한다. 방법은 TM 로봇을 사용하여 프로세스 모듈로부터 캘리브레이션 웨이퍼를 제거하는 단계를 포함한다. 방법은 캘리브레이션 웨이퍼를 아웃바운드 로드 록으로 이송할 때 측정 디바이스를 사용하여 기준 좌표계 내에서 캘리브레이션 웨이퍼의 제 2 측정값을 결정하는 단계를 포함한다. 방법은 제 1 측정값 및 제 2 측정값에 기초하여 회전 축의 조건 보정을 결정하는 단계를 포함하고, 조건 보정은 프로세스 모듈이 조건 하에 있을 때 최초 캘리브레이팅된 위치로부터 회전 축의 오프셋에 대응한다.
이들 및 다른 장점들은 전체 명세서 및 청구항들을 판독시 당업자에게 인식될 것이다.
실시예들은 첨부된 도면들과 함께 취해진 이하의 기술을 참조하여 최상으로 이해될 수도 있다.
도 1은 웨이퍼를 프로세싱, 예를 들어, 웨이퍼 상에 막들을 형성하도록 사용되는, 기판 프로세싱 시스템을 예시한다.
도 2는 일 실시예에 따른, 4 개의 프로세싱 스테이션들이 제공되는, 멀티-스테이션 프로세싱 툴 및/또는 프로세스 모듈의 상면도를 예시한다.
도 3은 일 실시예에 따른, 인바운드 로드 록 및 아웃바운드 로드 록을 갖는 멀티-스테이션 프로세싱 툴의 일 실시예의 개략도를 도시한다.
도 4a는 본 개시의 일 실시예에 따른, 프로세스 조건 하인 프로세스 모듈 내 디바이스의 회전 축의 오프셋을 결정할 목적들을 위해 인입 웨이퍼의 배향을 도시하는 멀티-스테이션 프로세스 모듈로의 인입 웨이퍼를 예시한다.
도 4b는 본 개시의 일 실시예에 따른, 프로세스 조건 하인 프로세스 모듈 내 디바이스의 회전 축의 오프셋을 결정할 목적들을 위해 인입 웨이퍼의 배향을 도시하는 도 4a에 소개된 멀티-스테이션 프로세스 모듈로부터 인출 웨이퍼를 예시한다.
도 4c는 본 개시의 일 실시예에 따른, 인입 웨이퍼 및 인출 웨이퍼의 측정값들을 사용하여 프로세스 조건 하인 프로세스 모듈 내 디바이스의 회전 축의 오프셋을 결정하는 프로세스를 예시한다.
도 4d는 본 개시의 일 실시예에 따른, 오프셋 보정 벡터를 계산하는 일 예를 예시한다.
도 4e는 본 개시의 일 실시예에 따른, 프로세스 조건 하인 프로세스 모듈 내 페데스탈의 온도 유도된 오프셋을 결정하기 위한 방법을 예시하는 흐름도이다.
도 5a는 본 개시의 일 실시예에 따른, 캘리브레이팅된 기준 측정값의 위치가 프로세스 모듈 내 회전 디바이스의 회전 축의 최초 캘리브레이팅된 위치와 정렬되는, 측정 디바이스에 의해 측정될 때 이송 모듈 (TM) 로봇에 의해 홀딩된 캘리브레이션 웨이퍼의 캘리브레이팅된 기준 측정값 (예를 들어, 초기화된 위치) 을 결정하기 위한 방법을 예시하는 흐름도이다.
도 5b는 본 개시의 일 실시예에 따른, 캘리브레이션 웨이퍼의 캘리브레이팅된 기준 측정값을 사용하여 프로세스 조건 하인 프로세스 모듈 내에 위치된 회전 디바이스의 회전 축의 오프셋을 결정하기 위한 방법을 예시하는 흐름도이다.
도 5c는 본 개시의 일 실시예에 따른, 캘리브레이팅된 기준 측정값으로부터 인입 프로세스 웨이퍼의 정렬 오프셋을 결정하고, 정렬 오프셋에 기초한 정렬 보정 및 회전 축의 오프셋에 기초한 조건 보정을 인입 프로세스 웨이퍼에 적용하기 위한 방법을 예시하는 흐름도이다.
도 6a는 본 개시의 일 실시예에 따른, 프로세스 모듈 내 회전 디바이스의 회전 축의 최초 위치와 정렬되는 캘리브레이션 웨이퍼의 캘리브레이팅된 기준 측정값, 및 캘리브레이션 웨이퍼가 회전할 때, 프로세스 모듈 내 회전 디바이스의 회전 축의 오프셋이 캘리브레이션 웨이퍼에 대해 갖는 효과를 예시하는 도면이다.
도 6b는 본 개시의 일 실시예에 따른, 회전 디바이스에 의해 각도로 인입 웨이퍼를 회전시킴으로써 프로세스 모듈 내 회전 디바이스의 회전 축의 오프셋의 결정을 예시하는 도면이고, 결정은 정렬과 무관하다 (agnostic).
도 7은 본 개시의 일 실시예에 따른, 캘리브레이팅된 기준 측정값으로부터 인입 프로세스 웨이퍼의 정렬 오프셋을 예시하는 도면이다.
도 8은 상기 기술된 시스템들을 제어하기 위한 제어 모듈을 도시한다.
이하의 상세한 기술이 예시의 목적들을 위해 많은 구체적인 상세들을 포함하지만, 당업자는 이하의 상세들에 대한 많은 변형들 및 대안들이 본 개시의 범위 내라는 것을 인식할 것이다. 이에 따라, 이하에 기술된 본 개시의 양태들은 본 기술에 이어지는 청구항들에 대한 일반성의 어떠한 손실도 없이, 청구항들에 대한 제한들을 암시하지 않고, 진술된다.
일반적으로 말하면, 본 개시의 다양한 프로세스 모듈 내 (예를 들어, 페데스탈이 회전하는) 회전 디바이스의 회전 축의 오프셋의 보정을 제공하는 시스템들 및 방법들을 기술한다. 이러한 방식에서, 본 개시의 실시예들은 프로세스 조건이 프로세스 모듈에 주어진 (place) 후 이동된 프로세스 모듈 내 캘리브레이팅된 위치 (예를 들어, 회전 축) 로 전달되는 인입 웨이퍼의 오정렬 (misalignment) 에 의해 유발된 에러들을 감소시킬 수 있다. 이 조건 오프셋을 보정함으로써, 반도체 디바이스들 및 반도체 디바이스들을 포함하는 집적 회로들의 형태 인자가 감소될 수 있다.
이상의 다양한 실시예들의 보편적인 이해를 바탕으로, 실시예들의 예시적인 상세들이 다양한 도면들을 참조하여 이제 기술될 것이다. 하나 이상의 도면들에서 유사하게 번호가 붙은 엘리먼트들 및/또는 컴포넌트들은 일반적으로 동일한 구성 및/또는 기능성을 갖도록 의도된다. 또한, 도면들은 스케일대로 도시되지 않을 수도 있고 신규한 개념들을 강조하고 예시하도록 의도된다. 본 실시예들은 이들 구체적인 상세들 중 일부 또는 전부가 없이 실시될 수도 있다는 것이 자명할 것이다. 다른 예들에서, 공지의 프로세스 동작들은 본 실시예들을 불필요하게 모호하게 하지 않기 위해 상세히 기술되지 않았다.
본 개시의 실시예들은 ALD (atomic layer deposition) 프로세스 및 PECVD (plasma enhanced chemical vapor deposition) 프로세스에서 사용되는 것과 같은, 플라즈마 프로세스 모듈들에 커플링된 로봇들 및/또는 툴 시스템들의 캘리브레이션을 수행하기 위한 방법들 및 장치들에 관한 것이다. 본 개시의 실시예들은 다양한 프로세스 모듈 구성들로 구현될 수도 있다. 또한, 본 개시의 실시예들은 본 명세서에 제공된 예들로 제한되지 않고, 상이한 구성들, 기하구조들, 및 플라즈마 생성 기술들을 채용하는 상이한 플라즈마 프로세싱 시스템들 (예를 들어, 유도성 커플링 시스템들, 용량성 커플링 시스템들, 전자-사이클론 공진 (electron cyclotron resonance) 시스템들, 마이크로파 시스템들, 등) 에서 실시될 수도 있다. 플라즈마 프로세싱 시스템들 및 플라즈마 프로세스 모듈들의 예들은 공동으로 소유된 미국 특허 제 8,862,855 호, 및 제 8,847,495 호, 및 제 8,485,128 호, 그리고 미국 특허 출원 번호 제 15/369,110 호에 개시된다.
도 1은 ALD 프로세스 및 PECVD 프로세스에서 형성되는 것과 같은, 웨이퍼를 프로세싱, 예를 들어, 기판들 위에 막들을 형성하도록 사용되는, 플라즈마 프로세싱 시스템 (100) 을 예시한다. 시스템 (100) 은 예를 들어, 반도체 디바이스들을 프로세싱하기 위해 웨이퍼들을 프로세싱하도록 구성된다. FOUP들 (Front opening unified pods) (미도시) 은 하나 이상의 웨이퍼들을 홀딩하기 위해 그리고 시스템 (100) 내로, 시스템 (100) 내에서, 그리고 시스템 (100) 으로부터 웨이퍼들을 이동시키기 위해 구성된다. FOUP들은 웨이퍼들의 전달을 위해 로드 포트(들) (160) 와 인터페이싱할 수도 있다. 특히, 웨이퍼는 프로세싱 동안 EFEM (equipment front-end module) (150) 과 각각의 프로세스 모듈 (110) 사이에서 이송 모듈 (190) 을 통해 FOUP 내에서 이송될 수도 있다. 로드 포트들 (160) 은 전-프로세싱 및 후-프로세싱 동안 EFEM (150) 으로 그리고 EFEM (150) 으로부터 웨이퍼들을 이동시키기 위해 구성된다.
EFEM (150) 은 (PM (110) 의 프로세싱 분위기) 대기와 진공 사이에서 웨이퍼들을 이동시키기 위해 구성된다. EFEM (150) 은 FOUP과 로드록들 (170) 사이에서 웨이퍼들을 이동시키기 위해 구성된다. 이송 로봇들 (131) (예를 들어, 로봇 암들 등) 은 트랙 (152) 을 따라 로드 포트들 (160) 과 적절한 로드록들 (170) 사이에서 웨이퍼들을 이송한다. 로드록들 (170), 이송 모듈 (190), 및 프로세스 모듈 (110) 과 조합하여 다양한 게이트 밸브들 (180) 이 적절한 압력들을 유지하거나 생성하도록 (예를 들어, 대기, 진공, 그리고 이들 사이에서 천이) 채용될 수도 있다. 게이트 밸브들 (180) 은 웨이퍼들의 이동 및/또는 프로세싱 동안, 특히 웨이퍼들이 시스템 (100) 의 다양한 압력들에 노출될 때 컴포넌트들을 고립시키도록 구성된다. 예를 들어, 게이트 밸브들 (180) 은 EFEM (150), 로드록들 (170), 이송 모듈 (190) 및 프로세스 모듈들 (110) 을 고립시킬 수도 있다. 로드록들 (170) 은 EFEM (150) 으로부터 이송 모듈 (190) 로 기판들 (예를 들어, FOUP들의 웨이퍼들) 을 이송하기 위한 이송 디바이스들을 포함한다. 로드록들 (170) 은 이송 모듈 (190) 에 의해 유지된 진공 분위기에 액세스하기 전 압력 하에서 배기될 수도 있고, 또는 EFEM (150) 에 액세스하기 전에 대기로 벤팅될 (vent) 수도 있다. 예를 들어, 로드록들 (170) 은 게이트 밸브들 (180) 이 폐쇄될 때, 로드록들 (170) 은 펌핑다운될 수도 있도록, 진공 소스 (미도시) 에 커플링될 수도 있다. 이와 같이, 로드록들 (170) 은 로드록들 (170) 과 이송 모듈 (190) 사이에서 진공 압력 하에서 웨이퍼들을 이송할 때, 또는 로드록들 (170) 과 EFEM (150) 사이에서 대기압 하에서 웨이퍼들을 이송할 때와 같이, 목표된 압력을 유지하도록 구성될 수도 있다.
이송 모듈 (190) 은 프로세스 모듈들 (110) 로 그리고 프로세스 모듈들 (110) 로부터 게이트 밸브들 (180) 을 통해 기판들 (예를 들어, 로드록들 (170) 의 웨이퍼들) 을 이송하도록 구성된다. 일 구성에서, 게이트 밸브들 (180) 은 인접한 모듈들 (예를 들어, 이송 모듈 (190), EFEM (150), 프로세스 모듈 (110), 등) 로의 액세스를 가능하게 하는 제어가능한 개구부들 (예를 들어, 액세스 도어들) 을 포함한다. 이송 모듈 (190) 내에서, 이송 로봇들 (132) (예를 들어, 로봇 암들 등) 은 프로세스 모듈들 (110) 사이에서, 또는 로드록들 (170) 로 그리고 로드록들 (170) 로부터 웨이퍼들을 이송하는 것과 같이, 트랙 (133) 을 사용하여 진공 분위기에서 프로세스 웨이퍼 (101) 를 이동시키도록 구성된다. 이송 모듈 (190) 및 프로세스 모듈들 (110) 은 통상적으로 진공 하에서 동작하고, 적절한 진공 압력을 유지하도록 하나 이상의 진공 소스(들) (미도시) 와 커플링될 수도 있다.
하나 이상의 프로세스 모듈들 (110) 이 이송 모듈 (190) 에 커플링될 수도 있다. 프로세스 모듈들 (110) 각각은 진공 또는 다른 제어된 분위기에서의 프로세싱을 필요로 하는 웨이퍼들, 또는 임의의 적합한 객체를 프로세싱하도록 구성된다. 프로세스 모듈들 (110) 은 단일 스테이션 또는 멀티-스테이션 구성일 수도 있다. 도시된 프로세스 모듈 (110) 은 도 1에 도시된 실시예에서 1 내지 4로 번호가 붙은, 4 개의 프로세스 스테이션들을 포함한다. 예를 들어, 프로세스 모듈들 (110) 은 하나 이상의 반도체 제작 프로세스들을 구현하도록 구성될 수도 있다. 일 구성에서, 프로세스 모듈들 (110) 은 플라즈마 프로세싱 챔버를 포함한다. 일반적으로, 프로세스 모듈들 (110) 은 유도 커플링 (변압기 커플링 (transformer coupling)), 헬리콘, 전자 사이클론 공진, 용량 커플링 (평행 플레이트) 과 같은, 플라즈마를 생성하기 위한 다양한 메커니즘들에 의존할 수 있다. 예를 들어, 고밀도 플라즈마가 변압기 커플링된 플라즈마 (TCPTM) 프로세싱 챔버에서, 또는 ECR (electron cyclotron resonance) 프로세싱 챔버에서 생성될 수 있다. 고 밀도 플라즈마를 제공할 수 있는 고 플로우 플라즈마 프로세싱 챔버 또는 프로세스 모듈의 일 예는 공동으로 소유된 미국 특허 제 5,948,704 호에 개시된다. 프로세스 모듈들에 위치된 챔버들의 예시를 위해, 평행 플레이트 플라즈마 프로세싱 챔버들, ECR 플라즈마 프로세싱 챔버들, 및 변압기 커플링된 플라즈마 (TCPTM) 프로세싱 챔버들이 공동으로 소유된 미국 특허 제 4,340,462 호; 제 4,948,458 호; 제 5,200,232 호 및 제 5,820,723 호에 개시되고, 이의 개시들은 본 명세서에 참조로서 인용된다.
도 2는 4 개의 프로세싱 스테이션들이 제공되는, 멀티-스테이션 프로세싱 툴 또는 프로세스 모듈 (110) 의 상면도를 예시한다. 이 상면도는 (예를 들어, 예시를 위해 상단 챔버 부분이 제거된) 하부 챔버 부분 (102b) 의 상면도이고, 4 개의 스테이션들은 스파이더 포크들 (226) 에 의해 액세스된다. 스파이더 포크 각각 또는 포크는 제 1 암 및 제 2 암을 포함하고, 각각은 페데스탈 (140) 의 측면 각각의 부분 둘레에 위치된다. 이 도면에서, 스파이더 포크들 (226) 은 캐리어 링 (200) 아래에 있다는 것을 전달하기 위해, 점선으로 도시되었다. 인게이지먼트 및 회전 메커니즘 (220) 을 사용하여, 스파이더 포크들 (226) 은 스테이션들로부터 동시에 (즉, 캐리어 링들 (200) 의 하부 표면으로부터) 캐리어 링들 (200) 을 상승 및 리프팅하고, 이어서 추가 플라즈마 프로세싱, 처리 및/또는 막 증착이 각각의 웨이퍼들 (101) 상에서 발생할 수 있도록, (캐리어 링들 중 적어도 하나가 웨이퍼 (101) 를 지지하는) 캐리어 링들 (200) 을 다음 위치로 하강시키기 전에 적어도 하나 이상의 스테이션들을 회전시키도록 구성된다.
도 3은 인바운드 로드 록 (302) 및 아웃바운드 로드 록 (304) 을 갖는 멀티-스테이션 프로세싱 툴 또는 프로세스 모듈 (110) 의 실시예의 개략도를 도시한다. 대기압에서, 로봇 (131) 은 대기 포트 (310) 를 통해 인바운드 로드 록 (302) 으로 포드 (308) 를 통해 로딩된 카세트로부터 웨이퍼들을 이동시키도록 구성된다. 대기 포트 (310) 가 폐쇄될 때, 인바운드 로드 록 (302) 이 펌프다운될 수도 있도록, 인바운드 로드 록 (302) 은 진공 소스 (미도시) 에 커플링된다. 인바운드 로드 록 (302) 은 또한 프로세싱 챔버 (102b) 와 인터페이싱된 챔버 이송 포트 (316) 를 포함한다. 따라서, 챔버 이송 포트 (316) 가 개방될 때, 또 다른 로봇 (미도시, 예컨대 진공 이송 모듈 (190) 의 로봇 (312)) 은 인바운드 로드 록 (302) 으로부터 프로세싱을 위한 제 1 프로세스 스테이션의 페데스탈 (140) 으로 기판을 이동시킬 수도 있다.
도시된 프로세싱 챔버 (102b) 는 도 3에 도시된 실시예에서 1 내지 4로 번호가 붙여진, 4 개의 프로세스 스테이션들을 포함한다. 일부 실시예들에서, 프로세싱 챔버 (102b) 는 기판들이 진공 파괴 (vacuum break) 및/또는 공기 노출을 경험하지 않고 프로세스 스테이션들 사이에서 캐리어 링 (200) 을 사용하여 이송될 수도 있도록 저압 분위기를 유지하도록 구성될 수도 있다. 도 3에 도시된 프로세스 스테이션 각각은 프로세스 스테이션 기판 홀더 (스테이션 1에 대해 318로 도시됨) 및 프로세스 가스 전달 라인 유입구들을 포함한다.
도 3은 또한 프로세싱 챔버 (102b) 내에서 기판들을 이송하기 위한 스파이더 포크들 (226) 을 도시한다. 스파이더 포크들 (226) 은 일 스테이션으로부터 또 다른 스테이션으로 웨이퍼들을 로테이팅 (rotate) 하고 웨이퍼들의 이송을 인에이블한다. 이송은 웨이퍼를 리프팅하는 외측 하부 표면으로부터 캐리어 링들 (200) 을 리프팅하도록 스파이더 포크들 (226) 을 인에이블함으로써 발생하고, 웨이퍼와 캐리어를 함께 다음 스테이션으로 로테이팅한다. 일 구성에서, 스파이더 포크들 (226) 은 프로세싱 동안 고 레벨들의 열을 견디도록 세라믹 재료로 이루어진다.
도 4a 내지 도 4e는 프로세스 모듈 내 회전 디바이스의 회전 축의 최초 캘리브레이팅된 위치로부터 오프셋을 결정하기 위한 프로세스를 예시하는 도면들이고, 본 개시의 실시예들에서 오프셋은 프로세스 모듈에 부과된 프로세스 조건에 의해 유발된다.
특히, 도 4a는 본 개시의 일 실시예에 따른, 프로세스 조건 하의 프로세스 모듈 (110) 내에서 회전 축의 오프셋을 결정할 목적들을 위한 인입 캘리브레이션 웨이퍼 (405) 의 배향을 도시하는 멀티-스테이션 프로세스 모듈로의 인입 캘리브레이션 웨이퍼 (405) 를 예시한다. 특히, 로봇 (132) 은 게이트 밸브 (180) 를 통해 진공 이송 모듈 (190) 로부터 프로세스 모듈 (110) 로 캘리브레이션 웨이퍼를 전달한다. 캘리브레이션 웨이퍼 (405) 는 게이트 밸브 (180) 에 가장 가까운 스테이션 (140) 으로 전달된다. 스테이션 (140) 은 웨이퍼를 지지하기 위해 구성된 페데스탈을 포함할 수도 있다. 캘리브레이션 웨이퍼 (405) 의 배향은 인입 배향에서, 노치 (406) 에 의해 지시되고, 노치 (406) 는 노치 (406) 가 먼저 게이트 밸브로 들어가거나, 먼저 인입 캘리브레이션 웨이퍼 (405) 와 함께 AWC 센서들 (410) 을 통과하도록, 스테이션 (140) 을 향해 포인팅된다 (point).
이전에 소개된 바와 같이, 프로세스 모듈 (110) 은 진공 또는 제어된 분위기에서 웨이퍼들을 프로세싱하기 위해 구성된다. 예를 들어, 프로세스 모듈 (110) 은 하나 이상의 반도체 제작 프로세스들을 구현하도록 구성될 수도 있다. 예를 들어, 프로세스 모듈 (110) 은 ALD 프로세스 및 PECVD 프로세스와 같은, 증착 프로세스 또는 에칭 프로세스 동안, 재료의 증착을 포함하는 다양한 프로세스들을 용이하게 하도록 플라즈마를 생성하기 위해 멀티-스테이션 플라즈마 프로세싱 챔버를 포함한다. 챔버는 전극들, 기판 지지부, (웨이퍼를 제자리에 홀딩하기 위해 정전 홀딩력을 도입하기 위해 고 전압으로 바이어스된 전극들을 포함하도록 구성된) 기판 지지부의 정전 척 중 하나 이상, 하나 이상의 가스 샤워헤드들, 기판 지지부와 샤워헤드들 사이의 갭을 제어하기 위한 갭 제어 메커니즘들을 포함할 수도 있다. 간결성 및 명확성의 목적들을 위해, 당업자들에게 공지된 챔버 및/또는 프로세스 모듈 (110) 의 다양한 다른 컴포넌트들의 상세한 기술들은 제공되지 않지만, 고려되고 완전히 지지된다.
이에 더하여, 스테이션 (140) 은 회전을 위해 구성된 리프트 패드 (또한 트위스트 패드로 지칭됨) 를 포함할 수도 있다. 리프트 패드는 페데스탈 (140) 로부터 웨이퍼를 리프팅하고 그 위에 배치된 웨이퍼를 프로세스 모듈 (110) 및/또는 대응하는 페데스탈 (140) 에 대해 회전시키도록 구성된다. 예시의 목적들을 위해, 리프트 패드는 ALD 프로세스 및/또는 PECVD 프로세스 및/또는 적용예들을 수행하는 프로세스 모듈들 내에서 사용될 수도 있다. 예를 들어, 하나 이상의 모터들은 웨이퍼 프로세싱 페데스탈 (140) 을 리프팅하고 (예를 들어, 기존 페데스탈-리프트 디바이스의 기능) 그리고 또한 리프트 패드를 사용하여 페데스탈로부터 웨이퍼를 리프팅하도록 구성될 수도 있다. 일 실시예에서, 리프트 패드는 대략 웨이퍼로 사이즈가 결정된다 (sized). 또 다른 실시예에서, 리프트 패드의 사이즈는 웨이퍼보다 작다. 리프트 패드는 리프트 패드가 회전의 목적들을 위해 페데스탈로부터 분리될 수도 있도록, 페데스탈로부터 개별적으로 제어될 수도 있다. 예를 들어, 페데스탈로부터 리프트 패드의 분리시, 리프트 패드에 의해 지지된 웨이퍼는 리프트 패드의 회전과 함께 회전된다. 이와 같이, 페데스탈 (140) 및 페데스탈을 둘러싸는 프로세스 챔버 또는 프로세스 모듈은 회전하는 리프트 패드에 대해 고정된 채로 남는다.
본 개시의 실시예들에서 웨이퍼의 회전은 프로세스 모듈 (110) 에 부과된 프로세스 조건에 의해 유발되는 프로세스 모듈 (110) 내 디바이스의 회전 축의 오프셋을 결정할 목적들을 위해 프로세스 모듈 (110) 내에 위치된 임의의 회전 디바이스를 사용하여 수행될 수도 있다. 예를 들어, 회전 디바이스는 프로세스 모듈 (110) 내에서 스테이션들 및 또는 페데스탈들 (140) 을 회전시키도록 구성된 스핀들 또는 스파이더 포크들의 엔드 이펙터 상에 위치될 수도 있다. 일 타입의 스핀들은 도 2에서 이전에 소개된 회전 메커니즘 (220) 및/또는 스파이더 포크들 (226) 일 수도 있다. 회전 디바이스는 전체 스핀들이 스테이션들 (140) 사이에서 정상적으로 회전할 때 웨이퍼를 회전시키도록 구성된다. 예를 들어, 스핀들이 4-스테이션 또는 멀티-스테이션 프로세스 모듈 (110) 에서 일 프로세싱 스테이션으로부터 또 다른 프로세싱 스테이션으로 (예를 들어, 90, 180, 또는 270 ° 이격되어 위치된 스테이션들) 웨이퍼들을 이송하는 동안, 엔드 이펙터 상의 회전 디바이스는 0 내지 180 °로 시계 방향 또는 반시계 방향으로 웨이퍼를 효과적으로 회전시킬 수도 있다. 웨이퍼 회전 메커니즘 및/또는 디바이스는 웨이퍼 이송이 수행되는, 스핀들 엔드 이펙터 상에 동심으로 위치된다.
도 4a에 도시된 바와 같이, 게이트 밸브 (180) 는 AWC (active wafer 중심ing) 센서들 (410) 을 포함할 수도 있다. AWC 센서들 (410) 은 이하에 도 4e, 도 6a 및 도 6b, 및 도 7에 더 기술될 바와 같이, 수송중인 웨이퍼 위치 측정 및 보정을 수행하도록 구성된다. 예를 들어, AWC 센서들 (410) 은 빔 센서들을 통해 수직으로 장착될 수도 있다. AWC 센서들 (410) 은 각각의 빔들이 도 4a의 페이지에 수직인, Z-축을 따라 연장하도록 장착될 수도 있다. 이와 같이, AWC 센서들 (410) 은 각각의 빔들이 파괴될 (broken) 때, 예컨대 불투명한 객체 (예를 들어, 웨이퍼 또는 엔드-이펙터의 부분) 가 이들의 빔을 차단할 때를 검출한다. 일반적으로, 웨이퍼는 웨이퍼가 수송중일 때 2 회 이상 AWC 센서들 (410) 을 트리거링할 수도 있다 (예를 들어, 웨이퍼는 AWC 센서들 (410) 을 통해 일 방향으로 또는 데이터 지점들의 수를 증가시키기 위해 앞 뒤로 통과할 수도 있다). 웨이퍼 상의 4 개의 지점들까지 기준 좌표계 (미도시) 내 웨이퍼의 위치 (position/location) (예를 들어, 웨이퍼의 중심) 를 측정하도록 트리거링하고 사용될 수도 있다. 이 위치는 정렬 보정을 위해, 그리고 프로세스 모듈 (110) 내 회전 디바이스의 회전 축 조건 오프셋을 결정하도록 사용될 수도 있다. 예를 들어, AWC 센서들 (410) 은 데이터의 캘리브레이션 세트에 대해 웨이퍼 위치를 측정하도록 사용되는 측정 디바이스의 일부일 수도 있다. 데이터의 캘리브레이션 세트는 회전 축의 최초 캘리브레이팅된 위치 (예를 들어, 냉각 설정 동안) 와 정렬되는 캘리브레이팅된 기준 측정값을 생성한다. 툴 설정 동안, 웨이퍼는 센터링 기법들 (예를 들어, 피처 정렬) 을 사용하여 페데스탈 (140) 상으로 센터링된다. 캘리브레이션 웨이퍼 (405) 는 로봇 (132) 에 의해 픽업되고, 그리고 캘리브레이션 웨이퍼 (405) 는 AWC 센서들 (410) 에 대응하는 센서 빔들이 파괴될 때 기준 좌표계 (예를 들어, 측정 디바이스는 기준 좌표계 내에 고정됨) 내 캘리브레이션 웨이퍼의 로봇 위치를 기록하는 동안, 최대 속도로 프로세스 모듈 (110) 내외로 이동된다. 이 측정 데이터는 기준 좌표계 내 웨이퍼 위치를 결정하도록 사용된다. 로봇들을 캘리브레이팅하기 위한 AWC 센서들의 사용 예들은 공동으로 소유된 미국 특허 제 6,934,606 호에 개시된다.
도 4b는 본 개시의 일 실시예에 따른, 프로세스 조건 하인 프로세스 모듈 내 디바이스의 회전 축의 오프셋을 결정할 목적들을 위해 인출 캘리브레이션 웨이퍼 (405) 의 배향을 도시하는, 도 4a에서 도입된 멀티-스테이션 프로세스 모듈 (110) 로부터 인출 캘리브레이션 웨이퍼 (405) 를 예시한다. 특히, 로봇 (132) 은 프로세스 모듈 (110) 로부터 이송 모듈 (190) 로 게이트 밸브 (180) 를 통해 캘리브레이션 웨이퍼를 전달한다. 캘리브레이션 웨이퍼 (405) 의 배향은 인출 배향에 있는, 노치 (406) 에 의해 나타내지고, 노치 (406) 는 각도로 회전되고 노치 (406) 가 먼저 게이트 밸브를 들어가거나 인출 캘리브레이션 웨이퍼 (405) 에 대해 AWC 센서들 (410) 을 통해 먼저 통과하도록 스테이션 (140) 으로부터 포인팅된다. 즉, 인입 캘리브레이션 웨이퍼 (405) 와 인출 캘리브레이션 웨이퍼 (405) 의 두 배향들 사이에서, 웨이퍼는 대략 180 °만큼 회전된다. 실시예들에서, 캘리브레이션 웨이퍼 (405) 의 회전은 프로세스 조건 하에서 프로세스 모듈의 회전 액세스의 오프셋을 결정하기 위해 0 °보다 크고 180 ° 이하인 범위 사이의 각도일 수도 있다. 실시예들에서, 웨이퍼가 회전하는 각도는 대략 5, 10, 15, 20, 25, 30, 35, 40, 45, 50, 55, 60, 65, 70, 75, 80, 85, 90, 95, 100, 105, 110, 115, 120, 125, 130, 135, 140, 145, 150, 155, 160, 165, 170, 175, 및 180 ° 중 하나일 수 있다. 실시예들에서, 캘리브레이션 웨이퍼 (405) 의 회전은 효과적인 범위 내에서 규정된 효과적인 각도일 수도 있고, 일 범위는 0 보다 크고 15 °까지 포함하는 것으로 규정되고, 또 다른 범위는 5 내지 20 °로 규정되고, 또 다른 범위는 10 내지 25 °로 규정되고, 또 다른 범위는 15 내지 30 °로 규정되고, 또 다른 범위는 20 내지 35 °로 규정되고, 또 다른 범위는 25 내지 40 °로 규정되고, 또 다른 범위는 30 내지 45 °로 규정되고, 또 다른 범위는 35 내지 50 °로 규정되고, 또 다른 범위는 40 내지 55 °로 규정되고, 또 다른 범위는 45 내지 60 °로 규정되고, 또 다른 범위는 50 내지 65 °로 규정되고, 또 다른 범위는 55 내지 70 °로 규정되고, 또 다른 범위는 60 내지 75 °로 규정되고, 또 다른 범위는 65 내지 80 °로 규정되고, 또 다른 범위는 70 내지 85 °로 규정되고, 또 다른 범위는 75 내지 90 °로 규정되고, 또 다른 범위는 80 내지 95 °로 규정되고, 또 다른 범위는 85 내지 100 °로 규정되고, 또 다른 범위는 90 내지 105 °로 규정되고, 또 다른 범위는 95 내지 110 °로 규정되고, 또 다른 범위는 100 115 °로 규정되고, 또 다른 범위는 105 내지 120 °로 규정되고, 또 다른 범위는 110 내지 125 °로 규정되고, 또 다른 범위는 115 내지 130 °로 규정되고, 또 다른 범위는 120 내지 135 °로 규정되고, 또 다른 범위는 125 내지 140 °로 규정되고, 또 다른 범위는 130 내지 145 °로 규정되고, 또 다른 범위는 135 내지 150 °로 규정되고, 또 다른 범위는 140 내지 155 °로 규정되고, 또 다른 범위는 145 내지 160 °로 규정되고, 또 다른 범위는 150 내지 165 °로 규정되고, 또 다른 범위는 155 내지 170 °로 규정되고, 또 다른 범위는 160 내지 175 °로 규정되고, 또 다른 범위는 165 내지 180 °로 규정되고, 또 다른 범위는 170 내지 185 °로 규정되고, 또 다른 범위는 175 내지 190 °로 규정된다.
도 4c는 본 개시의 일 실시예에 따른, 도 4a 및 도 4b에 이전에 소개된 바와 같이, 인입 캘리브레이션 웨이퍼 (405) 및 인출 캘리브레이션 웨이퍼 (405) 의 측정들을 사용하여 프로세스 조건 (예를 들어, 고온, 진공, 등) 하인 프로세스 모듈 (110) 내 회전 디바이스의 회전 축 (예를 들어, 리프트 패드) 의 오프셋을 결정하는 프로세스를 예시한다. 본 개시의 실시예들은 프로세스 모듈 (110) 이 프로세스 조건 하에 있을 때, 스테이션으로 로봇 (예를 들어, TM 로봇 (132)) 을 학습시키도록 (teach) (예를 들어, 페데스탈 (140) 의 중심으로 이동하도록) 사용된다. TM 로봇 (132) 의 모션 좌표계는 로봇 (132) 의 암 각각에 대해, 반경 (radial) (R), 세타 (theta) (T), 및 수직 (Z) 일 수 있다. TM 로봇 (132) 의 또 다른 모션 좌표계는 X-축, Y-축, 및 Z-축을 포함할 수 있다. 또 다른 좌표계가 지지된다. 이전에, 대부분 PECVD 또는 ALD 반도체 프로세싱 적용예들의 과제는, 고온 (예를 들어, 650 ℃) 하이고 진공 하일 수도 때문에, 프로세스 모듈 (110) 의 내부에 임의의 센서들을 두는 것이다. 즉, 센서들은 프로세스 조건들이 프로세스 모듈 (110) 에 부과될 때 동작불가능하다 (inoperable). 이와 같이, 본 개시의 실시예들 이전에, 프로세스 모듈에 프로세스 조건을 부과함으로써 유발된 프로세스 모듈의 임의의 지점에 대한 오프셋이 결정될 수 없다.
본 개시의 실시예들은 (인입 캘리브레이션 웨이퍼 (405) 및 인출 캘리브레이션 웨이퍼 (405) 의 배향들 사이) 각도로 캘리브레이션 웨이퍼 (405) 를 회전시키고 프로세스 모듈 (110) 내에 위치된 회전 디바이스의 회전 축의 오프셋을 결정하기 위해, 프로세스 모듈 (110) 의 외부에 위치된 측정 디바이스 (예를 들어, AWC 센서들 (410)) 을 사용하여 인입 캘리브레이션 웨이퍼 및 인출 캘리브레이션 웨이퍼 (405) 의 측정값을 취하기 위해 프로세스 모듈 (110) 내 회전 디바이스의 장점을 취한다. 구체적으로, (측정될 때) 인출 캘리브레이션 웨이퍼로 (측정될 때) 인입 캘리브레이션 웨이퍼 (405) 의 이동은 도 6a 및 도 6b에 더 기술될 바와 같이, 프로세스 모듈 (110) 에 프로세스 조건을 부과함으로써 유발된 회전 디바이스의 회전 축의 오프셋을 나타낸다.
일반적으로, AWC 좌표 프레임에 대한 인입 캘리브레이션 웨이퍼 (405) 오프셋은 AWC 센서들 (410) 을 사용하여 (예를 들어, 측정값 #1) 측정될 수 있다 (예를 들어, 측정된 오프셋 (420)). 예를 들어, 오프셋은 AWC 좌표 프레임의 중심과 같이, AWC 좌표 프레임에 의해 규정될 때, 완벽하게 정렬된 웨이퍼 측정값으로부터 측정된다. AWC 센서들 (410) 은 웨이퍼의 회전 후, 인출 캘리브레이션 웨이퍼 (405) 상에서 AWC 센서들 (410) 을 사용하여 다시 웨이퍼 오프셋 (예를 들어, 측정된 오프셋 (425)) 을 측정할 수 있다. 즉, 시스템의 특정한 지점 (예를 들어, 웨이퍼가 AWC 센서들 (410) 의 게이트 밸브 (180) 를 통과할 때) 에서 인입 캘리브레이션 웨이퍼 (405) 및 인출 캘리브레이션 웨이퍼 (405) 의 위치들은 툴 설정 동안 확립된 기준 좌표 프레임 (예를 들어, AWC 좌표 프레임) 에 대해 측정되고, 기준 좌표 프레임은 웨이퍼들이 배치되는, 페데스탈의 중심 (예를 들어, 회전 축) 의 최초 캘리브레이팅된 위치 (예를 들어, 학습 위치) 와 완벽하게 정렬된 인입 웨이퍼 및 인출 웨이퍼에 대응한다. (예를 들어, 기준 좌표 프레임의 오프셋들의 종료 지점들) 측정값들 간 차는 단지 "오프셋 웨이퍼 회전" 또는 회전 디바이스의 회전 축의 오프셋의 결과여야 한다. 이 차는 기준 좌표계 내 2 개의 측정된 위치들 사이의 벡터로 나타낼 수도 있다. 회전 디바이스가 이들의 중심 축 (예를 들어, 회전 축) (예를 들어, 스핀들 엔드-이펙터 또는 페데스탈 (140) 의 리프트 패드의 중심 축) 에 대해 무시할만한 방사상 만료 (runout) 를 갖는다고 가정하면, AWC 측정값들의 차들은 도 6a 및 도 6b에 더 기술될 바와 같이, 페데스탈에 대해 웨이퍼의 오프셋의 2 배가 되어야 한다. 이는 프로세스 모듈이 프로세스 조건 하인 동안 페데스탈 (140) 에 센터링된 웨이퍼들을 핸드오프하도록 요구된 학습 위치 변화를 규정한다.
도 4d는 본 개시의 일 실시예에 따른, 오프셋 보정 벡터 및/또는 조건 보정 벡터를 계산하는 일 예를 예시한다. 특히, x 좌표 및 y 좌표의 오프셋 보정 벡터는 적어도 인바운드 AWC 값, 및 아웃바운드 AWC 값의 측정값들에 기초한다.
도 4e는 본 개시의 일 실시예에 따른, 프로세스 조건 하인 프로세스 모듈의 페데스탈 온도 유도된의 오프셋을 결정하기 위한 방법을 예시하는 흐름도 (400E) 이다. 온도 유도된 오프셋을 결정하기 위해, 프로세스 모듈은 웨이퍼들을 프로세싱하기 위해 사용된 동일한 프로세스 조건 하에 놓인다. 예를 들어, 프로세스 모듈은 웨이퍼들을 프로세싱할 때 사용된 온도 조건들 하에 놓인다. 선택된 적절한 온도는 사용되는 프로세스에 종속된다. 본 개시의 방법은 플라즈마 프로세싱 시스템 (100) 의 특정한 컴포넌트들을 참조하여 논의되고, 흐름도 (400E) 는 상기 참조된 웨이퍼 프로세싱 시스템 (100) 내에서 구현될 수도 있다.
450에서, 방법은 로봇에 의해 단일 또는 멀티-스테이션 프로세스 모듈의 페데스탈로 웨이퍼를 전달하는 단계, 및 진입 오프셋을 검출하는 단계를 포함한다. 웨이퍼는 캘리브레이션 절차들 동안 사용된 캘리브레이션 웨이퍼일 수도 있다. 로봇은 로봇 (132) 과 같은, 진공 이송 모듈 내 로봇일 수도 있다. 페데스탈은 페데스탈 자체 또는 페데스탈 어셈블리의 컴포넌트가 회전할 수 있도록, 회전 디바이스로서 구성될 수도 있다. 진입 오프셋은 프로세스 모듈 내 페데스탈의 최초 캘리브레이팅된 위치에 기초하여 기준 좌표계 내에 규정된 캘리브레이팅된 기준 측정값에 대해 또는 이로부터 측정된다. 특히, 캘리브레이팅된 기준 측정값은 프로세스 모듈로 들어가고, 페데스탈의 중심에 배치되도록 완벽하게 정렬되는, 완벽하게 정렬된 웨이퍼를 규정한다. 캘리브레이팅된 기준 측정값은 도 5a에 관해 더 기술될 바와 같이, 프로세스 모듈이 프로세스 조건 하에 있지 않을 때, 결정될 수도 있다.
455에서, 방법은 페데스탈 위의 웨이퍼를 각도로 회전시키는 단계를 포함한다. 특히, 이전에 도입된 페데스탈 어셈블리는 페데스탈 및 리프트 패드를 포함할 수도 있고, 리프트 패드는 페데스탈에 대한 회전을 위해 구성된다. 예를 들어, 웨이퍼는 페데스탈 어셈블리 상에 놓일 수도 있다. 리프트 패드는 페데스탈로부터 분리되고, 회전 축 (예를 들어, 페데스탈의 중심을 규정하는 축) 을 따라 또는 회전 축을 중심으로 회전되고, 리프트 패드는 각도를 규정하는 적어도 제 1 각도 배향 및 제 2 각도 배향 사이에서 페데스탈에 대해 회전된다.
460에서, 방법은 로봇에 의해 페데스탈로부터 웨이퍼를 제거하는 단계 및 진출 오프셋을 측정하는 단계를 포함한다. 진출 오프셋은 기준 좌표계 내로 규정되는 캘리브레이팅된 기준 측정값으로부터 또는 이에 대해 측정된다.
465에서, 방법은 진입 오프셋 및 진출 오프셋을 사용하여 온도 유도된 오프셋의 크기 및 방향 (예를 들어, 벡터 컴포넌트들) 을 결정하는 단계를 포함한다. 이전에 기술된 바와 같이, 측정값들 간 차 (예를 들어, 기준 좌표 프레임의 오프셋들의 종료 지점들) 는 단지 "오프셋 웨이퍼 회전" 또는 회전 디바이스의 회전 축의 오프셋의 결과여야 한다. 이 차는 기준 좌표계 내 2 개의 측정된 위치들 사이의 벡터에 의해 나타낼 수도 있다. 특히, 온도 유도된 오프셋은 프로세스 모듈이 프로세스 온도 하에 있을 때 최초 캘리브레이팅된 위치 (예를 들어, 냉각 학습 위치) 로부터 페데스탈의 중심의 이동 또는 오프셋에 대응한다. 차 벡터 (difference vector) 로부터, 차 벡터의 크기를 이등분하는 것은 페데스탈의 최초 캘리브레이팅된 위치로부터 페데스탈의 중심의 온도 유도된 오프셋을 결정할 것이다. 구체적으로, 벡터의 중간-지점은 페데스탈의 최초 캘리브레이팅된 위치와 정렬되는 (또는 변환되는) 캘리브레이팅된 기준 측정값에 대해 온도 유도된 오프셋의 종료 지점을 규정한다. 페데스탈의 중심의 온도 보정은 온도 유도된 오프셋에 기초하여 결정될 수도 있다.
플라즈마 프로세싱 시스템 (100) 및 플라즈마 프로세스 모듈들 (110) 의 다양한 모듈들의 상세한 기술과 함께, 도 5a 내지 도 5c의 흐름도 (500A) 내지 흐름도 (500C) 는 캘리브레이팅된 기준 측정값들, 프로세스 모듈의 조건 보정, 및 프로세스 중인 인입 웨이퍼의 정렬 보정을 결정하기 위한 방법들을 개시한다. 본 개시의 방법 (500A) 및 다른 방법들 (예를 들어, 방법 (400E), 방법 (500B) 및 방법 (500C)) 은 플라즈마 프로세싱 시스템 (100) 의 특정한 컴포넌트들을 참조하여 논의되고, 흐름도 (500A) 내지 흐름도 (500C) 는 상기 참조된 웨이퍼 프로세싱 시스템 (100) 내에서 구현된다. 예를 들어, 시스템 (100) 의 다양한 센서들 및 컴포넌트들은 TM 로봇 (132) 의 캘리브레이션, 및 프로세스 모듈 (110) 내 회전 디바이스의 회전 축의 오프셋의 결정을 용이하게 하도록 채용된다.
특히, 흐름도 (500A) 는 본 개시의 일 실시예에 따른, 측정 디바이스에 의해 측정될 때 이송 모듈 (TM) 로봇에 의해 홀딩된 캘리브레이션 웨이퍼의 캘리브레이팅된 기준 측정값 (예를 들어, 초기화된 위치) 을 결정하기 위한 방법을 개시하고, 캘리브레이팅된 기준 측정값의 위치는 프로세스 모듈 내 회전 디바이스의 회전 축의 최초 캘리브레이팅된 위치와 정렬된다. 흐름도 (500A) 는 예를 들어, 진공 이송 모듈 (190) 의 TM 로봇 (132) 의 캘리브레이션과 조합하여 구현될 수도 있고, 진공 이송 모듈 (190) 의 TM 로봇 (132) 의 캘리브레이션시 수행된 다양한 프로세스들을 포함할 수도 있다. 특히, 흐름도 (500A) 는 인입 프로세스 웨이퍼들을 정렬하기 위해, 그리고 또한 프로세스 모듈 (110) 내 회전 디바이스의 회전 축의 오프셋을 결정하기 위해 통상적으로 사용된 기준 좌표계를 확립하도록 수행될 수도 있다.
흐름도가 회전 축의 오프셋을 결정하기 위해 TM 로봇 (132) 및 AWC 측정 디바이스 (예를 들어, AWC 센서들 (410)) 에 관련되지만, 다른 실시예들이 도 1의 플라즈마 프로세싱 시스템 (100) 내 다른 로봇들 및 다른 측정 시스템들을 사용하는 것에 잘 맞는다. 예를 들어, 프로세스 모듈 (110) 의 외부에 위치된 다른 로봇들에 커플링된 얼라이너들 (aligners) 은 웨이퍼들의 측정값들을 결정하기 위해 사용될 수도 있다. 즉, 웨이퍼의 위치들의 측정값들은 로봇들 및/또는 시스템 (100) 의 컴포넌트들이 처음으로 설정되고 서로 캘리브레이팅되는 한, 플라즈마 프로세싱 시스템 (100) 내 임의의 지점에서 취해질 수도 있다. 이 방식으로, 프로세싱 시스템 (100) 을 통해 전달되고 결국 페데스탈 중심 지점에 위치되는 웨이퍼의 경로가 공지되고 캘리브레이팅된다. 이와 같이, 페데스탈 중심 지점은 이 경로를 따라 임의의 지점으로 변환되고 기준 좌표계를 생성하도록 사용될 수 있다.
501에서, 방법은 TM 로봇 (132) 을 페데스탈 (140) 의 최초 캘리브레이팅된 위치로 학습하는 단계를 포함한다. TM 로봇 (132) 의 이 학습은 TM 로봇 (132) 의 설정 동안 수행될 수도 있다. 특히, TM 로봇 (132) 은 프로세스 모듈 (110) 의 페데스탈 (140) 의 중심, 로봇 (132) 을 학습시킴으로써 조정되고, 완벽하게 정렬된 웨이퍼가 페데스탈 (140) 의 중심에 배치된다 (예를 들어, 웨이퍼의 중심이 페데스탈의 중심과 정렬됨). 일 실시예에서, 페데스탈 (140) 의 중심은 페데스탈 (140) 및 리프트 패드 모두의 중심 축에 대응한다. 도 6a는 또한 리프트 패드의 회전 축에 대응하는, 페데스탈 (140) 의 최초 캘리브레이팅된 위치 (601) 를 도시한다. 최초 캘리브레이팅된 위치 (601) 는 또한, 이하에 기술될 바와 같이, 플라즈마 프로세싱 시스템 (100) 내내, 예컨대 기준 좌표계 (660') 와 함께 병진될 수도 있는 초기화된 좌표계 (660) 에 대응 (예를 들어, 센터링) 할 수도 있다.
이와 같이, 중심 축은 또한 페데스탈 (140) 및/또는 프로세스 모듈 (110) 에 대하여 웨이퍼를 회전시키기 위해 구성되는, 리프트 패드의 회전 축에 대응한다. 학습은 조건이 프로세스 모듈 (110) 에 부과되지 않거나 적용되지 않을 때 통상적으로 수행된다. 예를 들어, 이는 현장 기술자로 하여금 TM 로봇 (132) 및 플라즈마 프로세싱 시스템 (100) 의 다른 컴포넌트들에 대해서와 같이, 설정 절차들을 수행하게 한다. 일 예시적인 설정 프로세스에서, 현장 기술자는 TM 로봇 (132) 을 캘리브레이팅하도록 페데스탈 (140) 의 중심에서 TM 로봇 (132) 의 엔드-이펙터를 수동으로 배치할 수 있다.
이전에 기술된 바와 같이, 일단 페데스탈 (140) 의 중심 축이 결정되고, 로봇이 캘리브레이팅되면, 기준 좌표계 (601') 는 웨이퍼가 TM 로봇 (132) 의 캘리브레이팅된 중심에 배치되거나 TM 로봇 (132) 의 캘리브레이팅된 중심으로부터 제거되는 캘리브레이팅된 경로를 따라 임의의 지점에서 확립될 수 있다. 즉, 기준 좌표계 (601') 는 페데스탈의 중심의 최초 캘리브레이팅된 위치에 기초한다.
캘리브레이팅된 경로의 결정은 예를 들어 TM 로봇 (132) 과 관련하여 이하에 더 기술된다. 503에서, 방법은 프로세스 모듈 (110) 내 회전 디바이스 (예를 들어, 리프트 패드, 스핀들의 엔드-이펙터, 등) 내 또는 상에 캘리브레이션 웨이퍼를 배치하고 회전 축에 센터링하는 단계를 포함한다. 일 구현예에서, 캘리브레이션 웨이퍼 (405) 는 페데스탈 (140) 의 중심에 배치될 (예를 들어, 손으로 놓여질) 수도 있다. 예를 들어, 캘리브레이션 웨이퍼 (405) 는 (예를 들어, 프로세스 모듈 (110) 및/또는 페데스탈 (140) 의 피처들과 정렬하는) 센터링 기법들을 사용하여 배치될 수도 있다. 이와 같이, 캘리브레이션 웨이퍼 (405) 는 회전 디바이스 (예를 들어, 리프트 패드) 의 회전 축과 완벽하게 정렬되는 것으로 가정된다.
505에서, 방법은 TM 로봇 (132) 을 사용하여 프로세스 모듈 (110) 로부터 캘리브레이션 웨이퍼 (405) 를 제거하는 단계를 포함한다. 웨이퍼는 페데스탈의 중심의 최초 캘리브레이팅된 위치와 완벽하게 정렬되는 것으로 가정되고, 로봇은 완벽하게 정렬된 웨이퍼 및/또는 완벽히 정렬된 웨이퍼 페데스탈 (140) 의 중심에 배치할 때 동일한 경로를 따르는 것으로 가정되기 때문에, 제거는 캘리브레이팅된 경로를 따른다. 예를 들어, 도 6a는 회전 디바이스 (예를 들어, 리프트 패드) 의 회전 축의 최초 캘리브레이팅된 위치 (601) 에 센터링될 때 캘리브레이션 웨이퍼 (405) 의 상태 (409B) 를 도시한다. 완벽하게 정렬된 캘리브레이션 웨이퍼 (405) 는 캘리브레이팅된 경로를 따라 프로세스 모듈 (110) 로부터 상태 (409A) 로 제거된다. 이 제거는 최초 캘리브레이팅된 위치 (601) 에 완벽하게 정렬되는 인입 웨이퍼 및 인출 웨이퍼를 나타내는, 이중 화살표 (691) 로 도시된다.
507에서, 방법은 측정 디바이스를 사용하여 기준 좌표계 내 캘리브레이션 웨이퍼의 캘리브레이팅된 기준 측정값을 확립하는 단계를 포함한다. 예를 들어, 측정 디바이스는 AWC 센서들 (410) 을 포함하는 AWC 시스템일 수도 있다. 캘리브레이팅된 기준 측정값은 회전 디바이스 (예를 들어, 리프트 패드) 에 대응하는 회전 축의 최초 캘리브레이팅된 위치와 정렬된다. 예시의 목적들을 위해, 캘리브레이팅된 기준 측정값은 측정 디바이스 내 특정한 위치에서 취해질 수도 있다. 예를 들어, 캘리브레이팅된 기준 측정값은 회전 축의 최초 캘리브레이팅된 위치와 정렬되는 캘리브레이션 웨이퍼가 인입 경로를 따라 AWC 센서들 (410) 과 먼저 인게이지될 때 취해질 수도 있다. 캘리브레이션 웨이퍼는 데이터의 캘리브레이션 세트를 수집하기 위해 측정 디바이스 (예를 들어, AWC 센서들 (410)) 를 통해 게이트 밸브 (180) 와 이송 모듈 (190) 사이에서 앞 뒤로 이동될 수도 있다. 데이터의 캘리브레이션 세트에 기초하여 캘리브레이팅된 기준 측정값은 캘리브레이션 웨이퍼 (405) 의 중심일 수도 있고 또는 캘리브레이션 웨이퍼 (405) 의 중심에 대응할 수도 있다. 예를 들어, 도 6a에서, 캘리브레이팅된 기준 측정값 (601') 은 (예를 들어, 인입 경로를 따라 AWC 센서들 (410) 과 먼저 인게이지하는) 측정 디바이스 내 이전에 도입된 특정한 위치에 있는 상태 (409A) 의 캘리브레이션 웨이퍼 (405) 의 중심 (630A) 에 대응할 수도 있다. 또한, 기준 좌표계 (660') 는 예시의 목적들을 위해 캘리브레이팅된 기준 측정값 (601') 에 대응할 (예를 들어, 센터링될) 수도 있지만, 기준 좌표계 (660') 는 회전 축의 최초 캘리브레이팅된 위치 (601) 및 이의 초기화된 좌표계 (660) 와 관련하여 고정되는 한, 임의의 위치에 센터링될 수도 있다.
도 5b는 본 개시의 일 실시예에 따른, 캘리브레이션 웨이퍼 (405) 의 캘리브레이팅된 기준 측정값 (601') 을 사용하여 프로세스 조건 하인 프로세스 모듈 (110) 내에 위치된 회전 디바이스 (예를 들어, 페데스탈 (140) 의 리프트 패드) 의 회전 축의 오프셋을 결정하기 위한 방법을 예시하는 흐름도 (500B) 이다. 도 5b는 프로세스 모듈 내 회전 디바이스 (예를 들어, 리프트 패드) 의 회전 축의 최초 캘리브레이팅된 위치 (601) 와 정렬되는 캘리브레이션 웨이퍼 (405) 의 캘리브레이팅된 기준 측정값 (601') 을 예시하는 도 6a와 함께 기술될 수도 있다. 이에 더하여, 도 6a는 본 개시의 일 실시예에 따른, 캘리브레이션 웨이퍼가 회전할 때, 프로세스 모듈 (110) 내 회전 디바이스의 회전 축의 오프셋이 캘리브레이션 웨이퍼 (405) 에 대해 갖는 효과를 도시한다. 이 효과를 측정함으로써, 회전 축의 오프셋이 프로세스 모듈 (110) 내에 배치된 센서들을 사용하지 않고 결정될 수 있다.
510에서, 방법은 프로세스 모듈 내 회전 디바이스의 회전 축의 최초 캘리브레이팅된 위치 (601) 에 기초하여 기준 좌표계 (660') 를 확립하는 단계를 포함한다. 기준 좌표계 (660') 는 흐름도 (500A) 에서 확립되고 도 6a에 예시된다.
이에 더하여, 515에서 방법은 프로세스 모듈 (110) 에 조건을 적용하는 단계를 포함한다. 조건은 웨이퍼들 (101) 에 대해 ALD 프로세스 및/또는 PECVD 프로세스를 수행하는 목적들을 위해 프로세스 모듈 (110) 에 부과된 프로세스 조건을 따를 (conform) 수도 있다. 예를 들어, 프로세스 조건은 프로세스 모듈 (110) 의 상승된 온도를 포함할 수도 있다. 예를 들어, 다양한 프로세스들이 200 내지 650 ℃의 온도들에서 수행될 수도 있다. 보다 높은 온도 및 보다 낮은 온도가 또한 고려된다. 이에 더하여, 프로세스 조건은 진공 압력, 등과 같은 다른 엘리먼트들을 포함할 수도 있다. 예를 들어, 프로세스 모듈 (110) 은 웨이퍼 프로세싱 동안 진공 및 상승된 온도들 하에 놓일 수도 있다. 프로세스 조건은 프로세스 모듈 (110) 내 하나 이상의 지점들에 대한 영향을 가질 수도 있다. 예를 들어, 프로세스 조건은 회전 디바이스 (예를 들어, 리프트 패드) 의 회전 축의 최초 캘리브레이팅된 위치 (601) 를 오프셋 (625) 만큼 이동시킬 수도 있다. 단독으로 또는 조합하여 취해진, 프로세스 조건의 이 엘리먼트들은 최초 캘리브레이팅된 위치 (601) 에 영향을 가질 수도 있다. 예를 들어, 프로세스 모듈 (110) 의 온도의 상승은 페데스탈의 중심을 이동시킬 수도 있어서, 최초 캘리브레이팅된 위치 (601) 를 이동시킨다. 이에 더하여, 프로세스 모듈 (110) 을 진공 압력 하에 배치하는 것은 또한 최초 캘리브레이팅된 위치 (601) 를 이동시킬 수도 있다. 최초 캘리브레이팅된 위치 (601) 의 이 오프셋은 대략 ㎜ 이상일 수도 있고, 반도체 프로세싱에 악영향을 줄 수 있다.
520에서, 방법은 캘리브레이션 웨이퍼 (405) 를 프로세스 모듈 (110) 로 이송하도록 구성된 이송 모듈 (TM) 로봇 (132) 을 사용하여 인바운드 로드 록으로부터 캘리브레이션 웨이퍼를 픽업하는 단계를 포함한다. 캘리브레이션 웨이퍼는 TM 로봇 (132) 및/또는 최초 캘리브레이팅된 위치 (601) 내에 완벽히 정렬될 필요는 없다. 즉, 본 개시의 실시예들은 정상적으로 로봇 (132) 에 의해 픽업되고 캘리브레이팅된 기준 측정값 (601') 으로부터 오정렬될 수도 있는 캘리브레이션 웨이퍼 (405) 를 사용하고, (오정렬에 대한 보정 없이) 인입 경로를 따라 캘리브레이션 웨이퍼 (405) 의 위치를 측정하고, 프로세스 모듈 내에서 캘리브레이션 웨이퍼 (405) 를 회전시키고, 인출 경로를 따라 캘리브레이션 웨이퍼 (405) 의 위치를 측정하여 회전 축의 오프셋을 결정할 수 있다.
보다 구체적으로, 525에서, 방법은 캘리브레이션 웨이퍼를 프로세스 모듈로 이송할 때 측정 디바이스를 사용하여 기준 좌표계 내에서 캘리브레이션 웨이퍼 (405) 의 제 1 측정값을 결정하는 단계를 포함한다. 측정 디바이스는 기준 좌표계 (660') 내에 고정된다. 예를 들어, 제 1 측정은 캘리브레이션 웨이퍼가 게이트 밸브 (180) 를 통해 프로세스 모듈 (110) 내로 인입될 때 AWC 센서들 (410) 에 의해 수행될 수도 있다. 제 1 측정은 기준 좌표계 (660') 에 대해 취해질 수도 있다 (예를 들어, 측정될 때 캘리브레이션 웨이퍼 (405) 의 중심을 규정한다). 제 1 측정값이 캘리브레이션 웨이퍼 (405) 가 최초 캘리브레이팅된 위치 (601) 및/또는 캘리브레이팅된 기준 측정값 (601') 과 오정렬된다는 것을 나타낼 수도 있지만, 회전 디바이스의 회전 축의 오프셋을 결정할 때 오정렬에 대한 보정이 이루어지지 않더라도, 정상 웨이퍼 프로세싱에 대해, 오정렬에 대한 보정이 이루어진다.
530에서, 방법은 프로세스 모듈로 캘리브레이션 웨이퍼를 핸드오프하는 단계를 포함한다. 이는 최종 목적지-회전 디바이스-에 도달하기 전에 하나 이상의 로봇들 및/또는 프로세스 모듈 (110) 내 컴포넌트들로부터 캘리브레이션 웨이퍼를 핸드오프하는 단계를 포함할 수도 있다. 이에 더하여, 방법은 회전 디바이스와 캘리브레이션 웨이퍼 (405) 를 인터페이싱하는 단계를 포함할 수도 있다. 예를 들어, 인터페이싱은 리프트 패드 및 페데스탈 (140) 상에 캘리브레이션 웨이퍼 (405) 를 배치하는 것을 포함할 수도 있다. 또 다른 예에서, 인터페이싱은 멀티-스테이션 프로세스 모듈 (110) 에서 일 스테이션으로부터 또 다른 스테이션으로 웨이퍼들을 이송하도록 구성된 스핀들 또는 회전 디바이스 (220) 의 엔드 이펙터에 의해 캘리브레이션 웨이퍼 (405) 를 픽업하는 것을 포함할 수도 있고, 엔드-이펙터는 웨이퍼를 회전시키기 위해 구성된다. 캘리브레이션을 회전 디바이스에 인터페이싱하는 또 다른 수단이 고려된다.
535에서, 방법은 회전 디바이스를 사용하여 각도로 캘리브레이션 웨이퍼 (405) 를 회전하는 단계를 포함한다. 예를 들어, 회전 디바이스는 페데스탈 (140) 및/또는 프로세스 모듈 (110) 에 대해 그 위에 배치된 웨이퍼를 회전시키기 위해 구성되는 리프트 패드일 수도 있다. 일 실시예에서, 발생되는 회전 각도는 실질적으로 캘리브레이션 웨이퍼 (405) 의 인입 배향 (회전 디바이스 상 또는 내에 배치될 때 인입 경로에 대응) 과 캘리브레이션 웨이퍼의 인출 배향 (회전 디바이스로부터 제거될 때 인출 경로에 대응) 사이에서 (예를 들어, 시계 방향 또는 반시계방향으로) 0 ° 보다 크고 180 ° 이하일 수도 있다.
예를 들어, 회전 디바이스가 리프트 패드일 때, 방법은 프로세스 웨이퍼 상에 막을 증착하기 위해 구성되는 회전 디바이스의 리프트 패드 상에 캘리브레이션 웨이퍼 (405) 를 배치하는 단계를 포함할 수도 있다. 회전 디바이스는 페데스탈 및 리프트 패드 어셈블리를 포함하고, 페데스탈은 페데스탈의 중심 축으로부터 연장하는 페데스탈 상단 표면을 갖는다. 중심 축은 또한 리프트 패드의 회전 축에 대응할 수도 있다. 리프트 패드는 페데스탈 상단 표면 상에 놓이고, 페데스탈 상단 표면과 인터페이싱하고, 그리고/또는 페데스탈 상단 표면으로부터 분리되도록 구성된다. 방법은 중심 축을 따라 페데스탈 상단 표면으로부터 리프트 패드를 분리하는 단계를 포함할 수도 있다. 방법은 적어도 각도를 규정하는 제 1 각도 배향과 제 2 각도 배향 사이에서 페데스탈 상단 표면에 대해 리프트 패드를 회전시키는 단계를 포함할 수도 있다.
또 다른 예에서, 회전 디바이스가 스핀들 또는 회전 디바이스 (220) 의 엔드-이펙터일 때, 방법은 스핀들 로봇 (예를 들어, 회전 디바이스 (220)) 의 엔드 이펙터 (미도시) 를 사용하여 멀티-스테이션 프로세스 모듈 (110) 의 제 1 스테이션으로부터 캘리브레이션 웨이퍼를 픽업하는 단계를 포함할 수도 있다. 스핀들 로봇은 프로세스 모듈 (110) 의 스테이션들 사이에서 웨이퍼들을 이송하기 위해 구성되고, 엔드 이펙터는 웨이퍼를 회전시키기 위해 구성된다. 이에 더하여, 방법은 회전 후 프로세스 모듈로부터 제거를 위해 제 1 스테이션 상에 캘리브레이션 웨이퍼를 배치하는 단계를 포함한다.
540에서, 방법은 TM 로봇 (132) 을 사용하여 프로세스 모듈로부터 캘리브레이션 웨이퍼 (405) 를 제거하는 단계를 포함한다. 이 방식으로, 캘리브레이션 웨이퍼 (405) 의 측정은 프로세스 모듈 (110) 외부에서 이루어질 수도 있다. 특히, 545에서, 방법은 캘리브레이션 웨이퍼를 아웃바운드 로드 록으로 이송할 때 측정 디바이스를 사용하여 기준 좌표계 (660') 내에서 캘리브레이션 웨이퍼 (405) 의 제 2 측정값을 결정하는 단계를 포함한다. 예를 들어, 제 2 측정은 캘리브레이션 웨이퍼가 프로세스 모듈 (110) 로부터 게이트 밸브 (180) 를 통해 인출될 때 AWC 센서들 (410) 에 의해 수행될 수도 있다. 제 2 측정은 (예를 들어, 측정될 때 캘리브레이션 웨이퍼 (405) 의 중심을 규정하는) 기준 좌표계 (660') 에 대해 취해질 수도 있다.
예를 들어, 도 6a는 회전 축의 오프셋 (625) 을 결정할 때 캘리브레이션 웨이퍼 (405) 의 경로를 도시한다. 오프셋 (625) 을 결정하기 위해 사용된 단계들의 도입 및 예시의 용이성의 목적들을 위해, 인입 캘리브레이션 웨이퍼 (405) 는 회전 축의 최초 캘리브레이팅된 위치 (601) (예를 들어, 설정될 때 페데스탈 (140) 의 중심) 와 완벽하게 정렬된다. 물론, 인입 캘리브레이션 웨이퍼 (405) 는 도 6b와 관련하여 예시되고 기술된 바와 같이, 인입 캘리브레이션 웨이퍼 (405) 의 정렬과 상관 없이 오프셋 (625) 이 여전히 측정 및 회전을 통해 결정될 수도 있도록 완벽하게 정렬될 필요는 없다. 도시된 바와 같이, 상태 (409A) 는 최초 캘리브레이팅된 위치 (601) 와 완벽하게 정렬되는 인입 경로를 따라 캘리브레이션 웨이퍼 (405) 를 도시한다. 제 1 측정값은 (완벽하게 정렬될 때 또한 캘리브레이팅된 기준 측정값 (601') 에 대응하는) 캘리브레이션 웨이퍼 (405) 의 측정된 중심 (630A) 에 대응 및/또는 변환된다. 캘리브레이션 웨이퍼 (405) 의 제 1 측정이 수행된 후, TM 로봇 (132) 은 화살표 (691) 로 나타낸 바와 같이 프로세스 모듈 내로 캘리브레이션 웨이퍼 (405) 를 이송한다. 캘리브레이션 웨이퍼 (405) 의 상태 (405B) 는 회전 디바이스 (예를 들어, 리프트 패드) 를 포함하는 스테이션 또는 페데스탈 (140) 로 캘리브레이션 웨이퍼 (405) 의 전달을 도시한다. 캘리브레이션 웨이퍼 (405) 가 완벽하게 정렬되기 때문에, 캘리브레이션 웨이퍼 (405) 의 중심은 설정 동안 또한 (냉각 온도 및 대기의) 회전 디바이스의 회전 축에 대응하는 최초 캘리브레이션 위치 (601) 에 배치된다. 프로세스 모듈 (110) 이 이제 프로세스 조건 하이기 때문에, 회전 축은 원래 위치로부터 이동되거나 오프셋된다. 도시된 바와 같이, 회전 축 (650) 은 최초 캘리브레이션 위치 (601) 로부터 오프셋된다. 예를 들어, 전체 페데스탈 및 이의 중심 축은 오프셋 (625) 만큼 기준 좌표계 (660') 및 기준 좌표계 (660') 에 고정되고 프로세스 모듈 (110) 외부인 측정 디바이스에 대해 이동된다. 이와 같이, 캘리브레이션 웨이퍼 (405) 는 페데스탈 상에 센터링되지 않는다. 캘리브레이션 웨이퍼 (405) 의 상태 (409C) 는 각도 (예를 들어, 180 °) 만큼 캘리브레이션 웨이퍼 (405) 의 회전을 도시한다. 회전 후, 캘리브레이션 웨이퍼 (405) 의 중심 (630B) 은 라인 (693) 을 따라 이동한다. 도 6a에 도시된 바와 같이, 회전-전, 노치 (406) 는 캘리브레이션 웨이퍼 (405) 의 상단에 있고, 그리고 회전-후, 노치는 캘리브레이션 웨이퍼 (405) 의 하단에 있다. 회전-전 캘리브레이션 웨이퍼 (405) 는 점선으로 도시되는 한편, 회전-후 캘리브레이션 웨이퍼 (405) 는 굵은 실선으로 도시된다. 상태 (409D) 는 프로세스 모듈 (110) 로부터 제거될 때 인출 경로를 따라 캘리브레이션 웨이퍼 (405) 를 도시한다. 회전 때문에, 인출 경로는 더 이상 최초 캘리브레이팅된 위치 (601) 와 완벽하게 정렬되지 않는다. 제 2 측정이 취해지고 캘리브레이션 웨이퍼 (405) 의 측정된 중심 (630D) 에 대응 및/또는 변환될 수도 있다.
550에서, 방법은 제 1 측정값 및 제 2 측정값에 기초하여 회전 축의 조건 보정을 결정하는 단계를 포함한다. 조건 보정은 프로세스 모듈이 프로세스 조건 하에 있을 때 최초 캘리브레이팅된 위치 (601) 로부터 회전 축 (650) 의 오프셋 (625) 에 대응한다. 즉, 오프셋 (625) 은 프로세스 조건에 의해 유발된다. 도 6a는 제 1 측정 및 제 2 측정 (예를 들어, 인입 캘리브레이션 웨이퍼 (405) 의 측정된 중심 (630A) 및 인출 캘리브레이션 웨이퍼 (405) 의 중심 (630D)) 을 통해 결정되는 벡터로서 오프셋 (625) 을 도시한다. 특히, 조건 보정은 제 1 측정값과 제 2 측정값 사이 차 벡터 (620A) 를 결정함으로써 수행될 수도 있다. 즉, 차 벡터는 인입 및 인출 캘리브레이션 웨이퍼 (405) 의 중심들 (630A 및 630D) 의 측정된 위치들과 교차한다. 이와 같이, 차 벡터는 인입 캘리브레이션 웨이퍼 (405) 의 정렬에 따라 가변할 것이다. 차 벡터 (620A) 는 또한 라인들 (621 및 623) 사이에서 변환될 때 차 벡터 (620A) 에 수직이고, 회전하는 라인 (693) 으로 도시된 바와 같이, 회전-전 상태 및 (예를 들어, 중심 (630B)) 및 회전-후 상태 (예를 들어, 중심 (630C)) 의 캘리브레이션 웨이퍼 (405) 의 각각의 중심과 교차한다는 것을 도시한다.
또한, 최초 캘리브레이팅된 위치 (601) 로부터 회전 축의 오프셋은 오프셋 벡터 (625) 의 종료 지점을 결정하기 위해 차 벡터 (620A) 의 크기를 이등분함으로써 결정된다. 특히, 오프셋 벡터 (625) 는 기준 좌표계 (660') 내에서 인입 및 인출 웨이퍼들 (405) 의 측정된 중심들 (예를 들어, 630A 및 630D) 사이에 차 벡터 (예를 들어, 620A) 를 배치함으로써 (예를 들어, 측정된 중심들에 의한 차) 결정될 수도 있다. 1/2 차 벡터 (예를 들어, 크기를 이등분) 는 오프셋 벡터 (625) 의 종료 지점을 나타내고, 오프셋 벡터 (625) 의 시작 지점은 캘리브레이팅된 기준 측정값 (601') 에 대응한다. 도 6a에서, 인입 캘리브레이션 웨이퍼가 완벽하게 정렬되기 때문에, 오프셋 벡터 (625) 는 차 벡터 (620A) 상에 포개진다 (lie on). 그러나, 인입 캘리브레이션 웨이퍼 (405) 가 오정렬되면, 오프셋 벡터 (625) 는 도 6b에 도시될 바와 같이, 대응하는 차 벡터에 포개지지 않을 것이다 (예를 들어, 동일한 방향을 가짐).
이전에 기술된 바와 같이, 오프셋 벡터 (625) 의 결정은 인입 캘리브레이션 웨이퍼 (405) 의 완벽한 정렬에 종속되지 않는다. 도 6b는 본 개시의 일 실시예에 따른, 회전 디바이스에 의해 각도로 인입 웨이퍼를 회전시킴으로써 프로세스 모듈 내 회전 디바이스의 회전 축의 오프셋의 결정을 예시하는 도면이고, 결정은 정렬과 무관하다. 도시된 바와 같이, 4 개의 상이한 구성 웨이퍼들 (405) 이 4 개의 상이한 인입 경로들 (예를 들어, 기준 좌표계 (660') 의 x-축과 수직인 수평 경로) 을 따라 도시된다. 기준 좌표계 (660') 의 x-축은 완벽한 정렬 경로를 따라 최초 캘리브레이팅된 위치 (601) 와 완벽하게 정렬되는 것으로 간주될 수도 있다. 특히, 구성 웨이퍼 (405A) (또한 도 6a에 도시된 바와 같이) 는 최초 캘리브레이팅된 위치 (601) 와 완벽히 정렬된다. 즉, 제 1 측정값에 의해 결정될 때 구성 웨이퍼 (405A) 의 중심 (630A) 은 기준 좌표계 (660') 의 캘리브레이팅된 기준 측정값 (601') 과 완벽하게 정렬된다. 그러나, 구성 웨이퍼 (405B) 는 제 1 측정값에 의해 결정될 때, 캘리브레이팅된 기준 측정값 (601') 으로부터 웨이퍼 (405B) 의 중심의 정렬 오프셋으로 나타난 바와 같이 오정렬된다. 또한, 구성 웨이퍼 (405C) 는 제 1 측정값에 의해 결정될 때, 캘리브레이팅된 기준 측정값 (601') 으로부터 웨이퍼 (405C) 의 중심의 정렬 오프셋으로 나타난 바와 같이 오정렬된다. 구성 웨이퍼 (405D) 는 또한 제 1 측정값에 의해 결정될 때, 캘리브레이팅된 기준 측정값 (601') 으로부터 웨이퍼 (405D) 의 중심의 정렬 오프셋으로 나타난 바와 같이 오정렬된다.
도 6b의 구성 웨이퍼들 (405A 내지 405D) 에 대한 제 1 측정값 및 제 2 측정값 쌍들 각각은 기준 좌표계 (660') 내 차 벡터들을 규정한다. 예를 들어, 구성 웨이퍼 (405A) 에 대해, 제 1 측정값 및 제 2 측정값은 도 6a에서 이전에 도입된, 차 벡터 (620A) 를 규정한다. 유사하게, 차 벡터 (620B) 는 구성 웨이퍼 (405B) 의 제 1 측정값 및 제 2 측정값에 대해 규정되고, 차 벡터 (620C) 는 구성 웨이퍼 (405C) 의 제 1 측정값 및 제 2 측정값에 대해 규정되고, 그리고 차 벡터 (620D) 는 구성 웨이퍼 (405D) 의 제 1 측정값 및 제 2 측정값에 대해 규정된다. 차 벡터들 (620A 내지 620D) 모두는 지점 650'에서 오프셋 벡터 (625) 의 종료 지점에 교차하고, 프로세스 조건들로 인해 오프셋되기 때문에, 회전 축 (650) 의 변환일 수도 있다. 즉, 대응하는 인입 캘리브레이션 웨이퍼의 각각의 제 1 측정값에서 시작하는 차 벡터 각각에 대해, 크기를 이등분하는 것은 또한 오프셋 벡터 (625) 의 종료 지점을 규정한다. 오프셋 벡터 (625) 의 시작 지점은 캘리브레이팅된 기준 측정값 (601') 에 의해 규정된다.
예시의 목적들을 위해, 최초 캘리브레이팅된 위치 (601) 와 정렬되는 캘리브레이팅된 경로를 따라 임의의 지점의 임의의 인입 웨이퍼는 오프셋 벡터 (625) 에 대응하는, 조건 보정에 의해 보정될 수도 있다. 예를 들어, 도 6a에서, 최초 캘리브레이팅된 위치 (601) 에 완벽하게 정렬되는 상태 (409A) 의 캘리브레이팅된 웨이퍼 (405) 는 조건 보정 (예를 들어, 오프셋 벡터 (625)) 을 적용한 후까지 프로세스 모듈 (110) 에 부과된 프로세스 조건으로 인해 이동된 회전 축 (650) 에 정렬되지 않는다. 이 방식으로, 인입 캘리브레이션 웨이퍼는 이제 프로세스 모듈 (110) 내 회전 축 (650) 과 정렬되는, 지점 (650') 과 정렬된다. 기준 캘리브레이팅된 측정값 (601') 및 대응하여 최초 캘리브레이팅된 위치 (601) 와 오정렬된 인입 웨이퍼들에 대해, 도 5c에 기술될 바와 같이, 웨이퍼를 회전 디바이스의 회전 축과 완전한 정렬이 되게 하도록 정렬 보정이 또한 적용된다.
조건 오프셋 및 이의 보정을 결정하기 위한 공식의 논의가 이어진다. 변수 입력들이 다음과 같이 기술된다:
X 1 Y 1 = AWC 측정된 오프셋 #1 (1)
X 2 Y 2 = AWC 측정된 오프셋 #2 (2)
중간 변수들이 다음과 같이 기술된다:
ΔX P , ΔY P = 페데스탈 오프셋 변화 (180 ° 회전으로) (3)
목표된 출력들이 다음과 같이 기술된다:
X P 1, Y P 1 = 페데스탈 #1에 대한 오프셋 (4)
X P 2, Y P 2 = 페데스탈 #2 에 대한 오프셋 (5)
X C , Y C = 로봇 자동-캘리브레이션 보정 벡터 (6)
좌표 회전 매트릭스, 180 ° (페데스탈 상에서 회전하는 오프셋 웨이퍼) 가 다음과 같이 기술된다:
X P 2, = X P 1 * cos(θ) - Y P 1 * sin(θ) (7)
Y P 2, = X P 1 * sin(θ) - Y P 1 * cos(θ) (8)
회전 각도 (θ) 가 180 °일 때, 값들은 다음과 같이 결정된다:
X P 2 = - X P 1 (9)
Y P 2 = - Y P 1 (10)
따라서, 다음과 같이 규정된다:
ΔX P = X P 2 - X P 1 = -X P 1 - X P 1 = - 2X P 1 (11)
ΔY P = Y P 2 - Y P 1 = -Y P 1 - Y P 1 = - 2Y P 1 (12)
AWC 측정값은 다음과 같이, 페데스탈 오프셋을 또한 반영한다:
ΔX P = X 2 - X 1 = 2X P 1 (13)
ΔY P = Y 2 - Y 1 = 2Y P 1 (14)
X P 1 = (1/2)(X 2 - X 1) (15)
Y P 1 = (1/2)(Y 2 - Y 1) (16)
목표된 로봇 자동-캘리브레이션 보정 벡터는 다음에 의해 규정된 바와 같이, 오프셋의 방향과 반대이다:
X C = -X P 1 = (1/2)(X 1 - X 2) (17)
Y C = -Y P 1 = (1/2)(Y 1 - Y 2) (18)
오프셋 보정 벡터 및/또는 조건 보정 벡터를 계산하기 위한 일 예가 도 4d에 제공된다.
도 5c는 본 개시의 일 실시예에 따른, 캘리브레이팅된 기준 측정값으로부터 인입 프로세스 웨이퍼의 정렬 오프셋을 결정하고, 정렬 오프셋에 기초한 정렬 보정 및 프로세스 모듈 내 회전 디바이스의 회전 축의 오프셋에 기초한 조건 보정을 인입 프로세스 웨이퍼에 적용하기 위한 방법을 예시하는 흐름도 (500C) 이다. 흐름도 (500C) 는 웨이퍼들의 프로세싱 동안, 도 5a와 관련하여 기술된 바와 같이 TM 로봇 (132) 의 캘리브레이션 및 도 5b와 관련하여 기술된 바와 같이 회전 디바이스의 회전 축의 오프셋의 결정 후 수행된다.
561에서, 방법은 웨이퍼들을 프로세싱할 목적들을 위해 프로세스 모듈에 대한 조건을 설정하는 단계를 포함한다. 이전에, 기준 좌표계는 (예를 들어, 도 5a 및 도 5b의 510와 관련하여 기술된 바와 같이) 프로세스 모듈 내 회전 디바이스의 회전 축의 최초 캘리브레이팅된 위치에 기초하여 확립되었다. 또한, 캘리브레이팅된 기준 측정값 (예를 들어, 캘리브레이션 웨이퍼 (405) 의 측정값 (601')) 이 또한 도 5a에서 이전에 도입된 바와 같이, 기준 좌표계 내에 고정되는 측정 디바이스를 사용하여 기준 좌표계 (660) 내에서 확립된다. 캘리브레이팅된 기준 측정값 (601') 은 이전에 기술된 바와 같이 최초 캘리브레이팅된 위치 (601) 와 정렬된다.
565에서, 방법은 TM 로봇을 사용하여 인바운드 로드 록 (170) 으로부터 프로세스 웨이퍼 (101) 를 픽업하는 단계를 포함한다. 일 실시예에서, 프로세스 웨이퍼는 캘리브레이션 웨이퍼 (405) 가 아니라 반도체 디바이스들 및/또는 반도체 디바이스들의 집적 회로들의 프로세싱을 겪도록 지정된 웨이퍼이다.
570에서, 방법은 프로세스 웨이퍼 (101) 를 프로세스 모듈 (110) 로 이송할 때 측정 디바이스를 사용하여 기준 좌표계 (660') 내 프로세스 웨이퍼의 정렬 측정값을 결정하는 단계를 포함한다. 즉, TM 로봇 (132) 에 의해 픽업될 때 프로세스 웨이퍼는 회전 디바이스 (예를 들어, 리프트 패드) 의 회전 축 및 페데스탈의 중심에 대응하는 최초 캘리브레이팅된 위치 (601) 와 센터링되어 배치되도록 완벽하게 정렬되지 않을 수도 있다. 정렬 측정값은 캘리브레이팅된 기준 측정값 (601') 에 대해 측정 디바이스 (예를 들어, AWC 센서들 (410)) 를 사용하여 측정될 때 인입 프로세스 웨이퍼 (101) 의 정렬 오프셋을 결정한다.
예를 들어, 도 7은 본 개시의 일 실시예에 따른, 캘리브레이팅된 기준 측정값 (601') 으로부터 인입 프로세스 웨이퍼 (101) 의 정렬 오프셋을 예시하는 도면이다. 도시된 바와 같이, 기준 좌표계 (660') 내 그리고 프로세스 모듈 (110) 외부에 위치된 측정 디바이스에 의해 측정될 때, 완벽하게 정렬된 캘리브레이션 웨이퍼 (405) 의 캘리브레이팅된 기준 측정값 (601') 은 회전 디바이스의 회전 축 및/또는 페데스탈 (140) 의 최초 캘리브레이팅된 위치 (601) 와 정렬된다. 이에 더하여, 프로세스 웨이퍼 (101) 는 정렬 오프셋 (725) (예를 들어, 정렬 오프셋 벡터) 에 의해 캘리브레이팅된 기준 측정값 (601') 과 오정렬된 것을 도시한다. 특히, 프로세스 웨이퍼 (101) 의 제 1 측정값 (정렬 측정값) 은 기준 좌표계 (660') 내에 고정되는 측정 디바이스 (610) 에 의해 결정된다. 정렬 측정값은 프로세스 웨이퍼 (101) 의 중심 (720) 일 수도 있고 또는 중심으로 변환될 수도 있다. 도시된 바와 같이, 중심 (720) 은 벡터로 나타낼 수도 있는, 정렬 오프셋 (725) 에 의해 캘리브레이팅된 기준 측정값 (601') 으로부터 오정렬된다.
575에서, 방법은 정렬 측정값에 기초하여 캘리브레이팅된 기준 측정값으로부터 프로세스 웨이퍼의 오프셋에 대응하는 프로세스 웨이퍼의 정렬 보정을 획득하는 단계를 포함한다. 일 실시예에서, 정렬 보정은 정렬 오프셋 벡터 (725) 일 수도 있다.
이에 더하여, 회전 축의 조건 보정은 576에서 획득될 수도 있다. 조건 보정은 프로세스 모듈이 프로세스 조건 하에 놓일 때 최초 캘리브레이팅된 위치 (601) 로부터 회전 축의 오프셋에 대응한다. 특히, 회전 축의 오프셋은 프로세스 조건 하인 프로세스 모듈 내 회전 디바이스를 사용하여 회전 축 (650) 을 중심으로 각도로 캘리브레이션 웨이퍼 (405) 의 회전에 기초하여 프로세싱 전에 결정된다. 조건 보정은 도 5b와 관련하여 이전에 기술되었다.
이에 더하여, 580에서 방법은 이전에 기술된 바와 같이, 웨이퍼를 캘리브레이팅된 기준 측정값 (601') 및 대응하여 회전 디바이스의 회전 축의 최초 캘리브레이팅된 위치 (601) 와 정렬되게 하도록, 인입 프로세스 웨이퍼 (101) 에 조건 보정 및 정렬 보정을 적용하는 단계를 포함한다. 정렬 보정 및 조건 보정이 TM 로봇 (132) 을 사용하여 프로세스 웨이퍼에 적용될 수도 있다. 일단 조건 보정 및 정렬 보정이 적용되면, 590에서 프로세싱을 위해 프로세스 모듈 (110) 에 프로세스 웨이퍼 (101) 를 배치할 때 인입 웨이퍼 (101) 가 정렬된다. 즉, 인입 웨이퍼 (101) 는 이제 프로세스 조건 하인 프로세스 모듈 (110) 내 최초 캘리브레이팅된 위치 (601) 내 회전 축 (예를 들어, 스테이션 및/또는 페데스탈 (140) 의 중심) 으로부터 오프셋된 회전 디바이스의 회전 축에 배치되도록 정렬된다.
도 8은 상기 기술된 시스템들을 제어하기 위한 제어 모듈 (800) 을 도시한다. 예를 들어, 제어 모듈 (800) 은 프로세서, 메모리 및 하나 이상의 인터페이스들을 포함할 수도 있다. 제어 모듈 (800) 은 센싱된 값들에 부분적으로 기초하여 시스템의 디바이스들을 제어하도록 채용될 수도 있다. 단지 예를 들면, 제어 모듈 (800) 은 센싱된 값들 및 다른 제어 파라미터들에 기초하여 밸브들 (802), 필터 히터들 (804), 펌프들 (806), 및 기타 디바이스들 (808) 중 하나 이상을 제어할 수도 있다. 제어 모듈 (800) 은 단지 예를 들면, 압력 마노미터들 (810), 플로우 미터들 (812), 온도 센서들 (814), 및/또는 기타 센서들 (816) 로부터 센싱된 값들을 수신한다. 제어 모듈 (800) 은 또한 전구체 전달 및 막의 증착 동안 프로세스 조건들을 제어하도록 채용될 수도 있다. 제어 모듈 (800) 은 통상적으로 하나 이상의 메모리 디바이스들 및 하나 이상의 프로세서들을 포함할 것이다.
제어 모듈 (800) 은 전구체 전달 시스템 및 증착 장치의 액티비티들을 제어할 수도 있다. 제어 모듈 (800) 은 프로세스 타이밍, 전달 시스템 온도, 및 필터들에 걸친 압력 차들, 밸브 위치들, 가스들의 혼합물, 챔버 압력, 챔버 온도, 기판 온도, RF 전력 레벨들, 기판 척 또는 페데스탈 위치, 및 특정한 프로세스의 다른 파라미터들을 제어하기 위한 인스트럭션들의 세트들을 포함하는 컴퓨터 프로그램들을 실행한다. 제어 모듈 (800) 은 또한 압력 차를 모니터링할 수도 있고 하나 이상의 경로들로부터 하나 이상의 다른 경로들로 기체 전구체 전달을 자동으로 스위칭할 수도 있다. 제어 모듈 (800) 과 연관된 메모리 디바이스들 상에 저장된 다른 컴퓨터 프로그램들이 일부 실시예들에서 채용될 수도 있다.
통상적으로 제어 모듈 (800) 과 연관된 사용자 인터페이스가 있을 것이다. 사용자 인터페이스는 디스플레이 (818) (예를 들어, 장치 및/또는 프로세스 조건들의 디스플레이 스크린 및/또는 그래픽 소프트웨어 디스플레이들), 및 포인팅 디바이스들, 키보드들, 터치 스크린들, 마이크로폰들, 등과 같은 사용자 입력 디바이스들 (820) 을 포함할 수도 있다.
프로세스 시퀀스의 전구체의 전달, 증착, 및 다른 프로세스들을 제어하기 위한 컴퓨터 프로그램들이 임의의 종래의 컴퓨터 판독가능 프로그래밍 언어: 예를 들어, 어셈블리어, C, C++, Pascal, Fortran 등으로 작성될 수 있다. 컴파일링된 객체 코드 또는 스크립트가 프로그램에서 식별된 태스크들을 수행하도록 프로세서에 의해 실행된다.
제어 모듈 파라미터들은 예를 들어, 필터 압력 차들, 프로세스 가스 조성 및 플로우 레이트들, 온도, 압력, RF 전력 레벨들 및 저 주파수 RF 주파수와 같은 플라즈마 조건들, 냉각 가스 압력, 및 챔버 벽 온도와 같은 프로세스 조건들과 관련된다.
시스템 소프트웨어는 많은 상이한 방식들로 설계되거나 구성될 수도 있다. 예를 들어, 다양한 챔버 컴포넌트 서브루틴들 또는 제어 객체들이 본 발명의 증착 프로세스들을 수행하기 위해 필요한 챔버 컴포넌트들의 동작을 제어하도록 작성될 수도 있다. 이 목적을 위한 프로그램들 또는 프로그램들의 섹션들의 예들은 기판 포지셔닝 코드, 프로세스 가스 제어 코드, 압력 제어 코드, 히터 제어 코드, 및 플라즈마 제어 코드를 포함한다.
기판 포지셔닝 프로그램은 페데스탈 또는 척 상으로 기판을 로딩하고 기판과 가스 유입구 및/또는 타깃과 같은 챔버의 다른 부분들 사이의 간격을 제어하도록 사용되는 챔버 컴포넌트들을 제어하기 위한 프로그램 코드를 포함할 수도 있다. 프로세스 가스 제어 프로그램은 가스 조성 및 플로우 레이트들을 제어하기 위한 코드 및 선택가능하게 챔버의 압력을 안정화하기 위해 증착 전에 챔버 내로 가스를 흘리기 위한 코드를 포함할 수도 있다. 필터 모니터링 프로그램은 측정된 차(들)를 미리 결정된 값(들)과 비교하는 코드 및/또는 경로들을 스위칭하기 위한 코드를 포함한다. 압력 제어 프로그램은 예를 들어, 챔버의 배기 시스템의 쓰로틀 밸브를 조절함으로써 챔버의 압력을 제어하기 위한 코드를 포함할 수도 있다. 히터 제어 프로그램은 전구체 전달 시스템의 컴포넌트들, 기판 및/또는 시스템의 다른 부분들을 가열하기 위한 가열 유닛들로 전류를 제어하기 위한 코드를 포함할 수도 있다. 대안적으로, 히터 제어 프로그램은 기판 척으로 헬륨과 같은 열 전달 가스의 전달을 제어할 수도 있다.
증착 동안 모니터링될 수도 있는 센서들의 예들은, 이로 제한되는 것은 아니지만, 질량 유량 제어 모듈들, 압력 마노미터들 (810) 과 같은 압력 센서들, 및 전달 시스템, 페데스탈 또는 척에 위치된 써모커플들 (예를 들어, 온도 센서들 (814/220)) 을 포함한다. 적절히 프로그램된 피드백 및 제어 알고리즘들이 목표된 프로세스 조건들을 유지하기 위해 이들 센서들로부터의 데이터를 사용할 수도 있다. 전술한 바는 단일 챔버 또는 멀티 챔버 반도체 프로세싱 툴에서 본 개시의 실시예들의 구현예를 기술한다.
일부 구현예들에서, 제어기는 상기 기술된 예들의 일부일 수도 있는, 시스템의 일부이다. 이러한 시스템들은 프로세싱 툴 또는 툴들, 챔버 또는 챔버들, 프로세싱용 플랫폼 또는 플랫폼들, 및/또는 특정 프로세싱 컴포넌트들 (기판 페데스탈, 가스 플로우 시스템, 등) 을 포함하는, 반도체 프로세싱 장비를 포함할 수 있다. 이들 시스템들은 반도체 기판 또는 기판의 프로세싱 이전에, 프로세싱 동안에 그리고 프로세싱 이후에 이들의 동작을 제어하기 위한 전자장치에 통합될 수도 있다. 전자장치들은 시스템 또는 시스템들의 다양한 컴포넌트들 또는 하위부품들을 제어할 수도 있는 "제어기"로서 지칭될 수도 있다. 제어기는, 시스템의 프로세싱 요건들 및/또는 타입에 따라서, 프로세싱 가스들의 전달, 온도 설정사항들 (예를 들어, 가열 및/또는 냉각), 압력 설정사항들, 진공 설정사항들, 전력 설정사항들, 무선 주파수 (RF) 생성기 설정사항들, RF 매칭 회로 설정사항들, 주파수 설정사항들, 플로우 레이트 설정사항들, 유체 전달 설정사항들, 위치 및 동작 설정사항들, 툴들 및 다른 이송 툴들 및/또는 특정한 시스템에 연결되거나 인터페이싱된 로드록들 내외로의 기판 이송들을 포함하는, 본 명세서에 개시된 프로세스들 중 임의의 프로세스를 제어하도록 프로그램될 수도 있다.
일반적으로 말하면, 제어기는 인스트럭션들을 수신하고, 인스트럭션들을 발행하고, 동작을 제어하고, 세정 동작들을 인에이블하고, 엔드포인트 측정들을 인에이블하는 등을 하는 다양한 집적 회로들, 로직, 메모리, 및/또는 소프트웨어를 갖는 전자장치로서 규정될 수도 있다. 집적 회로들은 프로그램 인스트럭션들을 저장하는 펌웨어의 형태의 칩들, 디지털 신호 프로세서들 (DSP), ASIC들로서 규정되는 칩들, 및/또는 프로그램 인스트럭션들 (예를 들어, 소프트웨어) 을 실행하는 하나 이상의 마이크로프로세서들, 또는 마이크로제어기들을 포함할 수도 있다. 프로그램 인스트럭션들은 반도체 기판 상에서 또는 반도체 기판에 대한 특정한 프로세스를 실행하기 위한 동작 파라미터들을 규정하는, 다양한 개별 설정사항들 (또는 프로그램 파일들) 의 형태로 제어기로 또는 시스템으로 전달되는 인스트럭션들일 수도 있다. 일부 실시예들에서, 동작 파라미터들은 하나 이상의 층들, 재료들, 금속들, 산화물들, 실리콘, 이산화 실리콘, 표면들, 회로들, 및/또는 기판의 다이들의 제조 동안에 하나 이상의 프로세싱 단계들을 달성하도록 프로세스 엔지니어들에 의해서 규정된 레시피의 일부일 수도 있다.
제어기는 일부 구현예들에서, 시스템에 통합되거나, 시스템에 커플링되거나, 이와 달리 시스템에 네트워킹되거나, 또는 이들의 조합되는 컴퓨터에 커플링되거나 이의 일부일 수도 있다. 예를 들어, 제어기는 기판 프로세싱의 원격 액세스를 가능하게 할 수 있는 공장 (fab) 호스트 컴퓨터 시스템의 전부 또는 일부의 "클라우드" 내에 있을 수도 있다. 컴퓨터는 제조 동작들의 현 진행을 모니터링하기 위해 시스템으로의 원격 액세스를 인에이블할 수도 있고, 현 프로세싱의 파라미터들을 변경하고, 현 프로세싱을 따르는 프로세싱 단계들을 설정하고, 또는 새로운 프로세스를 시작하기 위해서 과거 제조 동작들의 이력을 조사하고, 복수의 제조 동작들로부터 경향들 또는 성능 계측치들을 조사한다. 일부 예들에서, 원격 컴퓨터 (예를 들어, 서버) 는 로컬 네트워크 또는 인터넷을 포함할 수도 있는 네트워크를 통해서 프로세스 레시피들을 시스템에 제공할 수 있다.
원격 컴퓨터는 차후에 원격 컴퓨터로부터 시스템으로 전달될 파라미터들 및/또는 설정사항들의 입력 또는 프로그래밍을 인에이블하는 사용자 인터페이스를 포함한다. 일부 예들에서, 제어기는 하나 이상의 동작들 동안 수행될 프로세싱 단계들 각각에 대한 파라미터들을 명시하는 데이터의 형태로 인스트럭션들을 수신한다. 이 파라미터들은 제어기가 제어하거나 인터페이싱하도록 구성된 툴의 타입 및 수행될 프로세스의 타입에 특정적일 수도 있다는 것이 이해되어야 한다. 따라서, 상술한 바와 같이, 제어기는 예컨대 서로 네트워킹되어서 함께 본 명세서에 기술된 프로세스들 및 제어들과 같은, 공통 목적을 위해서 작동하는 하나 이상의 개별 제어기들을 포함함으로써 분산될 수도 있다. 이러한 목적을 위한 분산형 제어기의 예는 챔버 상에서 프로세스를 제어하도록 조합되는, (예를 들어, 플랫폼 레벨에서 또는 원격 컴퓨터의 일부로서) 원격으로 위치한 하나 이상의 집적 회로들과 통신하는 챔버 상의 하나 이상의 집적 회로들일 것이다.
비한정적으로, 예시적인 시스템들은 플라즈마 에칭 챔버 또는 모듈, 증착 챔버 또는 모듈, 스핀-린스 챔버 또는 모듈, 금속 도금 챔버 또는 모듈, 세정 챔버 또는 모듈, 베벨 에지 에칭 챔버 또는 모듈, PVD (physical vapor deposition) 챔버 또는 모듈, CVD (chemical vapor deposition) 챔버 또는 모듈, ALD (atomic layer deposition) 챔버 또는 모듈, ALE (atomic layer etch) 챔버 또는 모듈, 이온 주입 챔버 또는 모듈, 트랙 챔버 또는 모듈, 및 반도체 기판들의 제조 및/또는 제작 시에 사용되거나 연관될 수도 있는 임의의 다른 반도체 프로세싱 시스템들을 포함할 수도 있다.
상술한 바와 같이, 툴에 의해서 수행될 프로세스 단계 또는 단계들에 따라서, 제어기는 반도체 제작 공장 내의 툴 위치들 및/또는 로드 포트들로부터/로 웨이퍼들의 컨테이너들을 이동시키는 재료 이송 시에 사용되는, 다른 툴 회로들 또는 모듈들, 다른 툴 컴포넌트들, 클러스터 툴들, 다른 툴 인터페이스들, 인접 툴들, 이웃하는 툴들, 공장 도처에 위치한 툴들, 메인 컴퓨터, 또 다른 제어기 또는 툴들 중 하나 이상과 통신할 수도 있다.
실시예들의 전술한 기술은 예시 및 기술의 목적들을 위해 제공되었다. 이는 본 개시를 총망라하거나 제한하도록 의도되지 않았다. 특정한 실시예의 개별 엘리먼트들 또는 피처들은 일반적으로 특정한 실시예로 제한되지 않고, 적용가능하다면, 교환가능하고 구체적으로 도시되거나 기술되지 않았더라도, 선택된 실시예에서 사용될 수 있다. 동일한 것들이 또한 많은 방식들로 가변될 수도 있다. 이러한 변동들은 본 개시로부터 벗어나는 것으로 간주되지 않고, 모든 이러한 수정들은 본 개시의 범위 내에 포함되도록 의도된다.
전술한 실시예들이 이해의 명확성을 목적으로 다소 상세히 기술되었지만, 특정한 변화들 및 수정들은 첨부된 청구항들의 범위 내에서 실시될 수 있다는 것이 자명할 것이다. 이에 따라, 제시된 실시예들은 예시적이고 제한하지 않는 것으로 간주되고, 실시예들은 본 명세서에 주어진 상세들로 제한되지 않고, 청구항들의 범위 및 등가물들 내에서 수정될 수도 있다.

Claims (20)

  1. 웨이퍼를 프로세싱하기 위한 시스템에 있어서,
    회전 축을 갖는 회전 디바이스를 포함하는 프로세스 모듈;
    프로세스 웨이퍼를 지지하도록 구성된 상기 프로세스 모듈 내의 페데스탈;
    상기 프로세스 웨이퍼를 상기 프로세스 모듈로 그리고 상기 프로세스 모듈로부터 이송하도록 구성된 이송 로봇; 및
    기준 좌표계 내에 고정된 측정 시스템으로서, 상기 측정 시스템은 프로세스 모듈의 페데스탈로 상기 프로세스 웨이퍼를 이송할 때 상기 프로세스 웨이퍼의 정렬 오프셋을 결정하도록 구성되는, 상기 측정 시스템을 포함하고,
    상기 이송 로봇은 상기 정렬 오프셋에 대응하는 정렬 보정을 적용하도록 구성되고,
    상기 이송 로봇은 상기 프로세스 모듈의 회전 디바이스의 회전 축의 조건 보정을 적용하도록 구성되고,
    상기 프로세스 모듈은 프로세스 조건 하에 있는, 웨이퍼 프로세싱 시스템.
  2. 제 1 항에 있어서,
    상기 측정 시스템은 상기 프로세스 모듈이 상기 프로세스 조건 하에 있을 때 상기 이송 로봇을 사용하여 상기 페데스탈로 캘리브레이션 웨이퍼를 이송할 때 상기 기준 좌표계 내에서 상기 캘리브레이션 웨이퍼의 진입 오프셋 (entry offset) 을 결정하도록 구성되고,
    상기 측정 시스템은 상기 캘리브레이션 웨이퍼가 상기 회전 디바이스에 의해 상기 프로세스 모듈 내에서 회전된 후 상기 이송 로봇을 사용하여 상기 프로세스 모듈로부터 상기 캘리브레이션 웨이퍼를 이송할 때 상기 캘리브레이션 웨이퍼의 진출 오프셋 (exit offset) 을 결정하도록 구성되고,
    상기 진입 오프셋 및 상기 진출 오프셋은 상기 페데스탈의 중심의 최초 캘리브레이팅된 위치에 기초하고,
    상기 회전 축의 조건 유도된 오프셋은 상기 진입 오프셋 및 상기 진출 오프셋에 기초하고,
    상기 조건 보정은 상기 조건 유도된 오프셋에 기초하는, 웨이퍼 프로세싱 시스템.
  3. 제 2 항에 있어서,
    상기 이송 로봇은 상기 회전 디바이스의 상기 회전 축의 상기 최초 캘리브레이팅된 위치를 결정하도록 상기 페데스탈의 중심을 학습하고 (teach), 상기 최초 캘리브레이팅된 위치는 상기 페데스탈의 중심 및 상기 회전 축에 대응하고; 그리고
    상기 이송 로봇은 상기 최초 캘리브레이팅된 위치 페데스탈로부터 상기 캘리브레이팅된 기준 위치로 캘리브레이션 웨이퍼를 이송하도록 사용되는, 웨이퍼 프로세싱 시스템.
  4. 제 1 항에 있어서,
    상기 기준 좌표계는 상기 페데스탈의 중심의 최초 캘리브레이팅된 위치에 기초하는, 웨이퍼 프로세싱 시스템.
  5. 제 1 항에 있어서,
    상기 정렬 오프셋은 상기 웨이퍼를 상기 페데스탈의 중심에 직접 배치하도록 캘리브레이팅되는 캘리브레이팅된 기준 위치로부터 측정되는, 웨이퍼 프로세싱 시스템.
  6. 제 5 항에 있어서,
    상기 캘리브레이팅된 기준 위치는 상기 프로세스 모듈의 외부에 있는, 웨이퍼 프로세싱 시스템.
  7. 제 1 항에 있어서,
    상기 프로세스 조건은 온도 레벨 또는 진공 레벨 중 적어도 하나를 포함하는, 웨이퍼 프로세싱 시스템.
  8. 제 1 항에 있어서,
    상기 회전 디바이스는 엔드 이펙터 상에 위치되는, 웨이퍼 프로세싱 시스템.
  9. 제 1 항에 있어서,
    상기 회전 디바이스는 상기 페데스탈로부터 분리되도록 구성된 리프트 패드인, 웨이퍼 프로세싱 시스템.
  10. 프로세스 모듈의 페데스탈로 프로세스 웨이퍼를 이송할 때 상기 프로세스 웨이퍼의 정렬 오프셋을 결정하는 단계;
    상기 정렬 오프셋에 대응하는 정렬 보정을 적용하는 단계; 및
    상기 프로세스 모듈의 회전 디바이스의 회전 축의 조건 보정을 적용하는 단계를 포함하고,
    상기 프로세스 모듈은 프로세스 조건 하에 있는, 방법.
  11. 제 10 항에 있어서,
    상기 프로세스 모듈의 상기 페데스탈로 캘리브레이션 웨이퍼를 이송할 때 상기 프로세스 모듈과 연관된 기준 좌표계 내에서 상기 캘리브레이션 웨이퍼의 진입 오프셋을 결정하는 단계로서, 상기 진입 오프셋은 상기 페데스탈의 중심의 최초 캘리브레이팅된 위치에 기초하는, 상기 캘리브레이션 웨이퍼의 진입 오프셋을 결정하는 단계;
    상기 회전 디바이스를 사용하여 상기 프로세스 모듈 내에서 상기 캘리브레이션 웨이퍼를 회전시키는 단계;
    상기 프로세스 모듈의 상기 페데스탈로부터 상기 캘리브레이션 웨이퍼를 이송할 때, 상기 기준 좌표계 내에서 상기 캘리브레이션 웨이퍼의 진출 오프셋을 결정하는 단계로서, 상기 진출 오프셋은 상기 페데스탈의 상기 최초 캘리브레이팅된 위치에 기초하는, 상기 웨이퍼의 진출 오프셋을 결정하는 단계; 및
    상기 진입 오프셋 및 상기 진출 오프셋을 사용하여 상기 회전 디바이스의 상기 회전 축의 조건 유도 오프셋을 결정하는 단계; 및
    상기 조건 유도된 오프셋에 기초하여 상기 조건 보정을 결정하는 단계를 포함하고,
    상기 프로세스 모듈은 상기 진입 오프셋을 결정하는 단계, 및 상기 캘리브레이션 웨이퍼를 회전시키는 단계, 및 상기 진출 오프셋을 결정하는 단계를 수행할 때 조건 하에 있지 않은, 방법.
  12. 제 11 항에 있어서,
    상기 기준 좌표계는 상기 페데스탈의 상기 최초 캘리브레이팅된 위치에 기초하는, 방법.
  13. 제 10 항에 있어서,
    상기 정렬 오프셋은 상기 웨이퍼를 상기 페데스탈의 중심에 직접 배치하도록 캘리브레이팅되는 캘리브레이팅된 기준 위치로부터 측정되는, 방법.
  14. 제 13 항에 있어서,
    상기 캘리브레이팅된 기준 위치는 상기 프로세스 모듈의 외부에 있는, 방법.
  15. 제 13 항에 있어서,
    상기 회전 디바이스의 상기 회전 축의 상기 최초 캘리브레이팅된 위치를 결정하도록 상기 페데스탈의 중심을 상기 이송 로봇에 학습시키는 단계로서 (teach), 상기 최초 캘리브레이팅된 위치는 상기 페데스탈의 중심 및 상기 회전 축에 대응하는, 상기 학습시키는 단계; 및
    상기 최초 캘리브레이팅된 기준 위치로부터 상기 캘리브레이팅된 기준 위치로 상기 페데스탈로부터 캘리브레이션 웨이퍼를 이송하기 위해 이송 로봇을 사용함으로써 상기 캘리브레이팅된 기준 위치를 결정하는 단계를 더 포함하는, 방법.
  16. 제 15 항에 있어서,
    상기 이송 로봇은 상기 프로세스 모듈로 그리고 상기 프로세스 모듈로부터 복수의 웨이퍼들을 이송할 때 상기 조건 보정을 적용하도록 구성되는, 방법.
  17. 제 10 항에 있어서,
    상기 프로세스 조건은 온도 레벨 또는 진공 레벨 중 적어도 하나를 포함하는, 방법.
  18. 제 10 항에 있어서,
    상기 회전 디바이스는 엔드 이펙터 상에 위치되는, 방법.
  19. 제 10 항에 있어서,
    상기 회전 디바이스는 상기 페데스탈로부터 분리되도록 구성된 리프트 패드인, 방법.
  20. 제 10 항에 있어서,
    상기 회전 디바이스는 상기 페데스탈인, 방법.
KR1020237007735A 2017-12-06 2018-11-07 웨이퍼를 스핀하는 프로세스 모듈의 스테이션에 대한 자동-캘리브레이션 KR20230038598A (ko)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US201762595454P 2017-12-06 2017-12-06
US62/595,454 2017-12-06
US16/000,734 US10651065B2 (en) 2017-12-06 2018-06-05 Auto-calibration to a station of a process module that spins a wafer
US16/000,734 2018-06-05
KR1020227035631A KR102507845B1 (ko) 2017-12-06 2018-11-07 웨이퍼를 스핀하는 프로세스 모듈의 스테이션에 대한 자동-캘리브레이션
PCT/US2018/059704 WO2019112747A1 (en) 2017-12-06 2018-11-07 Auto-calibration to a station of a process module that spins a wafer

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020227035631A Division KR102507845B1 (ko) 2017-12-06 2018-11-07 웨이퍼를 스핀하는 프로세스 모듈의 스테이션에 대한 자동-캘리브레이션

Publications (1)

Publication Number Publication Date
KR20230038598A true KR20230038598A (ko) 2023-03-20

Family

ID=66658174

Family Applications (3)

Application Number Title Priority Date Filing Date
KR1020237007735A KR20230038598A (ko) 2017-12-06 2018-11-07 웨이퍼를 스핀하는 프로세스 모듈의 스테이션에 대한 자동-캘리브레이션
KR1020227035631A KR102507845B1 (ko) 2017-12-06 2018-11-07 웨이퍼를 스핀하는 프로세스 모듈의 스테이션에 대한 자동-캘리브레이션
KR1020207019366A KR102455908B1 (ko) 2017-12-06 2018-11-07 웨이퍼를 스핀하는 프로세스 모듈의 스테이션에 대한 자동-캘리브레이션

Family Applications After (2)

Application Number Title Priority Date Filing Date
KR1020227035631A KR102507845B1 (ko) 2017-12-06 2018-11-07 웨이퍼를 스핀하는 프로세스 모듈의 스테이션에 대한 자동-캘리브레이션
KR1020207019366A KR102455908B1 (ko) 2017-12-06 2018-11-07 웨이퍼를 스핀하는 프로세스 모듈의 스테이션에 대한 자동-캘리브레이션

Country Status (5)

Country Link
US (4) US10651065B2 (ko)
KR (3) KR20230038598A (ko)
CN (1) CN111448645A (ko)
TW (1) TWI797207B (ko)
WO (1) WO2019112747A1 (ko)

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP7008573B2 (ja) * 2018-05-16 2022-01-25 東京エレクトロン株式会社 搬送方法および搬送装置
JP7058239B2 (ja) * 2019-03-14 2022-04-21 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
US11823937B2 (en) 2019-08-19 2023-11-21 Applied Materials, Inc. Calibration of an aligner station of a processing system
CN114630924A (zh) * 2019-11-01 2022-06-14 朗姆研究公司 用于清洁喷头的系统和方法
CN113066746B (zh) * 2020-01-02 2022-03-22 长鑫存储技术有限公司 预对准装置及应用于该装置的预对准方法
US11766782B2 (en) 2020-03-17 2023-09-26 Applied Materials, Inc. Calibration of an electronics processing system
CN111562482A (zh) * 2020-06-19 2020-08-21 青岛歌尔微电子研究院有限公司 晶圆性能测试装置及方法
US11862507B2 (en) * 2020-11-25 2024-01-02 Kawasaki Jukogyo Kabushiki Kaisha Robot system, and slip determination method
US11854853B2 (en) * 2021-03-12 2023-12-26 Taiwan Semiconductor Manufacturing Co., Ltd. Wafer positioning method and apparatus

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6836690B1 (en) 2002-07-19 2004-12-28 Nanometrics Incorporated High precision substrate prealigner
WO2006132998A2 (en) * 2005-06-03 2006-12-14 Rudolph Technologies, Inc. Wafer scanning
TWI397969B (zh) 2005-07-11 2013-06-01 Brooks Automation Inc 具有迅速工件定中心功能的加工裝置
US8279406B2 (en) * 2005-10-19 2012-10-02 Nikon Corporation Article loading/unloading method and article loading/unloading device, exposure method and exposure apparatus, and method of manufacturing device
JP5490741B2 (ja) * 2011-03-02 2014-05-14 東京エレクトロン株式会社 基板搬送装置の位置調整方法、及び基板処理装置
KR102489065B1 (ko) * 2013-03-15 2023-01-13 어플라이드 머티어리얼스, 인코포레이티드 Ald 플래튼 서셉터의 위치 및 온도 모니터링
US9196518B1 (en) * 2013-03-15 2015-11-24 Persimmon Technologies, Corp. Adaptive placement system and method
TWI695447B (zh) * 2013-11-13 2020-06-01 布魯克斯自動機械公司 運送設備
US9349629B2 (en) * 2014-01-23 2016-05-24 Lam Research Corporation Touch auto-calibration of process modules
US9881788B2 (en) * 2014-05-22 2018-01-30 Lam Research Corporation Back side deposition apparatus and applications
US10522380B2 (en) 2014-06-20 2019-12-31 Applied Materials, Inc. Method and apparatus for determining substrate placement in a process chamber
US9859088B2 (en) * 2015-04-30 2018-01-02 Lam Research Corporation Inter-electrode gap variation methods for compensating deposition non-uniformity
WO2016190905A1 (en) * 2015-05-22 2016-12-01 Applied Materials, Inc. Azimuthally tunable multi-zone electrostatic chuck
KR102662003B1 (ko) * 2015-07-30 2024-04-29 램 리써치 코포레이션 영상 기반 웨이퍼 노치 위치 측정

Also Published As

Publication number Publication date
TWI797207B (zh) 2023-04-01
KR20200086376A (ko) 2020-07-16
KR102455908B1 (ko) 2022-10-17
US20200273731A1 (en) 2020-08-27
TW201935604A (zh) 2019-09-01
US11742229B2 (en) 2023-08-29
US20190172738A1 (en) 2019-06-06
TW202331910A (zh) 2023-08-01
US11239100B2 (en) 2022-02-01
US20220108902A1 (en) 2022-04-07
US20230395410A1 (en) 2023-12-07
WO2019112747A1 (en) 2019-06-13
US10651065B2 (en) 2020-05-12
KR102507845B1 (ko) 2023-03-07
CN111448645A (zh) 2020-07-24
KR20220143165A (ko) 2022-10-24

Similar Documents

Publication Publication Date Title
KR102507845B1 (ko) 웨이퍼를 스핀하는 프로세스 모듈의 스테이션에 대한 자동-캘리브레이션
US9831110B2 (en) Vision-based wafer notch position measurement
CN110062818B (zh) 用于半导体处理的晶片定位基座
KR102662003B1 (ko) 영상 기반 웨이퍼 노치 위치 측정
US20040158347A1 (en) Transfer apparatus and method for semiconductor process and semiconductor processing system
KR102584339B1 (ko) 반도체 프로세싱용 웨이퍼 포지셔닝 페데스탈의 패드 상승 메커니즘
JP2022546251A (ja) 処理システムのアライナステーションの較正
CN114830321B (zh) 自动教学外壳系统
JP2005262367A (ja) 搬送ロボットの搬送ズレ確認方法及び処理装置
CN114758975A (zh) 在传输中自动晶圆定中方法及设备
TWI838131B (zh) 對於旋轉晶圓之處理模組之處理站的自動校正
US20220299980A1 (en) Teaching method for transfer device, and transfer system
US20230047039A1 (en) Edge ring transfer with automated rotational pre-alignment
JP2013125796A (ja) プラズマ処理方法および装置

Legal Events

Date Code Title Description
A107 Divisional application of patent
E902 Notification of reason for refusal