KR20230030593A - 금속 옥사이드 확산 배리어들 - Google Patents

금속 옥사이드 확산 배리어들 Download PDF

Info

Publication number
KR20230030593A
KR20230030593A KR1020227046053A KR20227046053A KR20230030593A KR 20230030593 A KR20230030593 A KR 20230030593A KR 1020227046053 A KR1020227046053 A KR 1020227046053A KR 20227046053 A KR20227046053 A KR 20227046053A KR 20230030593 A KR20230030593 A KR 20230030593A
Authority
KR
South Korea
Prior art keywords
bis
metal
substrate
barrier layer
plasma
Prior art date
Application number
KR1020227046053A
Other languages
English (en)
Inventor
리 제이. 브로건
패트릭 반 클림풋
매튜 마틴 휴이
카일 조던 브라케니
이 후아 리우
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20230030593A publication Critical patent/KR20230030593A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0227Pretreatment of the material to be coated by cleaning or etching
    • C23C16/0245Pretreatment of the material to be coated by cleaning or etching by etching with a plasma
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/403Oxides of aluminium, magnesium or beryllium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76823Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. transforming an insulating layer into a conductive layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53257Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a refractory metal
    • H01L23/53266Additional layers associated with refractory-metal layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • H01J2237/3321CVD [Chemical Vapor Deposition]

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Manufacturing & Machinery (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Electromagnetism (AREA)
  • Analytical Chemistry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

본 명세서의 다양한 실시 예들은 기판 상에 상호 연결 구조체 또는 이의 일부를 형성하기 위한 방법들, 장치, 및 시스템들에 관한 것이다. 일 예에서, 방법은 프로세싱 챔버 내에 기판을 수용하고, 기판은 내부에 형성된 리세스된 피처들 내에 노출된 유전체 재료를 갖는 단계; 유전체 재료의 상단 표면을 개질하도록 기판을 플라즈마에 노출시키는 단계; 유전체 재료의 개질된 상단 표면 상에 금속 옥사이드 배리어 층을 형성하는 단계를 포함하고, 금속 옥사이드 배리어 층은 원자 층 증착 및/또는 화학적 기상 증착을 통해 형성된다. 특정한 구현 예들에서, 하나 이상의 부가적인 단계가 예를 들어 관련 층들의 핵 생성 및/또는 접착을 촉진하기 위해, 프로세싱 결과들을 개선하도록 취해질 수도 있다.

Description

금속 옥사이드 확산 배리어들
반도체 디바이스 치수들이 계속해서 축소됨에 따라, 이러한 디바이스들은 제조하기 점점 더 어려워진다. 많은 경우들에서, 기존의 프로세스들은 용인 가능한 허용 오차 내에서 목표된 재료들 및 구조체들을 형성할 수 없다.
본 명세서에 제공된 배경기술 기술 (description) 은 본 개시의 맥락을 일반적으로 제시할 목적이다. 이 배경기술 섹션에 기술된 정도의 본 명세서에 명명된 발명자들의 업적, 뿐만 아니라 출원 시 종래 기술로서 달리 인증되지 않을 수도 있는 본 기술의 양태들은 본 개시에 대한 종래 기술로서 명시적으로나 암시적으로 인정되지 않는다.
참조로서 인용
PCT 신청 양식은 본 출원의 일부로서 본 명세서와 동시에 제출되었다. 본 출원이 동시에 제출된 PCT 신청 양식에서 식별된 바와 같이 우선권 또는 이익을 주장하는 출원 각각은 전체가 모든 목적들을 위해 참조로서 본 명세서에 인용된다.
본 명세서의 다양한 실시 예들은 기판 상에 상호 연결 구조체 또는 이의 일부를 형성하기 위한 방법들, 장치, 및 시스템들에 관한 것이다. 기판은 예를 들어, 반도체 웨이퍼일 수도 있다. 개시된 실시 예들의 일 양태에서, 기판 상에 상호 연결 구조체 또는 상호 연결 구조체의 일부를 형성하는 방법에 있어서, (a) 프로세싱 챔버 내에 기판을 수용하는 단계로서, 기판은 유전체 재료 내에 형성된 리세스된 피처들을 갖는 유전체 재료를 포함하고, 상호 연결 구조체는 리세스된 피처들 내에 형성되고, 유전체 재료는 리세스된 피처들 내에 노출되는, 기판을 수용하는 단계; (b) 유전체 재료의 상단 표면을 개질하도록 기판을 플라즈마에 노출시키는 단계; 및 (c) 단계 (b) 후에, 유전체 재료의 개질된 상단 표면 상에 금속 옥사이드 배리어 층을 형성하는 단계로서, 금속 옥사이드 배리어 층은 적어도 부분적으로, 원자 층 증착 및/또는 화학적 기상 증착을 통해 형성되는, 금속 옥사이드 배리어 층을 형성하는 단계를 포함하는 상호 연결 구조체 형성 방법이 제공된다.
일부 실시 예들에서, 단계 (b) 에서 기판을 플라즈마에 노출시키는 단계는 유전체 재료의 상단 표면을 거칠게 한다 (roughen). 이들 또는 다른 실시 예들에서, 단계 (b) 에서 기판을 플라즈마에 노출시키는 단계는 단계 (c) 에서 금속 옥사이드 배리어 층의 핵 생성을 촉진하고, 그리고 유전체 재료와 금속 옥사이드 배리어 층 사이의 접착을 개선한다. 이들 또는 다른 경우들에서, 단계 (b) 에서 기판을 플라즈마에 노출하는 단계는, H2, O2, NH3, CO2, N2O, N2, 및 이들의 조합들로 구성된 그룹으로부터 선택된 프로세스 가스로부터 생성된 플라즈마에 기판을 노출하는 단계를 포함한다.
금속 옥사이드 배리어 층은 망간 및/또는 임의의 3 내지 14 족 금속과 같은 다양한 상이한 금속들을 포함할 수도 있다. 일부 실시 예들에서, 금속 옥사이드 배리어 층은 알루미늄 옥사이드, 구리 옥사이드, 인듐 옥사이드, 마그네슘 옥사이드, 망간 옥사이드, 루테늄 옥사이드, 주석 옥사이드, 티타늄 옥사이드, 텅스텐 옥사이드, 아연 옥사이드, 및 이들의 조합들로 구성된 그룹으로부터 선택된 재료를 포함한다. 일 예에서, 금속 옥사이드 배리어 층은 아연 옥사이드를 포함한다. 또 다른 예에서, 금속 옥사이드 배리어 층은 주석 옥사이드를 포함한다.
금속 옥사이드 배리어 층은 다양한 상이한 타입들의 반응 메커니즘들을 통해 형성될 수도 있다. 일부 실시 예들에서, 금속 옥사이드 배리어 층은 열적 원자 층 증착 또는 플라즈마 강화된 원자 층 증착을 통해 형성된다. 일부 실시 예들에서, 금속 옥사이드 배리어 층은 열적 화학적 기상 증착 또는 플라즈마 강화된 화학적 기상 증착을 통해 형성된다. 일부 실시 예들에서, 금속 옥사이드 배리어 층을 형성하는 단계는 (i) 열적 원자 층 증착 또는 열적 화학적 기상 증착을 통해 금속 옥사이드 배리어 층의 제 1 부분을 형성하는 단계, 및 (ii) 플라즈마 강화된 원자 층 증착 또는 플라즈마 강화된 화학적 기상 증착을 통해 금속 옥사이드 배리어 층의 제 2 부분을 형성하는 단계를 포함하고, 금속 옥사이드 배리어 층의 제 1 부분은 금속 옥사이드 배리어 층의 제 2 부분 전에 형성된다.
금속 옥사이드 배리어 층은 다수의 상이한 금속-함유 반응 물질들을 사용하여 형성될 수도 있다. 일부 실시 예들에서, 금속 옥사이드 배리어 층은 마그네슘-함유 반응 물질, 티타늄-함유 반응 물질, 몰리브덴-함유 반응 물질, 텅스텐-함유 반응 물질, 루테늄-함유 반응 물질, 코발트-함유 반응 물질, 구리-함유 반응 물질, 아연-함유 반응 물질, 알루미늄-함유 반응 물질, 인듐-함유 반응 물질, 주석-함유 반응 물질, 망간-함유 반응 물질, 및 이들의 조합들로 구성된 그룹으로부터 선택된 금속-함유 반응 물질을 사용하여 형성된다. 다양한 실시 예들에서, 금속-함유 반응 물질은 비스(1,4-디-tert-부틸-디아자디엔)마그네슘 (bis(1,4-di-tert-butyl-diazadiene)magnesium), 비스(에틸사이클로펜타디에닐)마그네슘 (bis(ethylcyclopentadienyl)magnesium), 테트라키스(디메틸아미도)티타늄 (tetrakis(dimethylamido)titanium), 디클로로디옥소몰리브덴 (dichlorodioxomolybdenum), 헥사클로로텅스텐 (hexachlorotungsten), 도데카카르보닐트리루테늄 (dodecacarbonyltriruthenium), 옥타카르보닐디코발트 (octacarbonyldicobalt), 비스(디메틸아미노-2-프로폭시)구리 (bis(dimethylamino-2-propoxy)copper), 비스(디메틸아미노에톡시)구리 (bis(dimethylaminoethoxy)copper), 비스(디에틸아미노-2-프로폭시)구리 (bis(diethylamino-2-propoxy)copper), 비스(에틸메틸아미노-2-프로폭시)구리 (bis(ethylmethylamino-2-propoxy)copper), 비스(디메틸아미노-2-메틸-2-부톡시)구리 (bis(dimethylamino-2-methyl-2-butoxy)copper), 비스(N,N'-디-sec-부틸아세트아미디네이트)디구리 (bis(N,N'-di-sec-butylacetamidinate)dicopper), 디메틸아연 (dimethylzinc), 디에틸아연 (diethylzinc), 디알릴아연 (diallylzinc), 비스(2-메틸알릴)아연 (bis(2-methylallyl)zinc), 트리메틸알루미늄 (trimethylaluminum), 트리메틸인듐 (trimethylindium), 테트라키스(디메틸아미도)주석 (tetrakis(dimethylamido)tin), 주석(IV) 클로라이드 (tin(IV) chloride), 주석(IV) 클로라이드 (tin(IV) chloride), 주석(IV) 브로마이드 (tin(IV) bromide), 스타난 (stannane), 트리메틸주석 클로라이드 (trimethyltin chloride), 디메틸주석 디클로라이드 (dimethyltin dichloride), 메틸주석 트리클로라이드 (methyltin trichloride), 테트라에틸주석 (tetraethyltin), 테트라메틸주석 (tetramethyltin), 디부틸주석 디아세테이트 (dibutyltin diacetate), (디메틸아미노)트리메틸주석 (IV) (dimethylamino)trimethyltin(IV)), 비스[비스(트리메틸실릴)아미노]주석 (II) (bis[bis(trimethylsilyl)amino]tin(II)), 디부틸디페닐주석 (dibutyldiphenyltin), 헥사페닐디주석 (IV) (hexaphenylditin(IV)), 테트라알릴주석 (tetraallyltin), 테트라키스(디에틸아미노)주석 (IV) (tetrakis(diethylamino)tin(IV)), 테트라비닐주석 (tetravineyltin), 주석(II)아세틸아세토네이트 (tin(II)acetylacetonate), 트리시클로헥실주석 하이드라이드 (tricyclohexyltin hydride), 트리메틸(페닐에티닐)주석 (trimethyl(phenylethynyl)tin), 트리메틸(페닐)주석 (trimethyl(phenyl)tin), 테트라키스(에틸메틸아미노)주석 (tetrakis(ethylmethylamino)tin), 주석(II) (1,3-비스(1,1-디메틸에틸)-4,5-디메틸-(4R,5R)-1,3,2-디아자스탄놀리딘-2-일리덴 (tin(II)(1,3-bis(1,1-dimethylethyl)-4,5-dimethyl-(4R,5R)-1,3,2-diazastannolidin-2-ylidene), (N2,N3-디-tert-부틸-부탄-2,4-디아미노-주석 (II) (N2,N3-di-tert-butyl-butane-2,4-diamino-tin(II)), 비스(시클로펜타디에닐)망간 (bis(cyclopentadienyl)manganese), 비스(에틸시클로펜타디에닐)망간 (bis(ethylcyclopentadienyl)manganese), 비스(테트라메틸시클로펜타디에닐)망간 (bis(tetramethylcyclopentadienyl)manganese), 비스(펜타메틸시클로펜타디에닐망간) (bis(pentamethylcyclopentadienylmanganese)), 비스(1,4-디-tert-부틸-디아자디엔)망간 (bis(1,4-di-tert-butyl-diazadiene)manganese), 비스(비스(트리메틸실릴아미도))망간 (bis(bis(trimethylsilylamido))manganese), 비스(비스(에틸디메틸실릴아미도))망간 (bis(bis(ethyldimethylsilylamido))manganese), 비스(N,N'-디이소프로필펜틸아미디나토)망간 (bis(N,N'-diisopropylpentylamidinato)manganese), 및 이들의 조합들로 구성된 그룹으로부터 선택된다.
특정한 구현 예들에서, 방법은 단계 (c) 후에, 기판을 환원 가스에 노출시켜, 금속 옥사이드 배리어 층의 상단 표면을 환원시키고, 금속 옥사이드 배리어 층 상에 인 시츄 (in-situ) 금속 라이너를 형성하는 단계를 더 포함할 수도 있다. 이들 또는 다른 구현 예들에서, 방법은 단계 (c) 후에, 기판을 환원 가스로부터 생성된 플라즈마에 노출시켜, 금속 옥사이드 배리어 층의 상단 표면을 환원시키고, 금속 옥사이드 배리어 층 상에 인 시츄 (in-situ) 금속 라이너를 형성하는 단계를 더 포함할 수도 있다. 일부 실시 예들에서, 기판을 환원 가스 또는 환원 플라즈마에 노출시키는 단계는 후속하여 증착된 층의 접착을 개선한다. 예를 들어, 후속하여 증착된 층은 금속 시드 층 또는 금속 나이트라이드 시드 층 전구체일 수도 있다. 환원 가스는 H2 및/또는 질소 및 수소 모두를 포함하는 분자를 포함할 수도 있다.
일부 실시 예들에서, 방법은 단계 (c) 후에, 원자 층 증착 또는 화학적 기상 증착을 통해 기판 상에 금속 시드 층 또는 금속 나이트라이드 시드 층 전구체를 형성하는 단계를 더 포함할 수도 있다. 금속 시드 층 또는 금속 나이트라이드 시드 층 전구체는 구리, 코발트, 이리듐, 몰리브덴, 팔라듐, 루테늄, 텅스텐, 및 이들의 조합들로 구성된 그룹으로부터 선택된 금속을 포함할 수도 있다. 특정한 실시 예들에서, 금속 시드 층 또는 금속 나이트라이드 시드 층 전구체는 몰리브덴-함유 반응 물질, 텅스텐-함유 반응 물질, 루테늄-함유 반응 물질, 코발트-함유 반응 물질, 이리듐-함유 반응 물질, 구리-함유 반응 물질, 팔라듐-함유 반응 물질, 및 이들의 조합들로 구성된 그룹으로부터 선택된 금속-함유 반응 물질을 사용하여 증착된다. 다양한 실시 예들에서, 금속-함유 반응 물질은 디클로로디옥소몰리브덴 (dichlorodioxomolybdenum), 펜타클로로몰리브덴 (pentachloromolybdenum), 헥사플루오로몰리브덴 (hexafluoromolybdenum), 헥사플루오로텅스텐 (hexafluorotungsten), 헥사클로로텅스텐 (hexachlorotungsten), 펜타클로로텅스텐 (pentachlorotungsten), 비스(tert-부틸이미도)비스(디메틸아미도)텅스텐 (bis(tert-butylimido)bis(dimethylamido)tungsten), (2,4-디메틸펜타디에닐)에틸시클로펜타디에닐루테늄 (2,4-dimethylpentadienyl)ethylcyclopentadienylruthenium), (1-에틸-1,4-시클로헥사디에닐)에틸벤젠루테늄 ((1-ethyl-1,4-cyclohexadienyl)ethylbenzeneruthenium), 비스(에틸시클로펜타디에닐)루테늄 (bis(ethylcyclopentadienyl)ruthenium), 테트라옥소루테늄 (tetraoxoruthenium), 옥타카르보닐디코발트 (octacarbonyldicobalt), (2-tert-부틸알릴)트리카보닐코발트 ((2-tert-butylallyl)tricabonylcobalt), (3,3-디메틸-1-부틴)헥사카르보닐디코발트 ((3,3-dimethyl-1-butyne)hexacarbonyldicobalt), 시클로펜타디에닐디카르보닐코발트 (cyclopentadienyldicarbonylcobalt), 비스(1,4-디이소프로필-디아자디엔)코발트 (bis(1,4-diisopropyl-diazadiene)cobalt), 비스(1,4-디-tert-부틸-디아자디엔)코발트 (bis(1,4-di-tert-butyl-diazadiene)cobalt), 비스(N,N'-디이소프로필아세트아미디나토)코발트 (bis(N,N'-diisopropylacetamidinato)cobalt), 비스(N-tert-부틸-N'-에틸프로판이미드아미디나토)코발트 (bis(N-tert-butyl-N'-ethylpropanimidamidinato)cobalt), 트리스(아세틸아세토네이트)이리듐 (tris(acetylacetonate)iridium), 비스(디메틸아미노-2-프로폭시)구리 (bis(dimethylamino-2-propoxy)copper), 비스(디메틸아미노에톡시)구리 (bis(dimethylaminoethoxy)copper), 비스(디에틸아미노-2-프로폭시)구리 (bis(diethylamino-2-propoxy)copper), 비스(에틸메틸아미노-2-프로폭시)구리 (bis(ethylmethylamino-2-propoxy)copper), 비스(디메틸아미노-2-메틸-2-부톡시)구리 (bis(dimethylamino-2-methyl-2-butoxy)copper), 비스(N,N'-디-sec-부틸아세트아미디네이트)이구리 (bis(N,N'-di-sec-butylacetamidinate)dicopper), 1-메틸알릴(헥사플루오로아세틸아세토나토)-팔라듐(II) (1-methylallyl(hexafluoroacetylacetonato)-palladium(II)), 비스(헥사플루오로아세틸아세토나토)팔라듐 (bis(hexafluoroacetylacetonato)palladium), 및 이들의 조합들로 구성된 그룹으로부터 선택된다. 일부 실시 예들에서, 방법은 원자 층 증착 및/또는 화학적 기상 증착을 통해 금속 옥사이드 배리어 층 상에 라이너를 형성하는 단계를 더 포함할 수도 있고, 라이너는 금속 시드 층 또는 금속 나이트라이드 시드 층 전구체의 형성 전에 형성된다. 이들 또는 다른 실시 예들에서, 방법은 금속 나이트라이드 시드 층 전구체를 금속 시드 층으로 변환하도록 기판을 어닐링 프로세스 또는 플라즈마 처리 프로세스에 노출시키는 단계를 더 포함할 수도 있다. 어닐링 프로세스 또는 플라즈마 처리 프로세스는 구리 나이트라이드 시드 층 전구체를 구리 시드 층으로 변환할 수도 있다.
다양한 실시 예들에서, 단계 (c) 에서 금속 옥사이드 배리어 층을 형성하는 단계는 (i) 원소 금속, 금속 카바이드, 및 금속 나이트라이드로 구성된 그룹으로부터 선택된 적어도 하나의 재료를 포함하는 배리어 층 전구체를 형성하는 단계로서, 배리어 층 전구체는 원자 층 증착 및/또는 화학적 기상 증착을 통해 형성되는, 배리어 층 전구체를 형성하는 단계, 및 (ii) 배리어 층 전구체를 금속 옥사이드 배리어 층으로 변환하도록 배리어 층 전구체를 산소-함유 대기에 노출시키는 단계를 포함한다.
개시된 실시 예들의 다른 양태에서, 기판 상에 상호 연결 구조체 또는 상호 연결 구조체의 일부를 형성하기 위한 시스템이 제공되고, 시스템은: (a) 하나 이상의 프로세싱 챔버들; 및 (b) 적어도 하나의 프로세서 및 메모리를 갖는 제어기를 포함하고, 적어도 하나의 프로세서 및 메모리는 서로 통신 가능하게 연결되고, 메모리는 적어도 하나의 프로세서로 하여금 본 명세서에 기술된 방법들 중 어느 하나를 유발하도록 적어도 하나의 프로세서를 제어하기 위한 컴퓨터-실행 가능 인스트럭션들을 저장한다.
개시된 실시 예들의 추가 양태에서, 기판들을 프로세싱하기 위한 시스템이 제공되고, 시스템은: 제 1 프로세싱 챔버; 및 적어도 하나의 프로세서 및 메모리를 갖는 제어기를 포함하고, 적어도 하나의 프로세서 및 메모리는 서로 통신 가능하게 연결되고, 그리고 메모리는 적어도 하나의 프로세서로 하여금, 제 1 프로세싱 챔버 내에 기판을 수용하는 단계로서, 기판은 유전체 재료 내에 형성된 리세스된 피처들을 갖는 유전체 재료를 포함하고, 상호 연결 구조체는 리세스된 피처들 내에 형성되고,기 유전체 재료는 리세스된 피처들 내에 노출되는, 기판을 수용하는 단계, 유전체 재료의 상단 표면을 개질하도록 기판을 제 1 프로세싱 챔버 내의 플라즈마에 노출시키는 단계, 및 단계 (ii) 후에, 유전체 재료의 개질된 상단 표면 상에 금속 옥사이드 배리어 층을 형성하는 단계로서, 금속 옥사이드 배리어 층은 적어도 부분적으로, 원자 층 증착 및/또는 화학적 기상 증착을 통해 형성되는, 금속 옥사이드 배리어 층을 형성하는 단계를 유발하도록 제어하기 위한 컴퓨터-실행 가능 인스트럭션들을 저장한다.
일부 실시 예들에서, 시스템은 제 2 프로세싱 챔버를 더 포함할 수도 있고, 메모리는 적어도 하나의 프로세서로 하여금, 기판을 제 1 프로세싱 챔버로부터 제 2 프로세싱 챔버로 이송하는 단계, 및 기판이기 제 2 프로세싱 챔버 내에 포지셔닝되는 동안 원자 층 증착 및/또는 화학적 기상 증착을 통해 금속 옥사이드 배리어 층 상에 금속 시드 층 또는 금속 나이트라이드 시드 층 전구체를 형성하는 단계를 유발하도록 제어하기 위한 컴퓨터-실행 가능 인스트럭션들을 저장한다. 일부 실시 예들에서, 메모리는 적어도 하나의 프로세서로 하여금, 금속 시드 층 또는 금속 나이트라이드 시드 층 전구체를 형성하기 전에, 제 2 프로세싱 챔버 내에서 기판을 환원 가스 또는 환원 플라즈마에 노출시켜, 금속 옥사이드 배리어 층의 상부 표면을 환원시키고 인 시츄 금속 라이너를 형성하는 단계를 유발하도록 제어하기 위한 컴퓨터-실행 가능 인스트럭션들을 저장하고, 금속 시드 층 또는 금속 나이트라이드 시드 층 전구체는 인 시츄 금속 라이너 상부에 형성된다. 특정한 구현 예들에서, 기판은 기판을 환원 가스 또는 환원 플라즈마에 노출하는 단계와 금속 시드 층 또는 금속 나이트라이드 시드 층 전구체를 형성하는 단계 사이에 대기에 노출되지 않는다. 일부 구현 예들에서, 메모리는 적어도 하나의 프로세서로 하여금 기판을 어닐링 프로세스 또는 플라즈마 처리 프로세스에 노출하여 금속 나이트라이드 시드 층 전구체를 금속 시드 층으로 변환하는 단계를 유발하도록 제어하기 위한 컴퓨터-실행 가능 인스트럭션들을 저장할 수도 있다.
제 1 프로세싱 챔버 및 제 2 프로세싱 챔버는 부가적인 기능들을 수행하도록 더 구성될 수도 있다. 예를 들어, 일부 실시 예들에서, 제 1 프로세싱 챔버 및 제 2 프로세싱 챔버 중 적어도 하나는 금속 옥사이드 배리어 층 상에 라이너를 증착하도록 구성되고, 라이너는 원자 층 증착 및/또는 화학적 기상 증착을 통해 증착된다.
일부 실시 예들에서, 제 1 프로세싱 챔버는 제 1 장치 상에 포지셔닝되고 제 2 프로세싱 챔버는 제 2 장치 상에 포지셔닝된다. 이들 또는 다른 실시 예들에서, 시스템은 제 3 프로세싱 챔버를 더 포함할 수도 있고, 메모리는 적어도 하나의 프로세서로 하여금 기판을 제 2 프로세싱 챔버로부터 제 3 프로세싱 챔버로 이송하는 단계 및 기판이 제 3 프로세싱 챔버 내에 있는 동안 금속 시드 층 상으로 금속을 전기 도금하는 단계를 유발하도록 제어하기 위한 컴퓨터 실행 가능 인스트럭션들을 저장한다. 일부 실시 예들에서, 제 2 프로세싱 챔버 및 제 3 프로세싱 챔버는 각각 제 2 장치의 일부이다. 일부 이러한 실시 예들에서, 메모리는 적어도 하나의 프로세서로 하여금, 기판을 대기에 노출시키지 않고 제 2 프로세싱 챔버로부터 제 3 프로세싱 챔버로 기판을 이송하는 단계를 유발하도록 제어하기 위한 컴퓨터-실행 가능 인스트럭션들을 저장할 수도 있다. 특정한 실시 예들에서, 메모리는 적어도 하나의 프로세서로 하여금, 제 1 프로세싱 챔버로부터 제 2 프로세싱 챔버로 기판을 이송하는 동안 기판을 대기에 노출시키는 단계를 유발하도록 제어하기 위한 컴퓨터-실행 가능 인스트럭션들을 저장할 수도 있다.
다양한 실시 예들에서, 메모리는 적어도 하나의 프로세서로 하여금, (i) 금속, 금속 카바이드, 및 금속 나이트라이드로 구성된 그룹으로부터 선택된 적어도 하나의 재료를 포함하는 배리어 층 전구체를 형성하는 단계로서, 배리어 층 전구체는 원자 층 증착 및/또는 화학적 기상 증착을 통해 형성되는, 배리어 층 전구체를 형성하는 단계, 및 (ii) 배리어 층 전구체를 금속 옥사이드 배리어 층으로 변환하도록 배리어 층 전구체를 산소-함유 대기에 노출시키는 단계에 의해 금속 옥사이드 배리어 층을 형성하는 단계를 유발하도록 제어하기 위한 컴퓨터-실행 가능 인스트럭션들을 저장한다.
이들 및 다른 양태들은 도면들을 참조하여 이하에 더 기술된다.
도 1은 다양한 실시 예들에 따른 상호 연결 구조체를 도시한다.
도 2는 노출된 유전체를 개질하고 개선된 접착을 촉진하기 위해 플라즈마로 기판을 전처리하는 방법을 기술하는 플로우 차트이다.
도 3은 화학적 기상 증착을 사용하여 재료를 증착하는 방법을 기술하는 플로우 차트이다.
도 4는 원자 층 증착을 사용하여 재료를 증착하는 방법을 기술하는 플로우 차트이다.
도 5는 다양한 확산 배리어 층들의 유효성을 조사하는 실험 결과들을 도시하는 그래프이다.
도 6은 금속 옥사이드 배리어 층의 상부 표면을 금속으로 환원시키기 위해 환원 가스 또는 환원 플라즈마를 사용하여 기판을 프로세싱하는 방법을 기술하는 플로우 차트이다.
도 7은 금속 옥사이드 배리어 층 및 시드 층을 증착하는 방법을 기술하는 플로우 차트이다.
도 8은 금속 나이트라이드 시드 층 전구체를 증착하고 이를 금속 시드 층으로 변환하는 방법을 기술하는 플로우 차트이다.
도 9는 원자 층 증착 및/또는 화학적 기상 증착을 수행하도록 구성된 프로세싱 스테이션을 예시한다.
도 10은 원자 층 증착 및/또는 화학적 기상 증착을 수행하도록 구성된 멀티-스테이션 프로세싱 장치를 도시한다.
도 11은 본 명세서에 기술된 원자 층 증착, 화학적 기상 증착, 및/또는 다양한 다른 프로세싱 동작들을 수행하도록 구성된 프로세싱 시스템을 도시한다.
도 12는 전착을 수행하도록 구성된 프로세싱 용기를 예시한다.
도 13은 본 명세서에 기술된 전기 도금 및 다양한 다른 프로세싱 동작들을 수행하도록 구성될 수도 있는 전착 장치를 도시한다.
도 14는 본 명세서에 기술된 전기 도금 및 다양한 다른 프로세싱 동작들을 수행하도록 구성될 수도 있는 또 다른 전착 장치를 도시한다.
이하의 기술 (description) 에서, 제시된 실시 예들의 완전한 이해를 제공하기 위해 수많은 구체적 상세들이 제시되었다. 개시된 (disclose) 실시 예들은 이들 구체적인 상세들 중 일부 또는 전부 없이 실시될 수도 있다. 다른 예들에서, 공지된 프로세스 동작들은 개시된 실시 예들을 불필요하게 모호하게 하지 않기 위해 상세히 기술되지 않았다. 개시된 실시 예들이 구체적인 실시 예들과 함께 기술될 것이지만, 이는 개시된 실시 예들을 제한하는 것으로 의도되지 않았다는 것이 이해될 것이다.
후공정 (back-end-of-line; BEOL) 반도체 제조에 수반된 프로세스들 중 하나는 2 개 이상의 회로 엘리먼트들을 함께 전기적으로 접속하는 상호 연결부들의 형성이다. 도 1은 상부에 상호 연결 구조체가 형성된 기판 (101) 의 일 예를 예시한다. 기판 (101) 은 리세스된 피처를 형성하도록 에칭된 유전체 재료 (103) 를 상부에 갖는다. 리세스된 피처 내에서, 배리어 층 (105) 이 유전체 재료 (103) 상에 존재한다. 선택 가능한 (optional) 라이너 (107) 가 배리어 층 (105) 상에 존재할 수도 있다. 전도성 금속 (109) 은 선택 가능한 라이너 (107) 또는 배리어 층 (105) 상에 존재한다. 전도성 금속 (109) 은 상호 연결부로서 기능한다.
일반적으로 말하면, 도 1에 도시된 상호 연결 구조체를 형성하기 위해 (예를 들어, BEOL 상호 연결부들을 금속화하기 위해) 널리 사용되는 산업 표준이 존재한다. 이 산업 표준은 제작 직후 그리고 시간이 흐름에 따라 모두 적은 결함들을 발생시키는 것으로 입증된 프로세스들에 의해 제작된 재료들의 특정한 스택을 활용한다. 그러나, 디바이스 치수들이 계속해서 축소됨에 따라, 산업 표준 스택은 이용 가능한 상호 연결부 볼륨을 너무 많이 차지하기 시작하고, 너무 높은 라인 저항들을 발생시킨다. 그러나, 다양한 제약들로 인해, 산업 표준 스택을 수정하는 것이 어려울 수 있다.
예를 들어, 유전체 재료 (103) 는 회로의 RC 지연을 최소화하기 위해 저 유전율 및 연관된 저 유전 상수 (κ) 를 가져야 한다. 본 명세서에 사용된 바와 같이, 약 3.7 이하의 유전 상수를 갖는 재료는 로우-k 재료로 간주된다. 유전체 재료 (103) 는 또한 관련된 회로를 형성하기 위해 용이하게 에칭되어야 하고, 에칭 후 패턴 붕괴를 견디기에 충분한 기계적 강도를 가져야 한다.
배리어 층 (105) 은 유전체 재료 (103) 내로 전도성 금속 (109) 의 확산을 최소화하거나 제거하도록 제공된다. 배리어 층 (105) 없이, 전도성 금속 (109) 은 통상적으로 유전체 재료 (103) 내로 확산할 것이고, 이는 유전 상수의 감소를 발생시키고 결국 상호 연결부들의 단락을 발생시킨다. 그러므로 배리어 층 (105) 은 전도성 금속 (109) 의 확산을 방지하는 데 효과적이어야 한다. 또한, 배리어 층은 유전체 재료 (103) 및 선택 가능한 라이너 (107) 또는 전도성 금속 (109) 자체 (또는 전도성 금속 (109) 을 형성하도록 사용된 시드 층) 에 대한 우수한 접착력을 가져야 한다. 배리어 층 (105) 은 가능한 한 박형이고 전도성이 있는 것이 바람직하다. 배리어 층 (105) 을 형성하도록 사용된 프로세스는 유전체 재료 (103) 상에서 우수한 핵 생성 (nucleation) 을 나타내야 하고, 금속 확산을 방지하는 배리어 층의 능력을 손상시킬 결함이 거의 없거나 전혀 없는 연속적인 막을 생성해야 하고, 좁은 피처들의 핀치 오프 (pinch off) 를 방지하기 위해 거의 컨포멀한 (conformal) 단차 커버리지를 생성해야 한다. 상업적 프로세싱을 위해, 이들 제약들을 충족시킬 수 있는 배리어 재료들은 거의 없다. 일부 경우들에서, 탄탈룸/탄탈룸 나이트라이드 스택들은 배리어 층 (105) 으로서 사용된다. 일부 제한된 경우들에서, 티타늄/티타늄 나이트라이드 스택들은 배리어 층 (105) 으로서 사용된다. 물리적 기상 증착 (physical vapor deposition; PVD) 또는 반응성 스퍼터링은 일반적으로 배리어 층 (105) 을 형성하기 위해 이들 재료들을 증착하도록 사용된다.
선택 가능한 라이너 (107) 는 배리어 층 (105) 과 전도성 금속 (109) 사이에 제공될 수도 있다. 존재한다면, 라이너 (107) 는 배리어 층 (105) 의 단점을 완화시킬 수도 있다. 예를 들어, 배리어 층 (105) 이 전도성 금속 (109) 에 대한 충분한 접착을 갖지 않는다면, 라이너 (107) 는 관련 층들 사이의 (예를 들어, 배리어 층 (105) 과 라이너 (107) 사이의, 그리고 라이너 (107) 와 전도성 금속 (109) 사이의) 적절한 접착을 보장하도록 제공될 수도 있다. 일부 경우들에서, 예를 들어 탄탈룸 나이트라이드 배리어 층과 구리 사이에 코발트 라이너가 사용된다.
시드 층 (도 1에 미도시) 이 배리어 층 (105) 상에 또는 일부 경우들에서 선택 가능한 라이너 (107) 상에 제공될 수도 있다. 시드 층은 전도성이고 벌크 전도성 금속 (109) 과 동일한 재료 또는 상이한 재료로 이루어질 수도 있다. 시드 층은 벌크 전도성 금속 (109) 의 후속 증착을 가능하게 한다. 일부 경우들에서, 스택의 또 다른 층 (예를 들어, 배리어 층 (105) 또는 선택 가능한 라이너 (107)) 은 추가적인 시드 층이 필요하지 않도록 충분히 전도성이다. 종래의 프로세싱에서, 시드 층들은 통상적으로 물리적 기상 증착을 통해 형성된다.
전도성 금속 (109) 은 기판 (101) 상의 상이한 디바이스들을 전기적으로 접속하기 위한 상호 연결부로서 작용한다. 전도성 금속 (109) 은 통상적으로 상대적으로 저비용으로 우수한 충진 특성들을 제공하는 전착 (electrodeposition) 을 통해 형성된다. 전도성 금속 (109) 은 상기 언급된 다른 층들에 의해 점유되지 않은 리세스된 피처의 볼륨을 충진한다.
상기 기술된 스택 컴포넌트들 각각은 재료 특성들, 계면 특성들, 등에 관한 몇몇 제약들을 제시한다. 이와 같이, 스택 내의 임의의 개별 컴포넌트에 대한 변화는 스택의 나머지에 대해 상당한 문제들을 유발할 수 있다. 배리어 층과 관련하여, 금속들 및 금속 나이트라이드들이 일반적으로 사용되었다. 금속 옥사이드들은 BEOL 상호 연결 구조체들의 맥락에서 배리어 층들로서 역할을 하기 (serve) 에 부적합한 것으로 여겨졌다. 이들이 부적합하다고 여겨지는 이유 중 하나는 필요한 두께가 다른 재료들/접근법들에 비해 너무 높기 때문이다. 이들이 부적합하다고 여겨지는 또 다른 이유는 많은 금속 옥사이드들이 매우 전기적으로 저항성이라는 것이다. 배리어 층 재료들은 가능한 한 전도성이어야 하기 때문에, 고 저항성 금속 옥사이드들은 배리어 층 재료들에 대해 불량한 후보들이다. 또한, 금속 옥사이드들은 하부 유전체 재료들 상에서 상대적으로 불량한 핵 생성 및 접착을 나타낸다.
그러나, 본 발명자들은 특정한 금속 옥사이드들이 BEOL 상호 연결 구조들의 맥락에서 배리어 층 재료들로서 기능할 수 있다는 것을 발견하였다. 이러한 금속 옥사이드들은 상대적으로 전도성일 수도 있고, 원자 층 증착 (atomic layer deposition; ALD) 또는 화학적 기상 증착 (chemical vapor deposition; CVD) 을 사용하여 상당히 박층들에서 고품질로 증착될 수 있다. 이들 증착 프로세스들은, 예를 들어 유전체 재료와 배리어 층 사이의 개선된 핵 생성/접착을 촉진하기 위해, 유전체 재료의 표면을 컨디셔닝하기 위한 전처리들의 사용에 의해 인에이블될 수도 있다. 본 명세서의 다양한 실시 예들에서, 하나 이상의 부가적인 단계들이 상호 연결 구조체의 다른 층들 사이, 예를 들어, 금속 옥사이드 배리어 층과 라이너, 시드 층, 또는 전도성 금속과 같은 후속하여 증착된 층 사이, 및/또는 시드 층과 후속하여 증착된 전도성 금속 사이의 접착을 촉진하도록 취해질 수도 있다.
I. 유전체 재료에 대한 핵 생성 및 접착을 촉진하기 위한 플라즈마 전처리
상업적인 상호 연결부 형성의 맥락에서, 플라즈마가 노출된 유전체 재료를 신속하게 개질/손상시키기 때문에 로우-k 유전체 재료에 대한 직접적인 플라즈마 프로세싱의 사용이 방지되었다. 예를 들어, 유전체 재료는 유전체 재료의 유전 상수를 극적으로 감소시키는 탄소로 자주 도핑된다. 플라즈마에 대한 노출시, 이 탄소는 유전체 재료로부터 제거되고, 이에 따라 유전 상수의 바람직하지 않은 상승을 유발한다. 즉, 탄소 도핑에 의해 인에이블된 유전 상수의 급격한 감소는 플라즈마에 대한 유전체 재료의 노출시 손실된다.
그러나, 본 발명자들은 유전체에 대한 소량의 개질/손상이 용인 가능하고, 또한 이러한 손상이 후속하는 층의 증착 동안 고품질 핵 생성/접착을 촉진하는 측면에서 유리할 수 있다는 것을 발견하였다. 예를 들어, 플라즈마 전처리는 유전체의 표면을 거칠게 하도록 (roughen) 작용하여 유전체의 표면적을 증가시킨다. 이 증가된 표면적은 후속하여 증착된 층에 대한 접착을 개선한다. 또한, 플라즈마 전처리는 유전체 재료의 상단 표면만이 영향을 받도록 주의 깊게 제어될 수 있다. 이는 유전체 재료의 벌크 특성들이 대체로 영향을 받지 않는 것을 보장한다.
도 2는 노출된 유전체 재료 상의 핵 생성 및 접착을 촉진하기 위해 반도체 기판을 전처리하는 방법에 대한 플로우 차트를 제시한다. 방법은 기판이 반응 챔버 내에 수용되는 동작 (201) 으로 시작된다. 기판은 유전체 재료 내에 형성된 리세스된 피처들과 함께, 표면 상에 노출된 유전체 재료를 포함한다. 동작 (203) 에서, 기판은 플라즈마에 노출된다. 플라즈마는 노출된 유전체 재료의 상단 표면을 의도적으로 손상시킨다. 예를 들어, 플라즈마는 유전체 재료의 상단 표면으로부터 도펀트 (예를 들어, 탄소) 를 휘발시키거나 그렇지 않으면 제거하도록 작용할 수도 있다. 플라즈마는 또한 유전체 재료의 상단 표면을 거칠게 하도록 작용할 수도 있다. 동작 (203) 은 이하에 더 논의된 바와 같이, 금속 옥사이드 배리어 층의 증착이 이어질 수도 있다.
임의의 타입의 플라즈마가 동작 (203) 에서 사용될 수도 있다. 다양한 실시 예들에서, 플라즈마는 유도 결합 플라즈마, 용량 결합 플라즈마, 마이크로파 플라즈마 등일 수도 있다. 플라즈마는 기판으로부터 리모트로 (예를 들어, 기판으로부터 차폐된 플라즈마 생성 챔버 또는 영역에서) 생성될 수도 있고, 또는 기판이 플라즈마에 직접 노출되도록 기판이 제공되는 동일한 반응 챔버/영역에서 생성될 수도 있다.
다양한 실시 예들에서, 플라즈마 전처리는 플라즈마 손상이 유전체 재료의 상단 표면으로 제한되는 것을 보장하도록 상대적으로 짧고 그리고/또는 약할 (gentle) 수도 있다. 일반적으로, 보다 짧은 플라즈마 노출 지속 기간들은 상대적으로 보다 독한 (harsh) 플라즈마 조건들을 가능하게 한다. 다양한 실시 예들에서, 기판은 약 0 내지 60 초의 지속 기간 동안 플라즈마 전처리에 노출될 수도 있다. 일부 경우들에서, 지속 기간은 적어도 약 1 초, 적어도 약 5 초, 적어도 약 10 초, 적어도 약 20 초, 적어도 약 30 초, 또는 적어도 약 45 초이다. 이들 또는 다른 경우들에서, 지속 기간은 약 60 초 이하, 약 45 초 이하, 약 30 초 이하, 약 20 초 이하, 약 10 초 이하, 또는 약 5 초 이하일 수도 있다. 이들 최소 지속 기간 및 최대 지속 기간은 특정한 애플리케이션에 대해 목표된 바와 같이 결합될 수도 있다.
유전체 재료를 전처리하도록 사용된 플라즈마는 반응 물질들 및 다른 프로세싱 가스들과 관련된 섹션에서 이하에 더 논의된 바와 같이, 다수의 가능한 종들로부터 생성될 수도 있다.
유전체 재료를 전처리하도록 사용된 플라즈마는 광범위한 조건들의 세트 하에서 생성될 수도 있다. 일반적으로, 조건들은 유전체의 상단 표면만이 영향을 받도록 설계된다. 상기 언급된 바와 같이, 상대적으로 보다 독한 플라즈마 조건들은 상대적으로 보다 짧은 노출 지속 기간들과 결합될 수도 있는 한편, 상대적으로 보다 약한 플라즈마 조건들은 상대적으로 보다 긴 노출 지속 기간들과 결합될 수도 있다. 다양한 실시 예들에서, 플라즈마는 약 0.2 내지 3 ㎾의 RF 전력으로 생성될 수도 있다. 이는 단일 300 ㎜ 기판을 프로세싱하도록 제공된 전력을 지칭하고, 이에 따라 스케일링될 수 있다. 플라즈마는 하나 이상의 주파수들, 예를 들어 13.56 ㎑ 및/또는 100 ㎑에서 생성될 수도 있다. 플라즈마는 약 100 % 내지 1 %의 듀티 사이클을 가질 수도 있다. 반응 챔버 내 압력은 약 10-8 Torr 내지 760 Torr로 유지될 수도 있다. 기판이 포지셔닝되는 지지부는 약 0 내지 400 ℃의 온도로 유지될 수도 있다.
상대적으로 짧고/독한 플라즈마 전처리가 사용되는 일 예에서, 플라즈마는 약 0.6 내지 3 ㎾의 RF 전력으로 생성될 수도 있고 약 1 내지 20 초의 지속 기간 동안 기판에 노출될 수도 있다. 상대적으로 길고/약한 플라즈마 전처리가 사용되는 또 다른 예에서, 플라즈마는 약 0.2 내지 0.6 ㎾의 RF 전력으로 생성될 수도 있고 약 10 내지 60 초의 지속 기간 동안 기판에 노출될 수도 있다.
플라즈마 전처리가 유전체 재료의 상단 표면에만 영향을 주도록 설계되기 때문에, 유전체 재료의 벌크 특성들은 대체로 영향을 받지 않는다. 다양한 실시 예들에서, 플라즈마 전처리는 유전체 재료의 상부 1 ㎚에만 영향을 준다. 예를 들어, 플라즈마 전처리 동안, 탄소 (또는 다른 도펀트) 는 유전체 재료의 이 상부 영역에서만 제거될 수도 있다. 플라즈마 전처리 후, 벌크 유전체 재료는 여전히 약 3.7 이하의 유전 상수를 가질 수도 있다.
유전체 재료 상의 플라즈마 전처리는 전처리된 유전체 재료와 후속하여 증착된 금속 옥사이드 배리어 층 재료 사이의 접착을 상당히 개선한다.
II. 금속 옥사이드 배리어 층의 증착
금속 옥사이드들은 상기 논의된 이유들로 인해 BEOL 상호 연결 구조체들의 맥락에서 배리어 층들로서 상업적으로 사용되지 않았다. 그러나, 본 발명자들은 특정한 전도성 금속 옥사이드들이 이 맥락에서 배리어 층들로서 기능할 수 있다는 것을 발견하였다. 이러한 금속 옥사이드들은 예를 들어 금속 옥사이드들이 기판 프로세싱 및 이송 동작들 동안 대기로부터 보호될 필요가 없기 때문에, 금속들 및 금속 나이트라이드들과 같은 종래의 배리어 층 재료들에 비해 이점들을 제시할 수도 있다. 노출된 금속들 및 금속 나이트라이드들이 대기 중에서 산소와 반응할 수도 있고, 이에 따라 기판 표면을 변화시킬 수도 있지만, 금속 옥사이드가 이미 산화되었기 때문에 금속 옥사이드가 대기에 노출될 때 이러한 변화들이 발생하지 않는다. 이와 같이, 금속 옥사이드 배리어 층들의 사용은 (예를 들어, 배리어 층을 증착하도록 사용된 장치와 라이너, 시드 층, 또는 전도성 금속과 같은 후속 층을 증착하기 위해 사용된 장치 사이) 기판 이송 동작들 및 이러한 이송을 수행하기 위해 필요한 장비를 단순화할 수도 있다.
종래의 배리어 층들은 보통 물리적 기상 증착을 통해 증착된다. 불행히도, 종래의 물리적 기상 증착 프로세스들은 특히 컨포멀하지 않고, 차단, 핀치 그렇지 않으면 특정한 피처들에서 불량한 충진을 유발할 수 있다. 대조적으로, 본 명세서에 기술된 배리어 층들은 ALD (atomic layer deposition) 및/또는 CVD (chemical vapor deposition) 를 통해 형성된다. 달리 언급되지 않는 한, 본 명세서에 사용된 용어 ALD 및 CVD는 열적 프로세스들 및 플라즈마-기반 프로세스들 모두를 포괄하도록 의도된다. ALD 프로세스 및 CVD 프로세스는 매우 박형의 컨포멀한 고품질 막들을 생성하도록 제어될 수 있다. 배리어 층을 증착하기 위한 ALD 및 CVD의 사용은 상당한 개선이다.
이전에, ALD 및 CVD는 로우-k 유전체 상에 재료 (예를 들어, 배리어 층 재료) 를 증착할 때 방지되었다. 이러한 프로세스들은 통상적으로 유전체 재료들 상에서 불량한 핵 생성을 나타내고, 결과적으로 유전체 재료와 후속하여 증착된 배리어 층 사이에 불량한 접착이 있기 때문에 널리 사용되지 않았다. 그러나, (예를 들어, 유전체 재료의 상부 표면을 의도적으로 손상시키도록 플라즈마로 유전체 재료를 전처리하는) 상기 기술된 전처리 단계는 배리어 층이 증착될 때 유전체 재료 상의 핵 생성을 실질적으로 개선한다. 핵 생성의 이러한 개선은 유전체 재료와 배리어 층 사이의 상당히 개선된 접착을 발생시킨다.
도 3은 CVD를 사용하여 재료를 증착하는 방법을 기술하는 플로우 차트를 제시한다. 도 3은 도 2의 방법 후 (예를 들어, 기판 상의 유전체 재료가 플라즈마에 대한 노출을 통해 전처리된 후) 에 시작될 수도 있다. 도 3의 방법은 기판이 반응 챔버 내에 수용되는 동작 (301) 으로 시작된다. CVD 프로세스가 금속 옥사이드 배리어 층을 증착하도록 사용되는 경우, 동작 (301) 에서 수용된 기판은 유전체 재료 내에 형성된 리세스된 피처들과 함께 표면 상에 노출된 유전체 재료를 포함한다. 유전체 재료의 상부 표면은 예를 들어 상기 기술된 플라즈마 전처리 프로세스에 대한 노출의 결과로서 의도적으로 손상될 수도 있다. 동작 (303) 에서, 기판은 제 1 반응 물질 및 제 2 반응 물질에 동시에 노출된다. 가스상 (gas phase) 반응이 제 1 반응 물질과 제 2 반응 물질 사이에서 구동되고, 이는 기판 표면 상에 재료를 증착한다. CVD 프로세스가 금속 옥사이드 배리어 층을 증착하도록 사용되는 경우, 제 1 반응 물질은 금속-함유 반응 물질일 수도 있고 제 2 반응 물질은 산소-함유 반응 물질일 수도 있다. 예시적인 반응 물질들은 이하의 반응 물질들 및 다른 프로세싱 가스들과 관련된 섹션에서 더 논의된다. 반응을 구동하기 위해 사용된 에너지가 열적이면, 프로세스는 열적 CVD로 지칭된다. 대조적으로, 반응을 구동하기 위해 사용된 에너지가 플라즈마 에너지인 경우, 프로세스는 플라즈마 강화된 CVD (plasma enhanced CVD) 로 지칭된다. 임의의 타입의 플라즈마가 금속 옥사이드 배리어 층을 증착하도록 사용될 수도 있다.
열적 CVD를 통해 금속 옥사이드 배리어 층을 형성하기 위한 예시적인 프로세싱 조건들은 다음과 같을 수도 있다. 기판이 포지셔닝되는 지지부는 약 50 내지 400 ℃의 온도로 제어될 수도 있다. 반응 챔버 내 압력은 약 10-8 Torr 내지 760 Torr로 유지될 수도 있다. 금속-함유 반응 물질은 약 0.1 내지 1000 sccm의 레이트로 흐를 수도 있다. 산소-함유 반응 물질은 약 0.1 내지 1000 sccm의 레이트로 흐를 수도 있다. 반응은 약 1 초 내지 5 분의 지속 기간 동안 발생할 수도 있다. 금속 옥사이드 배리어 층에 대한 예시적인 두께들은 이하에 더 논의되지만, 일반적으로 약 0.25 내지 2 ㎚의 범위일 수도 있다.
플라즈마 강화된 CVD를 통해 금속 옥사이드 배리어 층을 형성하기 위한 예시적인 프로세싱 조건들은 다음과 같을 수도 있다. 기판이 포지셔닝되는 지지부는 약 0 내지 400 ℃의 온도로 제어될 수도 있다. 반응 챔버 내 압력은 약 10-8 Torr 내지 760 Torr로 유지될 수도 있다. 금속-함유 반응 물질은 약 0.1 내지 1000 sccm의 레이트로 흐를 수도 있다. 산소-함유 반응 물질은 약 0.1 내지 1000 sccm의 레이트로 흐를 수도 있다. 반응은 약 1 초 내지 5 분의 지속 기간 동안 발생할 수도 있다. 플라즈마는 임의의 종류의 플라즈마일 수도 있다. 플라즈마는 약 0.2 내지 3 ㎾의 RF 전력으로 생성될 수도 있다. 플라즈마는 하나 이상의 주파수들, 예를 들어 13.56 ㎑ 및/또는 100 ㎑에서 생성될 수도 있다. 플라즈마는 약 100 % 내지 1 %의 듀티 사이클을 가질 수도 있다. 금속 옥사이드 배리어 층에 대한 예시적인 두께들은 이하에 더 논의되지만, 일반적으로 약 0.25 내지 2 ㎚의 범위일 수도 있다.
도 4는 ALD를 사용하여 재료를 증착하는 방법을 기술하는 플로우 차트를 제시한다. 방법은 기판이 반응 챔버 내에 수용되는 동작 (401) 에서 시작된다. 기판은 도 3과 관련하여 기술된 바와 같을 수도 있다. 동작 (403) 에서, 기판은 제 1 반응 물질에 노출된다. 제 1 반응 물질은 기판의 표면 상에 흡착된다. 다음에, 동작 (405) 에서, 반응 챔버는 결합되지 않은 (unbound) 제 1 반응 물질을 제거하기 위해 선택 가능하게 퍼지되고 그리고/또는 배기된다. 동작 (407) 에서, 기판은 제 2 반응 물질에 노출된다. 제 2 반응 물질은 기판의 표면 상에 흡착되게 될 수도 있다. ALD 기법이 금속 옥사이드 배리어 층을 증착하도록 사용되는 경우들에서, 제 1 반응 물질은 금속-함유 반응 물질일 수도 있고 제 2 반응 물질은 산소-함유 반응 물질일 수도 있다. 예시적인 반응 물질들은 이하에 더 논의된다. 동작 (409) 에서, 표면 반응이 제 1 반응 물질과 제 2 반응 물질 사이에서 구동된다. 반응은 열 에너지 (예를 들어, 열적 ALD) 또는 플라즈마 에너지 (예를 들어, 플라즈마 강화된 ALD) 에 의해 구동될 수도 있다. 동작 (411) 에서, 반응 챔버는 선택 가능하게 퍼지되고 그리고/또는 배기된다. 다음에, 동작 (413) 에서, 막이 충분히 두꺼운지 여부가 결정된다. ALD가 층 단위 순환적 기준 (layer-by-layer cyclic basis) 으로 막 두께를 구축하기 때문에, 많은 사이클들이 통상적으로 목표된 막 두께에 도달하도록 사용된다. 막이 아직 충분히 두껍지 않다고 결정될 때, 방법은 동작 (403) 에서 시작하여 반복된다. 막이 충분한 두께에 도달했다고 결정될 때, 방법은 기판이 반응 챔버로부터 제거될 수도 있는 동작 (415) 으로 계속된다. 대안적으로, 기판은 동작 (415) 에서 반응 챔버 내에서 추가 프로세싱을 겪을 수도 있다. ALD 증착은 일단 동작 (413) 에서 막이 충분한 두께에 도달했다고 결정되면 본질적으로 완료된다는 것이 이해된다.
일부 경우들에서, 도 4에 도시된 동작들 중 2 개 이상. 3은 시간상 오버랩할 수도 있다. 예를 들어, 동작 (407) 및 동작 (409) 은 시간상 오버랩할 수도 있다. 또한, 동작 (411) 에 기술된 퍼지는 상이한 시간에, 예를 들어 동작 (407) 과 동작 (409) 사이, 또는 동작 (409) 동안 발생할 수도 있다.
열적 ALD를 통해 금속 옥사이드 배리어 층을 형성하기 위한 예시적인 프로세싱 조건들은 다음과 같을 수도 있다. 기판이 포지셔닝되는 지지부는 약 50 내지 400 ℃의 온도로 제어될 수도 있다. 반응 챔버 내 압력은 약 10-8 Torr 내지 760 Torr로 유지될 수도 있다. 금속-함유 반응 물질은 약 1 내지 60 초의 지속 기간 동안 약 0.1 내지 1000 sccm의 레이트로 흐를 수도 있다. 퍼지 가스는 약 1 내지 60 초의 지속 기간 동안 약 0.1 내지 1000 sccm의 레이트로 흐를 수도 있다. 산소-함유 반응 물질은 약 1 내지 60 초의 지속 기간 동안 약 0.1 내지 1000 sccm의 레이트로 흐를 수도 있다. 금속 옥사이드 배리어 층에 대한 예시적인 두께들은 이하에 더 논의되지만, 일반적으로 약 0.25 내지 2 ㎚의 범위일 수도 있다.
플라즈마 강화된 ALD를 통해 금속 옥사이드 배리어 층을 형성하기 위한 예시적인 프로세싱 조건들은 다음과 같을 수도 있다. 기판이 포지셔닝되는 지지부는 약 0 내지 400 ℃의 온도로 제어될 수도 있다. 반응 챔버 내 압력은 약 10-8 Torr 내지 760 Torr로 유지될 수도 있다. 금속-함유 반응 물질은 약 1 내지 60 초의 지속 기간 동안 약 0.1 내지 1000 sccm의 레이트로 흐를 수도 있다. 퍼지 가스는 약 1 내지 60 초의 지속 기간 동안 약 0.1 내지 1000 sccm의 레이트로 흐를 수도 있다. 산소-함유 반응 물질은 약 1 내지 60 초의 지속 기간 동안 약 0.1 내지 1000 sccm의 레이트로 흐를 수도 있다. 기판은 약 1 내지 60 초의 지속 기간 동안 플라즈마에 노출될 수도 있다. 플라즈마는 임의의 종류의 플라즈마일 수도 있다. 플라즈마는 약 0.2 내지 3 ㎾의 RF 전력으로 생성될 수도 있다. 플라즈마는 하나 이상의 주파수들, 예를 들어 13.56 ㎑ 및/또는 100 ㎑에서 생성될 수도 있다. 플라즈마는 약 100 % 내지 1 %의 듀티 사이클을 가질 수도 있다. 금속 옥사이드 배리어 층에 대한 예시적인 두께들은 이하에 더 논의되지만, 일반적으로 약 0.25 내지 2 ㎚의 범위일 수도 있다.
도 3 및 도 4에 기술된 방법들은 금속 옥사이드 배리어 층을 형성하도록 사용될 수도 있다. 일부 경우들에서, 도 3 및 도 4에 기술된 방법들은 이하에 더 논의된 바와 같이, 예를 들어, 시드 층 또는 시드 층 전구체와 같은, 상호 연결 구조체 내에 부가적인 재료를 형성하도록 사용될 수도 있다.
일부 경우들에서, 배리어 층은 2 개 이상의 상이한 기법들을 통해 증착될 수도 있고, 배리어 층의 제 1 (예를 들어, 하부) 부분은 제 1 기법을 통해 증착되고, 배리어 층의 제 2 (예를 들어, 상부) 부분은 제 2 기법을 통해 증착된다. 제 1 기법 및 제 2 기법 각각은 열적 ALD, 플라즈마 강화된 ALD, 열적 CVD, 및 플라즈마 강화된 CVD로부터 독립적으로 선택될 수도 있다. 특정한 예에서, 배리어 층의 제 1 부분은 열적 ALD를 통해 증착되고 배리어 층의 제 2 부분은 플라즈마 강화된 ALD를 통해 증착된다. 또 다른 예에서, 배리어 층의 제 1 부분은 열적 CVD를 통해 증착되고, 배리어 층의 제 2 부분은 플라즈마 강화된 CVD를 통해 증착된다. 또 다른 예에서, 배리어 층의 제 1 부분은 열적 ALD를 통해 증착되고 배리어 층의 제 2 부분은 플라즈마 강화된 CVD를 통해 증착된다. 또 다른 예에서, 배리어 층의 제 1 부분은 열적 CVD를 통해 증착되고 배리어 층의 제 2 부분은 플라즈마 강화된 ALD를 통해 증착된다. 다른 조합들도 가능하다.
아래에 놓인 유전체 재료의 손상을 방지하기 위해 플라즈마 기법들보다는 열적 기법들을 사용하여 배리어 층의 제 1 부분을 증착하는 것이 특히 유리할 수도 있다. 유사하게, 기판 상의 열 부하를 최소화하고 고품질, 연속적인 배리어 층을 생성하기 위해, 열적 기법들보다는 플라즈마 강화된 기법들을 사용하여 배리어 층의 제 2 부분을 증착하는 것이 유리할 수도 있다. 배리어 층의 제 1 부분이 열적 기법들을 사용하여 증착되고 배리어 층의 제 2 부분이 플라즈마 강화된 기법들을 사용하여 증착되는 경우, 열적으로 증착된 배리어 층의 제 1 부분은 배리어 층의 제 2 부분을 형성하기 위해 플라즈마 프로세싱 동안 손상으로부터 하부 유전체 재료를 보호하도록 작용한다.
일부 실시 예들에서, 배리어 층은 배리어 층 전구체를 증착하기 위해 사용되는 제 1 기법, 및 배리어 층 전구체를 개질하고 금속 옥사이드 배리어 층을 형성하기 위해 사용되는 제 2 기법을 사용하여, 2 개 이상의 상이한 기법들을 통해 증착될 수도 있다. 예를 들어, 배리어 층 전구체는 본 명세서에 기술된 임의의 ALD 기법 및/또는 CVD 기법을 통해 증착될 수도 있는, 금속, 금속 카바이드, 금속 나이트라이드, 등의 층일 수도 있다. 배리어 층 전구체가 증착된 후, 산소-함유 대기 및/또는 산소-함유 플라즈마에 대한 노출을 통해 개질될 수도 있다.
배리어 층에 대한 이상적인 두께는 상호 연결 구조체에 존재하는 재료들, 뿐만 아니라 이들 재료들이 겪는 (subject) 프로세싱 조건들에 종속될 것이다. 금속 옥사이드 배리어 층은 적어도 약 0.25 ㎚, 또는 적어도 약 0.5 ㎚의 두께를 가질 수도 있다. 이들 또는 다른 경우들에서, 금속 옥사이드 배리어 층은 약 2 ㎚ 이하, 또는 약 1 ㎚ 이하의 두께를 가질 수도 있다.
도 5는 상이한 두께들에서 상이한 배리어 층 재료들의 유효성을 보여주는 실험 결과들을 제시한다. 이 실험에서, 상이한 재료들 및 두께들의 다양한 배리어 층들이 베어 (bare) 실리콘 웨이퍼들 상에 증착되고, 구리 층이 배리어 층 각각의 상단 상에 증착된다. 실리콘 웨이퍼, 배리어 층, 및 구리 층은 스택을 형성한다. 스택 각각의 저항이 측정되고, 이어서 스택 각각은 BEOL 제조 단계들의 맥락에서 기판들을 프로세싱하도록 통상적으로 사용되는 어닐링 조건들을 겪는다. 이어서 스택 각각의 저항이 재 측정되었다. 도 5의 y-축은 어닐링 후 저항의 변화를 도시한다. 어닐링 후 저항이 어닐링 전 저항과 비교하여 보다 낮거나 변화되지 않는다면, 이는 배리어 층이 실리콘 웨이퍼 내로 구리 확산을 성공적으로 방지한다는 것을 나타낸다. 대조적으로, 어닐링 후 저항이 어닐링 전 저항보다 보다 크다면, 이는 구리가 배리어 층을 통해 확산되고 구리 실리사이드를 형성하도록 실리콘 기판과 반응한다는 것을 나타내고, 이는 배리어 층이 확산을 방지하는데 효과적이지 않다는 것을 의미한다.
다양한 타입의 배리어 층들이 도 5에 도시된 실험에서 조사되었다. 특히, 아연 옥사이드 및 주석 옥사이드는 매우 박형의 두께에서도 확산 배리어들로서 효과적이다. 예를 들어, 이들 조건들에서, 아연 옥사이드는 적어도 약 10 Å의 두께에서 효과적인 구리 확산 배리어이다. 유사하게, 이들 조건들에서, 주석 옥사이드는 적어도 약 8 Å의 두께에서 효과적인 구리 확산 배리어이다. 이들 재료들은 탄탈룸과 같은 전통적인 배리어 층 재료들의 두께보다 보다 작은 두께들에서 확산 배리어들로서 효과적이다. 상기 언급된 바와 같이, 배리어 층은 상호 연결 구조체 내에서 너무 많은 공간을 점유하지 않는다는 것을 보장하기 위해 최대 두께를 가질 수도 있다. 이하에 기술된 바와 같이 다른 금속 옥사이드들이 사용될 수도 있다. 도 1을 참조하면, 본 명세서에 기술된 다양한 금속 옥사이드들은 본 명세서에 기술된 두께들의 유전체 재료 (103) 내로 전도성 금속 (109) 의 확산을 방지하는데 효과적이라고 여겨진다.
III. 인 시츄 금속 라이너를 형성하기 위한 금속 옥사이드 배리어 층의 환원
특정한 실시 예들에서, 금속 옥사이드 배리어 층의 상부 표면은 라이너, 시드 층, 또는 전도성 금속과 같은 후속하여 증착된 층의 접착을 개선하기 위해 박형 금속 층을 형성하도록 환원될 수도 있다. 이 환원은 기판을 환원 가스 및/또는 환원 플라즈마에 노출시킴으로써 달성될 수도 있다. 환원 가스/플라즈마에 대한 노출은 금속 옥사이드 배리어 층의 상단에 금속 층을 생성한다. 금속 층은 또한 인 시츄 금속 라이너로 지칭되는 인 시츄 접착 층으로서 작용한다. 다양한 실시 예들에서, 형성되는 금속 층은 약 0.1 내지 2 ㎚의 두께를 가질 수도 있다.
도 6은 인 시츄 금속 접착 층을 형성하기 위해 금속 옥사이드 배리어 층의 상부 부분을 환원시키는 방법을 기술하는 플로우 차트를 예시한다. 방법은 기판이 반응 챔버 내에 수용되는 동작 (601) 에서 시작된다. 기판은 내부에 형성된 리세스된 피처들을 갖는 유전체 재료, 및 유전체 재료를 라이닝하는 노출된 금속 옥사이드 배리어 층을 포함할 수도 있다. 도 6의 방법은 도 3의 방법 후 및/또는 도 4의 방법 후에 발생할 수도 있다. 동작 (603) 에서, 기판은 환원 가스 또는 환원 플라즈마에 노출되어 금속 옥사이드 배리어 층의 상부 표면을 금속으로 환원시킨다. 금속 옥사이드와 비교하여, 금속은 후속하여 증착된 층에 개선된 접착을 제공한다.
일 예에서, 상호 연결 구조체의 전도성 금속 (예를 들어, 도 1의 전도성 금속 (109)) 은 구리이고, 이는 많은 금속 옥사이드들에 대해 불량한 접착력을 갖지만, 많은 금속들에 대한 우수한 접착력을 갖는다. 금속 층은 자신의 아래에 놓인 금속 옥사이드뿐만 아니라 구리 (또는 다른 전도성 금속/다른 후속하여 증착된 층) 에 대해 우수한 접착력을 가질 것으로 예상된다. 도 1을 참조하면, 일부 예들에서, 배리어 층 (105) 의 상부 표면은 금속 옥사이드로부터 금속으로 환원되고, 라이너 (107) 는 전도성 금속 (109) (또는 사용된다면, 시드 층) 이 배리어 층 (105) 의 상부 표면 상의 금속 상에 직접 형성되도록 생략된다.
배리어 층의 상부 표면이 금속 옥사이드로부터 금속으로 환원되는 많은 경우들에서, 별도의 라이너 (예를 들어, 도 1의 라이너 (107)) 를 포함할 필요가 없다. 금속 층은 본질적으로 인 시츄 라이너 및 접착 층으로서 작용한다. 이 기법의 일 장점은 금속 옥사이드 배리어 층의 상부 표면에 형성되는 인 시츄 금속 라이너/접착 층이 종래에 형성된 라이너들보다 보다 박형일 수 있다는 것이다.
환원 가스 또는 환원 플라즈마에 사용될 수도 있는 예시적인 종들은 반응 물질들 및 다른 프로세싱 가스들과 관련된 섹션에서 이하에 더 논의된다.
환원 가스 또는 플라즈마에 대한 노출을 통해 금속 옥사이드의 상부 표면을 환원시키기 위한 예시적인 프로세싱 조건들은 다음과 같을 수도 있다. 기판이 포지셔닝되는 지지부는 약 0 내지 400 ℃의 온도로 유지될 수도 있다. 반응 챔버 내 압력은 약 10-8 Torr 내지 760 Torr로 유지될 수도 있다. 환원 가스는 약 0.1 내지 1000 sccm의 레이트로 제공될 수도 있다. 기판은 약 1 내지 60 초의 지속 기간 동안 환원 가스 또는 플라즈마에 노출될 수도 있다. 플라즈마가 사용되면, 약 0.2 내지 3 ㎾의 RF 전력으로 생성될 수도 있다. 플라즈마는 하나 이상의 주파수들, 예를 들어 13.56 ㎑ 및/또는 100 ㎑에서 생성될 수도 있다. 플라즈마의 듀티 사이클은 약 100 % 내지 1 %일 수도 있다. 임의의 타입의 플라즈마 (예를 들어, 유도 커플링 플라즈마, 용량 커플링 플라즈마, 마이크로파 플라즈마, 리모트 플라즈마, 직접 플라즈마, 등) 가 특정한 애플리케이션에 대해 목표된 바와 같이 사용될 수도 있다.
본 명세서의 일부 실시 예들에서, 금속 옥사이드 배리어 층은 어떠한 환원 동작도 겪지 않는다. 대신, 후속 층 (예를 들어, 라이너, 시드 층, 또는 전도성 금속) 이 금속 옥사이드 배리어 층 상에 바로 증착된다.
IV. 선택 가능한 라이너 및 시드 층의 증착
본 명세서의 실시 예들은 라이너 또는 시드 층의 증착을 위한 임의의 특정한 방법으로 제한되지 않는다. 일부 경우들에서, 라이너 및/또는 시드 층은 물리적 기상 증착과 같은 종래의 수단을 통해 증착된다. 다른 경우들에서, 라이너 및/또는 시드 층은 ALD 또는 CVD를 통해 증착될 수도 있고, 이들 중 어느 하나는 열 에너지 또는 플라즈마 에너지에 의해 구동될 수도 있다. 일부 경우들에서, 시드 층은 시드 층 전구체로서 증착될 수도 있고, 이어서 다음 섹션에서 논의된 바와 같이, 어닐링 또는 플라즈마 처리 프로세스를 통해 시드 층으로 변환된다.
ALD 및 CVD는 시드 층들을 증착하는 맥락에서 방지되었다. 통상적으로, 시드 층은 구리이고, 이들 방법들을 사용하여 평활하고 (smooth) 전도성 구리 막들을 생성하는데 어려움들로 인해 상업적으로 광범위하게 사용되는 ALD/CVD 구리 증착 프로세스들이 없다. 그러나, 본 명세서에 기술된 다양한 프로세싱 기법들은 라이너 및/또는 시드 층을 증착하기 위해 ALD 및 CVD의 사용을 인에이블할 수도 있다. 라이너 및/또는 시드 층은 이하에 더 기술된 바와 같이 구리 및/또는 또 다른 금속을 포함할 수도 있다.
도 7은 특정한 실시 예들에 따른 배리어 층 및 시드 층을 형성하는 방법을 기술하는 플로우 차트를 예시한다. 방법은 기판이 반응 챔버 내에 수용되는 동작 (701) 에서 시작된다. 기판은 내부에 형성된 리세스된 피처들을 갖는 유전체 재료를 포함한다. 동작 (703) 에서, 금속 옥사이드 배리어 층은 예를 들어 각각 도 3과 도 4와 관련하여 기술된 방법들을 사용하여 CVD 또는 ALD를 통해 증착된다. 다음에, 동작 (705) 에서, 기판은 선택 가능하게 환원 가스 및/또는 환원 플라즈마에 노출되어 인 시츄 금속 라이너를 형성하도록 금속 옥사이드 배리어 층의 상부 표면을 환원시킨다. 이 환원은 도 6과 관련하여 기술된 바와 같이 발생할 수도 있다. 금속 옥사이드로부터 금속으로의 환원은 후속하여 증착된 금속 시드 층의 접착을 개선할 수도 있다. 대안적으로 또는 부가적으로, 라이너는 예를 들어 각각 도 3과 도 4의 방법들을 사용하여 CVD 또는 ALD를 통해 금속 옥사이드 배리어 층 상에 증착될 수도 있다. 동작 (707) 에서, 금속 시드 층은 CVD 또는 ALD를 사용하여, 예를 들어 각각 도 3과 도 4와 관련하여 기술된 방법들을 사용하여 기판 상에 증착된다. 금속 시드 층은 금속 옥사이드 배리어 층 상에 또는 금속 옥사이드 배리어 층의 상단 표면 상에 선택 가능하게 형성된 라이너 상에 형성될 수도 있다. 예시적인 반응 물질들은 이하의 반응 물질들 및 다른 프로세싱 가스들과 관련된 섹션에서 더 논의된다.
열적 CVD를 통해 라이너 또는 금속 시드 층을 형성하기 위한 예시적인 프로세싱 조건들은 다음과 같을 수도 있다. 기판이 포지셔닝되는 지지부는 약 0 내지 400 ℃의 온도로 제어될 수도 있다. 반응 챔버 내 압력은 약 10-8 Torr 내지 760 Torr로 유지될 수도 있다. 금속-함유 반응 물질은 약 0.1 내지 1000 sccm의 레이트로 흐를 수도 있다. 제 2 반응 물질은 약 0.1 내지 1000 sccm의 레이트로 흐를 수도 있다. 반응은 약 10 초 내지 5 분의 지속 기간 동안 발생할 수도 있다. 라이너에 대한 예시적인 두께들은 약 1 내지 20 Å일 수도 있고, 금속 시드 층 또는 금속 나이트라이드 시드 층 전구체에 대한 예시적인 두께들은 약 5 내지 30 Å일 수도 있다.
플라즈마 강화된 CVD를 통해 라이너 또는 금속 시드 층을 형성하기 위한 예시적인 프로세싱 조건들은 다음과 같을 수도 있다. 기판이 포지셔닝되는 지지부는 약 0 내지 400 ℃의 온도로 제어될 수도 있다. 반응 챔버 내 압력은 약 10-8 Torr 내지 760 Torr로 유지될 수도 있다. 금속-함유 반응 물질은 약 0.1 내지 1000 sccm의 레이트로 흐를 수도 있다. 제 2 반응 물질은 약 0.1 내지 1000 sccm의 레이트로 흐를 수도 있다. 반응은 약 1 초 내지 5 분의 지속 기간 동안 발생할 수도 있다. 플라즈마는 임의의 종류의 플라즈마일 수도 있다. 플라즈마는 약 0.2 내지 3 ㎾의 RF 전력으로 생성될 수도 있다. 플라즈마는 하나 이상의 주파수들, 예를 들어 13.56 ㎑ 및/또는 100 ㎑에서 생성될 수도 있다. 플라즈마는 약 100 % 내지 1 %의 듀티 사이클을 가질 수도 있다. 예시적인 두께들이 상기에 제공되었다.
열적 ALD를 통해 라이너 또는 금속 시드 층을 형성하기 위한 예시적인 프로세싱 조건들은 다음과 같을 수도 있다. 기판이 포지셔닝되는 지지부는 약 50 내지 400 ℃의 온도로 제어될 수도 있다. 반응 챔버 내 압력은 약 10-8 Torr 내지 760 Torr로 유지될 수도 있다. 금속-함유 반응 물질은 약 1 내지 60 초의 지속 기간 동안 약 0.1 내지 1000 sccm의 레이트로 흐를 수도 있다. 퍼지 가스는 약 1 내지 60 초의 지속 기간 동안 약 0.1 내지 1000 sccm의 레이트로 흐를 수도 있다. 제 2 반응 물질은 약 1 내지 60 초의 지속 기간 동안 약 0.1 내지 1000 sccm의 레이트로 흐를 수도 있다. 예시적인 두께들이 상기에 제공되었다.
플라즈마 강화된 ALD를 통해 라이너 또는 금속 시드 층을 형성하기 위한 예시적인 프로세싱 조건들은 다음과 같을 수도 있다. 기판이 포지셔닝되는 지지부는 약 0 내지 400 ℃의 온도로 제어될 수도 있다. 반응 챔버 내 압력은 약 10-8 Torr 내지 760 Torr로 유지될 수도 있다. 금속-함유 반응 물질은 약 1 내지 60 초의 지속 기간 동안 약 0.1 내지 1000 sccm의 레이트로 흐를 수도 있다. 퍼지 가스는 약 1 내지 60 초의 지속 기간 동안 약 100 내지 1000 sccm의 레이트로 흐를 수도 있다. 제 2 반응 물질은 약 1 내지 60 초의 지속 기간 동안 약 0.1 내지 1000 sccm의 레이트로 흐를 수도 있다. 기판은 약 1 내지 60 초의 지속 기간 동안 플라즈마에 노출될 수도 있다. 플라즈마는 임의의 종류의 플라즈마일 수도 있다. 플라즈마는 약 0.2 내지 3 ㎾의 RF 전력으로 생성될 수도 있다. 플라즈마는 하나 이상의 주파수들, 예를 들어 13.56 ㎑ 및/또는 100 ㎑에서 생성될 수도 있다. 플라즈마는 약 100 % 내지 1 %의 듀티 사이클을 가질 수도 있다. 예시적인 두께들이 상기에 제공되었다.
V. 시드 층 전구체의 증착 및 시드 층으로의 변환
일부 실시 예들에서, 시드 층은 단계적으로 형성될 수도 있다. 예를 들어, 제 1 단계에서, CVD 또는 ALD는 금속 나이트라이드와 같은 시드 층 전구체를 형성하도록 사용될 수도 있다. CVD 또는 ALD는 특정한 애플리케이션에 대해 목표된 바와 같이, 열적 또는 플라즈마-기반일 수도 있다. 제 2 단계에서, 어닐링 또는 플라즈마 처리는 금속 나이트라이드 시드 층 전구체로부터 질소를 휘발하거나 그렇지 않으면 제거함으로써 금속 나이트라이드를 금속으로 변환하도록 사용될 수도 있다.
도 8은 특정한 실시 예들에 따른 시드 층을 형성하는 방법을 기술하는 플로우 차트를 예시한다. 방법은 기판이 반응 챔버 내에 수용되는 동작 (801) 에서 시작된다. 기판은 (도 6과 관련하여 기술된 바와 같이, 금속 옥사이드 배리어 층의 상부 표면을 환원시킴으로써 형성된 인 시츄 금속 라이너 또는 종래의 라이너일 수도 있는) 유전체 재료, 금속 옥사이드 배리어 층, 및 선택 가능한 라이너를 포함한다. 도 8의 방법은 도 6의 방법 후에, 또는 도 3의 방법 후에, 또는 도 4의 방법 후에, 도 7의 동작 (703) 또는 동작 (705) 후에 시작될 수도 있다. 동작 (803) 에서, 금속 나이트라이드 시드 층 전구체가 기판 상에 증착된다. 금속 나이트라이드 시드 층 전구체는 금속 옥사이드 배리어 층 상에 또는 선택 가능한 라이너 상에 형성될 수도 있다. 금속 나이트라이드 시드 층 전구체는 ALD 또는 CVD를 통해 증착될 수도 있고, 이들 중 어느 하나는 열 에너지 또는 플라즈마 에너지에 의해 구동될 수도 있다. CVD가 사용되는 경우, 도 3의 방법은 시드 층 전구체를 증착하도록 사용될 수도 있다. ALD가 사용되는 경우, 도 4의 방법은 시드 층 전구체를 증착하도록 사용될 수도 있다. 다음에, 동작 (805) 에서, 기판은 어닐링 프로세스 또는 플라즈마 처리 프로세스에 노출되어 금속 나이트라이드 시드 층 전구체 내의 질소를 휘발시키거나 그렇지 않으면 제거하여, 금속 나이트라이드 시드 층 전구체를 금속 시드 층으로 변환한다.
ALD 또는 CVD가 금속 나이트라이드 시드 층 전구체를 증착하도록 사용되는 경우, 제 1 반응 물질은 금속-함유 반응 물질일 수도 있고 제 2 반응 물질은 질소-함유 반응 물질일 수도 있다. 예시적인 반응 물질들은 반응 물질들 및 다른 프로세싱 가스들과 관련된 섹션에서 이하에 논의된다.
금속 나이트라이드 시드 층 전구체를 형성하기 위한 예시적인 프로세싱 조건들은 금속 시드 층을 형성하기 위해 상기 제공된 것과 동일할 수도 있다.
금속 나이트라이드 시드 층 전구체를 금속 시드 층으로 변환하기 위한 어닐링 프로세스에 대한 예시적인 프로세싱 조건들은 다음과 같을 수도 있다. 기판이 포지셔닝되는 지지부는 약 100 내지 400 ℃의 온도로 제어될 수도 있다. 반응 챔버 내 압력은 약 10-8 Torr 내지 760 Torr로 유지될 수도 있다. H2와 같은 프로세싱 가스 또는 형성 가스 (예를 들어, 아 폭발 비 (sub-explosive ratio) 의 H2 및 N2) 는 약 100 내지 5000 sccm의 레이트로 흐를 수도 있다. 기판은 약 30 초 내지 10 분의 지속 기간 동안 어닐링 프로세스에 노출될 수도 있다.
금속 나이트라이드 시드 층 전구체를 금속 시드 층으로 변환하기 위한 플라즈마 처리 프로세스에 대한 예시적인 프로세싱 조건들은 다음과 같을 수도 있다. 기판이 포지셔닝되는 지지부는 약 -50 ℃ 내지 400 ℃의 온도로 유지될 수도 있다. 플라즈마 처리가 금속 나이트라이드 시드 층 전구체를 금속 시드 층으로 변환하도록 사용되는 일부 실시 예들에서, 기판은 이 단계 동안 능동적으로 냉각될 수도 있다. 능동 냉각은 보다 높은 품질의 연속적인 금속성 시드 층을 생성하는 금속의 디웨팅 (dewetting) 및 응집을 제어하는 것을 도울 수도 있다. 반응 챔버 내 압력은 약 10-8 Torr 내지 760 Torr로 유지될 수도 있다. 플라즈마를 형성하는 가스는 H2, NH3, N2, Ar, 또는 이들의 조합들을 포함할 수도 있다. 이 가스는 약 0.1 내지 1000 sccm의 레이트로 제공될 수도 있다. 기판은 약 1 초 내지 5 분의 지속 기간 동안 플라즈마에 노출될 수도 있다. 플라즈마는 약 0.2 내지 3 ㎾의 RF 전력으로 생성될 수도 있다. 플라즈마는 하나 이상의 주파수들, 예를 들어 13.56 ㎑ 및/또는 100 ㎑에서 생성될 수도 있다. 플라즈마의 듀티 사이클은 약 100 % 내지 1 %일 수도 있다. 임의의 타입의 플라즈마 (예를 들어, 유도 커플링 플라즈마, 용량 커플링 플라즈마, 마이크로파 플라즈마, 리모트 플라즈마, 직접 플라즈마, 등) 가 특정한 애플리케이션에 대해 목표된 바와 같이 사용될 수도 있다.
어닐링 프로세스 또는 플라즈마 처리 프로세스는 전체 금속 나이트라이드 시드 층 전구체를 금속 시드 층으로 변환할 수도 있고, 또는 금속 나이트라이드 시드 층 전구체의 일부 (예를 들어, 상부 부분) 만을 변환할 수도 있다. 다양한 실시 예들에서, 어닐링 프로세스 또는 플라즈마 처리 프로세스는 적어도 상부 0.2 ㎚의 금속 나이트라이드 시드 층 전구체를 금속으로 변환한다.
VI. 전도성 금속의 증착
도 1을 참조하면, 전도성 금속 (109) 은 통상적으로 전기 도금을 통해 증착된다. 전기 도금은 저비용 및 고품질 충진 결과로 인해 특히 유리하다. 일부 실시 예들에서, 전도성 금속 (109) 은 PVD, ALD, 및/또는 CVD와 같은 대안적인 기법들을 통해 증착될 수도 있다. ALD 또는 CVD가 사용되는 경우, 프로세싱 조건들은 금속 시드 층 형성과 관련하여 기술된 조건들과 동일할 수도 있다. 전기 도금 또는 PVD가 사용되는 경우, 프로세싱 조건들은 당업자에 의해 선택된 종래의 프로세싱 조건들일 수도 있다.
VII. 상호 연결 구조체의 재료들
상기 언급된 바와 같이, 상업적으로 입수 가능한 상호 연결 구조체들은 재료들을 형성하기 위해 사용된 프로세스들뿐만 아니라 어떤 재료들이 사용될 수 있는지의 관점에서 상대적으로 제한된다. 그러나, 본 명세서에 기술된 기법들은 이 맥락에서 종래에 사용되지 않은 부가적인 재료들의 사용을 가능하게 한다.
도 1을 참조하면, 본 명세서의 다양한 실시 예들에서, 유전체 재료 (103) 는 실리콘, 실리콘 옥사이드, 또는 실리콘 나이트라이드와 같은 실리콘-함유 재료일 수도 있다. 유전체 재료 (103) 는 종종 상기 규정된 바와 같이 로우-k 재료이다. 유전체 재료 (103) 는 탄소, 질소, 등과 같은 하나 이상의 도펀트들로 도핑될 수도 있다.
본 명세서의 다양한 실시 예들에서, 배리어 층 (105) 은 금속 옥사이드이다. 다양한 상이한 금속들이 사용될 수도 있다. 예를 들어, 금속 옥사이드의 금속은 마그네슘 또는 3 내지 14 족 금속일 수도 있다. 특정한 구현 예들에서, 금속 옥사이드는 알루미늄, 구리, 인듐, 마그네슘, 망간, 주석, 아연, 루테늄, 텅스텐, 티타늄 및 이들의 조합들로 구성된 그룹으로부터 선택된 금속을 포함할 수도 있다.
다수의 재료들이 선택 가능한 라이너 (107) 를 위해 사용될 수도 있다. 라이너 (107) 가 인 시츄 금속 라이너이도록 금속 옥사이드 배리어 층 (105) 이 환원 가스 또는 환원 플라즈마를 겪는 경우들에서, 라이너 (107) 는 이로부터 형성되는 금속 옥사이드 배리어 층과 동일한 금속을 포함한다. 다른 경우들에서, 라이너 (107) 는 생략될 수도 있다. 다른 경우들에서, 라이너 (107) 는 종래의 수단을 통해 증착된 종래의 재료일 수도 있다. 본 명세서의 다양한 실시 예들에서, 라이너 (107) 는 금속이거나 금속을 포함할 수도 있다. 나이트라이드들, 카바이드들, 및/또는 카보나이트라이드들이 일부 경우에 사용될 수도 있다. 다양한 상이한 금속들이 사용될 수도 있다. 예를 들어, 라이너 내의 금속은 마그네슘 또는 3 내지 14 족 금속일 수도 있다. 특정한 구현 예들에서, 라이너 (107) 의 금속은 알루미늄, 구리, 인듐, 마그네슘, 망간, 주석, 아연, 루테늄, 코발트, 텅스텐 및 이들의 조합들로 구성된 그룹으로부터 선택될 수도 있다. 라이너는 또 다른 원소, 예를 들어 탄소 및/또는 질소의 함유물을 가질 수도 있다.
유사하게, 다수의 상이한 재료들이 시드 층 (도 1에 미도시) 으로 사용될 수도 있다. 시드 층은 배리어 층 (105) 과 전도성 금속 (109) 사이, 또는 라이너 (107) 와 전도성 금속 (109) 사이에 포지셔닝될 수도 있다. 많은 경우들에서, 시드 층은 금속이다. 금속은 구리, 코발트, 이리듐, 몰리브덴, 팔라듐, 루테늄, 텅스텐, 및 이들의 조합들로 구성된 그룹으로부터 선택될 수도 있다. 유사하게, 시드 층이 금속 나이트라이드 시드 층 전구체를 금속 시드 층으로 변환함으로써 형성되는 경우들에서, 금속 나이트라이드 시드 층 전구체의 금속은 이 동일한 금속들의 그룹으로부터 선택될 수도 있다.
다양한 상이한 재료들이 전도성 금속 (109) 을 위해 사용될 수도 있다. 대부분의 상업적 상호 연결 구조체들에서 전도성 금속 (109) 은 구리이지만, 본 명세서의 실시 예들은 그렇게 제한되지 않는다. 특정한 실시 예들에서, 전도성 금속 (109) 은 구리, 코발트, 이리듐, 몰리브덴, 팔라듐, 루테늄, 텅스텐, 및 이들의 조합들로 구성된 그룹으로부터 선택된 금속을 포함한다. 특정한 실시 예들에서, 시드 층의 금속은 전도성 금속 (109) 의 금속과 동일하다. 다른 실시 예들에서, 이들은 상이한 금속들이다.
본 명세서에 기술된 재료들은 화학량론적으로 밸런싱될 (balance) 수도 있고 그렇지 않을 수도 있다.
VIII. 반응 물질들 및 다른 프로세싱 가스들
본 명세서에 기술된 다양한 재료들은 애플리케이션에 적합한 임의의 반응 물질들을 사용하여 형성 및/또는 처리될 수도 있다. 일부 경우들에서, 특정한 반응 물질들이 사용될 수도 있다.
A. 유전체 재료에 대한 핵 생성 및 접착을 촉진하기 위한 플라즈마 전처리를 위한 반응 물질들/프로세싱 가스들
도 2와 관련하여 논의된 바와 같이, 본 명세서의 다양한 실시 예들에서, 표면 상에 노출된 유전체 재료를 갖는 기판은 유전체 재료의 상단 표면을 의도적으로 손상시키도록 플라즈마에 노출된다. 플라즈마 노출은 유전체 재료의 상단 표면으로부터 탄소와 같은 도펀트를 제거할 수도 있어서, 금속 옥사이드 배리어 층과 같은 후속하여 증착된 층에 대한 접착을 개선한다.
일부 경우들에서, 유전체 재료를 손상시키기 위해 기판을 전처리하도록 사용된 플라즈마는 헬륨, 네온, 아르곤, 크립톤, 또는 이들의 조합과 같은 불활성 종으로부터 생성된다. 다른 경우들에서, 플라즈마는 H2, O2, NH3, CO2, N2O, N2, 또는 이들의 조합과 같은 화학적으로 반응성 종으로부터 생성된다. 여전히 다른 경우들에서, 플라즈마는 상기 열거된 것들 중 임의의 종과 같은 불활성 종 및 화학적으로 반응성 종의 조합으로부터 생성될 수도 있다.
B. 원자 층 증착 및 화학 기상 증착을 위한 반응 물질들/프로세싱 가스들
도 3, 도 4, 도 7 및 도 8과 관련하여 논의된 바와 같이, 금속 옥사이드 배리어 층, 금속 시드 층, 및/또는 금속 나이트라이드 시드 층 전구체는 ALD 및/또는 CVD를 통해 증착될 수도 있다. 이들 막들은 적합한 금속-함유 반응 물질 및 공-반응 물질을 사용하여 증착될 수 있다. 다양한 실시 예들에서, 적합한 금속-함유 반응 물질들은 할라이드들, 아미드들, 이미드들, 나이트라이드들, 옥사이드들, 알킬들, 알릴들, 알콕사이드들, 티올레이트들, 카르벤들, 포스핀들, 일산화탄소, 니트릴들, 이소니트릴들, 알켄들, 알킨들과 같은 하나 이상의 한자리 리간드들 디케토네이트들, 케토이미네이트들, 디케티미네이트들, 케토에스테레이트들, 아미노알콕사이드들, 아미디네이트들, 디아자디엔들, 아미디네이트들, 알릴들, 디-알켄들과 같은 두자리 리간드들, 및 사이클로펜타디에닐들, 트리-알켄들과 같은 여러 자리 리간드들, 및 다른 여러 자리 유기 리간드들을 포함할 수도 있다. 금속-함유 반응 물질들은 또한 적어도 하나의 금속, 예를 들어 증착된 재료에서 목표되는 금속을 포함한다. 적합한 금속들은 주기율표의 3 내지 14 족으로부터의 금속들 + 마그네슘을 포함한다.
일부 경우들에서, 금속 옥사이드 배리어 층을 증착하도록 사용된 금속-함유 반응 물질은 알루미늄-함유 반응 물질, 구리-함유 반응 물질, 인듐-함유 반응 물질, 마그네슘-함유 반응 물질, 망간-함유 반응 물질, 주석-함유 반응 물질, 아연-함유 반응 물질, 또는 이들의 조합일 수도 있다. 일부 실시 예들에서, 금속 시드 층 또는 금속 나이트라이드 시드 층 전구체를 증착하도록 사용된 금속-함유 반응 물질은 구리-함유 반응 물질, 코발트-함유 반응 물질, 이리듐-함유 반응 물질, 몰리브덴-함유 반응 물질, 팔라듐-함유 반응 물질, 루테늄-함유 반응 물질, 텅스텐-함유 반응 물질, 또는 이들의 조합일 수도 있다. 일부 경우들에서 다른 금속들 및 금속-함유 반응 물질들이 사용될 수도 있다.
예시적인 알루미늄-함유 반응 물질들은 이로 제한되지 않지만, 트리메틸알루미늄을 포함한다. 예시적인 코발트-함유 반응 물질들은 이로 제한되는 것은 아니지만, 옥타카르보닐디코발트 (octacarbonyldicobalt), (2-tert-부틸알릴)트리카보닐코발트 ((2-tert-butylallyl)tricabonylcobalt), (3,3-디메틸-1-부틴)헥사카르보닐디코발트 ((3,3-dimethyl-1-butyne)hexacarbonyldicobalt), 사이클로펜타디에닐디카르보닐코발트 (cyclopentadienyldicarbonylcobalt), 비스(1,4-디이소프로필-디아자디엔)코발트 (bis(1,4-diisopropyl-diazadiene)cobalt), 비스(1,4-디-tert-부틸-디아자디엔)코발트 (bis(1,4-di-tert-butyl-diazadiene)cobalt), 비스(N,N'-디이소프로필아세트아미디나토)코발트 (bis(N,N'-diisopropylacetamidinato)cobalt), 및 비스(N-tert-부틸-N'-에틸프로판이미디나토)코발트 (bis(N-tert-butyl-N'-ethylpropanimidamidinato)cobalt) 를 포함한다.
예시적인 구리-함유 반응 물질들은 이로 제한되는 것은 아니지만, 비스(디메틸아미노-2-프로폭시)구리 (bis(dimethylamino-2-propoxy)copper), 비스(N,N'-디-sec-부틸아세트아미디네이트)이구리 (bis(N,N'-di-sec-butylacetamidinate)dicopper), 비스(디메틸아미노에톡시)구리 (bis(dimethylaminoethoxy)copper), 비스(디에틸아미노-2-프로폭시)구리 (bis(diethylamino-2-propoxy)copper), 비스(에틸메틸아미노-2-프로폭시)구리 (bis(ethylmethylamino-2-propoxy)copper), 및 비스(디메틸아미노-2-메틸-2-부톡시)구리 (bis(dimethylamino-2-methyl-2-butoxy)copper) 를 포함한다.
예시적인 인듐-함유 반응 물질들은 이로 제한되지 않지만, 트리메틸인듐을 포함한다. 예시적인 이리듐-함유 반응 물질들은 이로 제한되는 것은 아니지만, 트리스(아세틸아세토네이트)이리듐 (tris(acetylacetonate)iridium) 을 포함한다. 예시적인 마그네슘-함유 반응 물질들은 이로 제한되는 것은 아니지만, 비스(1,4-디-tert-부틸-디아자디엔)마그네슘 (bis(1,4-di-tert-butyl-diazadiene)magnesium), 및 비스(에틸사이클로펜타디에닐)마그네슘 (bis(ethylcyclopentadienyl)magnesium) 을 포함한다.
망간-함유 반응 물질들의 예는 비 제한적으로 비스(사이클로펜타디에닐)망간 (bis(cyclopentadienyl)manganese), 비스(에틸사이클로펜타디에닐)망간 (bis(ethylcyclopentadienyl)manganese), 비스(테트라메틸사이클로펜타디에닐)망간 (II) (bis(tetramethylcyclopentadienyl)manganese(II)), 비스(펜타메틸사이클로펜타디에닐)망간 (II) (bis(pentamethylcyclopentadienyl)manganese(II)), 비스(1,4-디)-tert-부틸-디아자디엔)망간 (bis(1,4-di-tert-butyl-diazadiene)manganese), 비스(비스(트리메틸실릴아미도))망간 (bis(bis(trimethylsilylamido))manganese), 비스(비스(에틸디메틸실릴아미도))망간 (bis(bis(ethyldimethylsilylamido))manganese), 및 비스(N,N'-디이소프로필펜틸아미디나토)망간 (bis(N,N'-diisopropylpentylamidinato)manganese) 을 포함한다.
예시적인 몰리브덴-함유 반응 물질들은 이로 제한되는 것은 아니지만, 헥사플루오로몰리브덴 (MoF6), 펜타클로로몰리브덴 (MoCl5), 몰리브덴 디클로라이드 디옥사이드 (MoO2Cl2), 몰리브덴 테트라클로라이드 옥사이드 (MoOCl4), 및 몰리브덴 헥사카르보닐 (Mo(CO)6) 을 포함한다. 일부 경우들에서, 다른 예들은 화학식 MoxOxHz의 다른 몰리브덴-함유 옥시할라이드들이 사용될 수도 있고, H는 할로겐 (불소 (F), 염소 (Cl), 브롬 (Br), 또는 요오드 (I)) 이고, x, y, 및 z는 안정한 분자를 형성할 수 있는, 0보다 큰 임의의 수이다. 이들은 몰리브덴 테트라플루오라이드 옥사이드 (MoOF4), 몰리브덴 디브로마이드 디옥사이드 (MoO2Br2), 및 몰리브덴 옥시아이오다이드들 (MoO2I 및 Mo4O11I) 을 포함한다. 유기-금속 몰리브덴-함유 전구체들은 또한 사이클로펜타디에닐 리간드들을 갖는 몰리브덴-함유 전구체들을 포함하는 예들과 함께 사용될 수도 있다. 추가 예들은 화학식 Mo2Ln의 전구체들을 포함하고, L 각각은 아미데이트 리간드 (amidate ligand), 아미디네이트 리간드 (amidinate ligand), 및 구아니디네이트 리간드 (guanidinate ligand) 로부터 독립적으로 선택되고, 여기서 n은 2 내지 5이다. Mo2Ln 전구체는 복수의 몰리브덴-몰리브덴 결합 (이중 결합 또는 결합 차수가 2 내지 5인 임의의 복수의 결합) 을 포함한다. 추가 예들은 할라이드-함유 헤테로렙틱 (heteroleptic) 몰리브덴 화합물들 (즉, 상이한 타입들의 리간드들을 갖는 화합물들) 을 포함한다. 이러한 전구체들의 특정한 예들은 몰리브덴, 몰리브덴과 결합을 형성하는 적어도 하나의 할라이드, 및 N, O, 및 S 원소들 중 임의의 원소를 갖는 적어도 하나의 유기 리간드를 포함하는 화합물들이고, 이들 원소들의 원자는 몰리브덴과 결합을 형성한다. 질소 또는 산소 결합을 제공하는 적합한 유기 리간드의 예들은 아미디네이트들, 아미데이트들, 이미노피롤리디네이트들 (iminopyrrolidinates), 디아자디엔들 (diazadienes), 베타-이미노 아미드들 (beta-imino amides), 알파-이미노 알콕사이드들 (alpha-imino alkoxides), 베타-아미노 알콕사이드들 (beta-amino alkoxides), 베타-디케티미네이트들 (beta-diketiminates), 베타-케토이미네이트들 (beta-ketoiminates), 베타-디케토네이트들 (beta-diketonates), 아민들, 및 피라졸레이트들 (pyrazolates) 을 포함한다. 황 결합을 제공하는 적합한 유기 리간드들의 예들은 티오에테르들 (thioethers), 티올레이트들 (thiolates), 디티올렌들 (dithiolenes), 디티올레이트들 (dithiolates), 및 α-이미노 티올렌들 (α-imino thiolenes) 을 포함한다. 이들 리간드들은 치환될 수도 있고 또는 치환되지 않을 수도 있다. 일부 실시 예들에서, 이들 리간드들은 H, 알킬 (alkyl), 플루오로알킬 (fluoroalkyl), 알킬실릴 (alkylsilyl), 알킬아미노 (alkylamino), 및 알콕시 (alkoxy) 치환기들로 구성된 그룹으로부터 독립적으로 선택된 하나 이상의 치환기들을 포함한다. 유기 리간드들은 중성 또는 음이온성 (예를 들어, 일가 음이온성 (monoanionic) 또는 이가 음이온성 (dianionic)) 일 수 있고, 몰리브덴은 +1, +2, +3, +4, +5, 및 +6과 같은 다양한 산화 상태들에 있을 수 있다.
예시적인 팔라듐-함유 반응 물질들은 이로 제한되는 것은 아니지만, 1-메틸알릴(헥사플루오로아세틸아세토나토)-팔라듐 (II) (1-methylallyl(hexafluoroacetylacetonato)-palladium(II)) 및 비스(헥사플루오로아세틸아세토나토)팔라듐 (bis(hexafluoroacetylacetonato)palladium) 을 포함한다. 예시적인 백금-함유 반응 물질들은 이로 제한되는 것은 아니지만, 메틸사이클로펜타디에닐트리메틸백금 (methylcyclopentadienyltrimethylplatinum) 을 포함한다.
예시적인 레늄-함유 반응 물질들은 이로 제한되는 것은 아니지만, 펜타클로로레늄을 포함한다. 예시적인 루테늄-함유 반응 물질들은 이로 제한되는 것은 아니지만, 도데카카르보닐트리루테늄 (dodecacarbonyltriruthenium), (2,4-디메틸펜타디에닐)에틸시클로펜타디에닐루테늄 ((2,4-dimethylpentadienyl)ethylcyclopentadienylruthenium), (1-에틸-1,4-시클로헥사디에닐)에틸벤젠루테늄 ((1-ethyl-1,4-cyclohexadienyl)ethylbenzeneruthenium), 비스(에틸시클로펜타디에닐)루테늄 (bis(ethylcyclopentadienyl)ruthenium), 및 테트라옥소루테늄 (tetraoxoruthenium) 을 포함한다. 예시적인 탄탈룸-함유 반응 물질들은 이로 제한되지 않지만, tert-부틸이미도-트리스(디메틸아미도)탄탈룸 (tert-butylimido-tris(dimethylamido)tantalum) 을 포함한다.
예시적인 주석-함유 반응 물질들은 이로 제한되는 것은 아니지만, 테트라키스(디메틸아미노)주석 (tetrakis(dimethylamino)tin), 주석(II) 플루오라이드, 주석(IV) 클로라이드, 주석(IV) 클로라이드, 주석(IV) 브로마이드, 스타난 (stannane), 트리메틸주석 클로라이드 (trimethyltin chloride), 디메틸주석 디클로라이드 (dimethyltin dichloride), 메틸주석 트리클로라이드 (methyltin trichloride), 테트라에틸주석 (tetraethyltin), 테트라메틸주석 (tetramethyltin), 디부틸주석 디아세테이트 (dibutyltin diacetate), (디메틸아미노)트리메틸주석 (IV) ((dimethylamino)trimethyltin(IV)), 비스[비스(트리메틸실릴)아미노]주석(II) (bis[bis(trimethylsilyl)amino]tin(II)), 디부틸디페닐주석 (dibutyldiphenyltin), 헥사페닐디주석 (IV) (hexaphenylditin(IV)), 테트라알릴주석 (tetraallyltin), 테트라키스(디에틸아미노)주석 (IV) tetrakis(diethylamino)tin(IV), 테트라비닐주석 (tetravineyltin), 주석(II)아세틸아세토네이트 (tin(II)acetylacetonate), 트리사이클로헥실주석 하이드라이드 (tricyclohexyltin hydride), 트리메틸(페닐에티닐)주석 (trimethyl(phenylethynyl)tin), 트리메틸(페닐)주석 (trimethyl(phenyl)tin), 테트라키스(에틸메틸아미노)주석 (tetrakis(ethylmethylamino)tin), 주석(II)(1,3-비스(1,1-디메틸에틸)-4,5-디메틸-(4R, 5R)-1,3,2-디아자스탄놀리딘-2-일리덴 (tin(II)(1,3-bis(1,1-dimethylethyl)-4,5-dimethyl-(4R,5R)-1,3,2-diazastannolidin-2-ylidene), 및 N2,N3-디-tert-부틸-부탄-2,4-디아미노-주석(II) (N2,N3-di-tert-butyl-butane-2,4-diamino-tin(II)) 를 포함한다.
예시적인 티타늄-함유 반응 물질들은 이로 제한되는 것은 아니지만, 테트라키스(디메틸아미도)티타늄을 포함한다. 예시적인 텅스텐-함유 반응 물질들은 이로 제한되는 것은 아니지만, 헥사플루오로텅스텐, 헥사클로로텅스텐, 펜타클로로텅스텐, 및 비스(tert-부틸이미도)비스(디메틸아미도)텅스텐을 포함한다. 예시적인 이트륨-함유 반응 물질들은 이로 제한되는 것은 아니지만, 트리스(이소프로필시클로펜타디에닐)이트륨을 포함한다. 예시적인 아연-함유 반응 물질들은 이로 제한되는 것은 아니지만, 디메틸아연, 디에틸아연, 디알릴아연, 및 비스(2-메틸알릴)아연을 포함한다. 당업자에게 공지된 다른 금속-함유 반응 물질들이 일부 실시 예들에서 사용될 수도 있다.
금속 옥사이드 배리어 층과 같은 금속 옥사이드 막들의 증착을 위해, 금속-함유 반응 물질은 산소-함유 반응 물질과 쌍을 이룬다 (pair). 예시적인 산소-함유 반응 물질들은 이로 제한되는 것은 아니지만, 물 (H2O), 산소 (O2), 과산화수소 (H2O2), 오존 (O3), 이산화탄소 (CO2), 및 아산화 질소 (N2O) 를 포함한다. 금속 시드 층들과 같은 금속 막들 또는 금속 나이트라이드 시드 층 전구체들과 같은 금속 나이트라이드 막들의 증착을 위해, 금속-함유 반응 물질은 질소-함유 반응 물질 및/또는 수소-함유 반응 물질과 쌍을 이룬다. 예시적인 질소-함유 반응 물질 및/또는 수소-함유 반응 물질은 이로 제한되는 것은 아니지만, 이질소 (N2), 이수소 (H2), 하이드라진 (N2H4), 알킬하이드라진들, 및 알킬아민들을 포함한다.
일부 실시 예들에서, 하나 이상의 비반응성 가스들은 증착 동안, 예를 들어 퍼지 가스로서 또는 플라즈마 생성 가스의 일부로서 제공될 수도 있다. 비반응성 가스들의 예들은 헬륨, 네온, 아르곤, 크립톤, 등을 포함할 수도 있다. 일부 경우들에서, 질소가 사용될 수도 있다.
C. 인 시츄 금속 라이너를 형성하기 위한 금속 옥사이드 배리어 층의 환원을 위한 반응 물질들/프로세싱 가스들
도 6 및 도 7과 관련하여 논의된 바와 같이, 일부 실시 예들에서, 노출된 금속 옥사이드 배리어 층을 갖는 기판은 인 시츄 금속 라이너를 형성하도록 금속 옥사이드 배리어 층의 상단 표면을 환원시키도록 환원 가스 또는 환원 플라즈마에 노출된다.
사용될 수도 있는 예시적인 환원 가스들은 이로 제한되는 것은 아니지만, 수소 (H2), 암모니아 (NH3), 하이드라진 (N2H4), 알킬하이드라진들, 예컨대 N,N'-디메틸하이드라진 및 tert-부틸하이드라진, 및 질소 및 수소 모두, 및 이들의 조합들을 포함하는 다른 종들을 포함한다. 플라즈마가 사용되는 경우, 플라즈마는 예시적인 환원 가스들 중 임의의 하나 이상으로부터 생성될 수도 있다. 불활성 가스들이 플라즈마 또는 프로세싱 가스에 또한 제공될 수도 있다.
D. 금속 나이트라이드 시드 층 전구체의 금속 시드 층으로의 변환을 위한 반응 물질들/프로세싱 가스들
도 8과 관련하여 논의된 바와 같이, 일부 실시 예들에서, 금속 나이트라이드 시드 층 전구체는 예를 들어 기판을 어닐링 프로세스 또는 플라즈마 처리 프로세스에 노출시킴으로써, 금속 시드 층으로 변환될 수도 있다.
어닐링 프로세스 동안, 기판은 H2 또는 NH3와 같은 환원 프로세스 가스에 노출될 수도 있다. 환원 가스와 함께 N2 또는 Ar과 같은 불활성 캐리어 가스가 흐를 수도 있다.
대안적으로 또는 부가적으로, 플라즈마 처리 프로세스 동안, 기판은 H2 또는 NH3와 같은 환원 가스로부터 생성된 플라즈마에 노출될 수도 있다. 플라즈마는 He 또는 Ar과 같은 불활성 캐리어의 도움으로 생성될 수도 있다.
IX. 부가적인 실시 예들
상기 섹션들은 특정한 실시 예들에서 발생할 수도 있는 다양한 프로세싱 단계들을 기술한다. 이들 프로세싱 단계들은 특정한 애플리케이션에 대해 목표된 바와 같이 결합되거나 생략될 수도 있다. 이 섹션은 프로세싱 단계들이 특정한 구현 예들에서 결합될 수도 있는 방법의 예들을 제공한다. 이들 실시 예들은 예들이며 제한하는 것으로 의도되지 않는다.
일 실시 예에서, 도 2와 관련하여 기술된 바와 같이, 단일 또는 듀얼 다마신 구조 (예를 들어, 유전체 재료에 형성된 리세스된 피처들) 를 갖는 기판은 유전체 재료의 상단 표면을 의도적으로 손상시키도록 플라즈마 전처리에 노출된다. 플라즈마 전처리 동안, 기판은 기판이 포지셔닝되는 동일한 반응 챔버에서 직접 생성되는 수소 (H2) 플라즈마에 노출된다. 플라즈마는 약 0 내지 60 초 (예를 들어, 약 15 초) 사이의 지속 기간 동안 약 1.5 내지 3 ㎾ (예를 들어, 약 1.8 ㎾) 의 RF 전력으로 생성된다. 다음에, 아연 옥사이드 배리어 층이 유전체 재료의 손상된 상단 표면 상에 증착된다. 아연 옥사이드 배리어 층은 아연-함유 반응 물질 (예를 들어, 디에틸아연) 및 산소-함유 반응 물질 (예를 들어, O2) 을 사용하여, 예를 들어 도 3과 관련하여 기술된 CVD 방법을 사용하여 CVD를 통해 증착된다. 아연 옥사이드 배리어 층을 형성하기 위한 CVD 반응 동안, 기판은 기판이 포지셔닝되는 지지부가 약 50 내지 400 ℃ 예를 들어, 약 200 ℃의 온도로 유지되는 동안 약 10 내지 300 초 (예를 들어, 약 30 초) 의 지속 기간 동안, 약 20 내지 500 Torr (예를 들어, 약 100 Torr) 의 압력에서 반응 물질 가스들에 노출될 수도 있다. 이어서 구리 시드 층이 예를 들어 PVD를 사용하여 아연 옥사이드 배리어 층 상에 증착된다. 시드 층이 증착된 후, 구리는 종래의 구리 전기 도금 프로세스를 사용하여 다마신 구조체들의 리세스된 피처들 내로 전기 도금된다.
또 다른 실시 예에서, 도 2와 관련하여 기술된 바와 같이, 단일 또는 듀얼 다마신 구조를 갖는 기판은 유전체 재료의 상단 표면을 의도적으로 손상시키도록 플라즈마 전처리에 노출된다. 플라즈마 전처리 동안, 기판은 기판이 포지셔닝되는 동일한 반응 챔버에서 직접 생성되는 수소 (H2) 플라즈마에 노출된다. 플라즈마는 약 0 내지 60 초 (예를 들어, 약 15 초) 사이의 지속 기간 동안 약 1.5 내지 3 ㎾ (예를 들어, 약 1.8 ㎾) 의 RF 전력으로 생성된다. 다음에, 아연 옥사이드 배리어 층이 유전체 재료의 손상된 상단 표면 상에 증착된다. 아연 옥사이드 배리어 층은 아연-함유 반응 물질 (예를 들어, 디에틸아연) 및 산소-함유 반응 물질 (예를 들어, O2) 을 사용하여, 예를 들어 도 3과 관련하여 기술된 CVD 방법을 사용하여 CVD를 통해 증착된다. 아연 옥사이드 배리어 층을 형성하기 위한 CVD 반응 동안, 기판은 기판이 포지셔닝되는 지지부가 약 50 내지 400 ℃ 예를 들어, 약 200 ℃의 온도로 유지되는 동안 약 10 내지 300 초 (예를 들어, 약 30 초) 의 지속 기간 동안, 약 20 내지 500 Torr (예를 들어, 약 100 Torr) 의 압력에서 반응 물질 가스들에 노출될 수도 있다. 다음에, 구리 시드 층은 구리-함유 반응 물질 (예를 들어, 구리-아미노알콕사이드) 및 공-반응 물질 (예를 들어, 디에틸아연) 을 사용하여, 예를 들어 도 4에 기술된 ALD 방법을 사용하여 ALD를 통해 증착된다. 구리 시드 층이 증착된 후, 구리는 종래의 구리 전기 도금 프로세스를 사용하여 다마신 구조체들의 리세스된 피처들 내로 전기 도금된다.
또 다른 실시 예에서, 도 2와 관련하여 기술된 바와 같이, 단일 또는 듀얼 다마신 구조를 갖는 기판은 유전체 재료의 상단 표면을 의도적으로 손상시키도록 플라즈마 전처리에 노출된다. 플라즈마 전처리 동안, 기판은 기판이 포지셔닝되는 동일한 반응 챔버에서 직접 생성되는 산소 (O2) 플라즈마에 노출된다. 플라즈마는 약 0 내지 60 초 (예를 들어, 약 5 초) 사이의 지속 기간 동안 약 1.5 내지 3 ㎾ (예를 들어, 약 1.8 ㎾) 의 RF 전력으로 생성된다. 다음에, 주석 옥사이드 배리어 층이 유전체 재료의 손상된 상단 표면 상에 증착된다. 주석 옥사이드 배리어 층은 주석-함유 반응 물질 (예를 들어, 본 명세서에 기술된 테트라키스(디메틸아미노)주석 또는 또 다른 주석-함유 반응 물질) 및 산소-함유 반응 물질 (예를 들어, O2) 을 사용하여, 도 4와 관련하여 기술된 ALD 방법을 사용하여 플라즈마 강화 ALD를 통해 증착된다. 주석 옥사이드 배리어 층을 형성하기 위한 ALD 반응 동안, 기판이 그 위에 포지셔닝되는 지지부가 약 50 내지 400 ℃ 예를 들어, 약 200 ℃의 온도로 유지되는 동안 기판은 반응 물질 가스들에 노출될 수도 있다. 다음에, 구리 시드 층이 주석 옥사이드 배리어 층 상에 증착된다. 구리 시드 층이 증착된 후, 구리는 종래의 구리 전기 도금 프로세스를 사용하여 다마신 구조체들의 리세스된 피처들 내로 전기 도금된다.
(이 섹션에서 또는 본 명세서 전반에 걸쳐) 상기 예들 중 임의의 예는 본 명세서에 기술된 하나 이상의 부가적인 프로세스들을 포함하도록 수정될 수도 있다. 예를 들어, 예들은 금속 옥사이드 배리어 층이 환원 가스 또는 환원 플라즈마에 노출되어 인 시츄 금속 라이너를 형성하도록 금속 옥사이드 배리어 층의 상부 표면을 환원시키는 단계를 포함하도록 수정될 수도 있다. 대안적으로 또는 부가적으로, 예들은 시드 층 전구체 (예를 들어, 금속 나이트라이드 시드 층 전구체) 가 증착되고 이어서 시드 층 전구체를 금속 시드 층으로 변환하기 위해 어닐링 프로세스 또는 플라즈마 처리 프로세스에 노출되는 단계를 포함하도록 수정될 수도 있다. 대안적으로 또는 부가적으로, 예들은 금속 옥사이드 배리어 층이 2 개 이상의 기법들, 예를 들어 열적 ALD 또는 열적 CVD에 이어서 플라즈마 강화된 ALD 또는 플라즈마 강화된 CVD를 사용하여 증착되도록 수정될 수도 있다. 많은 수정들이 가능하고, 모든 이러한 변형들은 개시된 실시 예들의 범위 내에 있는 것으로 간주된다.
X. 장치
일반적으로, 본 명세서에 기술된 다양한 프로세스들은 임의의 적절한 장치 또는 장치들의 조합에 의해 수행될 수도 있다. 적절한 장치는 프로세스 단계들을 달성하기 위한 하드웨어, 뿐만 아니라 프로세스 단계들을 유발하도록 구성된 제어기를 포함한다. 예를 들어, 증착 프로세스들, 플라즈마 처리 프로세스들, 가스-기반 환원 프로세스들, 또는 플라즈마-기반 환원 프로세스들, 어닐링 프로세스들, 등을 포함하는 다양한 상이한 종류들의 프로세스들이 본 명세서에 기술된다. 일부 실시 예들에서, 이들 단계들 각각은 해당 단계를 수행하도록 구성된 장치에서 발생할 수도 있다. 예를 들어, ALD 또는 CVD 증착 단계는 ALD 및/또는 CVD를 수행하도록 구성된 장치에서 발생할 수도 있는 한편, 전착 단계는 전기 도금을 수행하도록 구성된 장치에서 발생할 수도 있다.
일부 경우들에서, 복수의 프로세싱 단계들이 단일 툴 또는 시스템 상에서 발생할 수도 있다. 이들 프로세싱 단계들은 본 명세서에 기술된 모든 프로세싱 단계들, 또는 이들의 임의의 서브 세트를 포함할 수도 있다. 툴 또는 시스템은 복수의 상이한 프로세싱 챔버들을 포함할 수도 있고, 챔버 각각은 하나 이상의 특정한 단계들을 수행하도록 구성될 수도 있다. 프로세싱 챔버들은 관련된 프로세싱 챔버들과 프로세싱 단계들 사이에서 기판 이송을 용이하게 하는 방식으로 결합될 수도 있다.
로드 록들은 기판이 일 프로세싱 챔버로부터 또 다른 프로세싱 챔버로 이송될 때 대기에 노출되지 않는다는 것을 보장하도록 제공될 수도 있다. 이러한 로드 록들은 기판이 표면 상에 노출된 산화-민감 재료 (예를 들어, 금속 또는 금속 나이트라이드) 를 포함하는 이송 단계들에 특히 유용할 수도 있다. 일 예에서, 기판은 (예를 들어, 도 7 또는 도 8과 관련하여 기술된 바와 같이) 시드 층을 증착하거나 처리하도록 사용된 프로세싱 챔버로부터 로드 록으로 이송될 수도 있고, 이어서 로드 록으로부터 시드 층 상으로 전도성 금속을 전기 도금하도록 사용되는 프로세싱 챔버로 이송될 수도 있다. 이송될 기판이 노출된 금속 옥사이드 층을 포함하는 동작들에서, 예를 들어, 대기에 대한 금속 옥사이드 층의 노출이 금속 옥사이드 층을 손상/변화시킬 것으로 예상되지 않기 때문에, 이러한 로드 록들은 생략될 수도 있다. 금속 옥사이드 배리어 층들의 사용은 금속 옥사이드 배리어 층이 증착된 후 그리고 다음 층이 프로세싱되기 전에 대기에 대한 노출로부터 기판을 보호할 필요가 없기 때문에 종래의 배리어 층 재료들과 비교하여 프로세싱 요건들 (예를 들어, 기판 이송 요건들) 을 단순화할 수도 있다.
일부 경우들에서, 본 명세서에 기술된 프로세스들 (또는 이의 서브세트) 은 2 개 이상의 장치들에 의해 수행될 수도 있다. 예를 들어, 제 1 장치는 (a) 도 2에 기술된 바와 같이 유전체 재료를 의도적으로 손상시키도록 플라즈마로 기판을 전처리하고, 그리고/또는 (b) 도 3 및 도 4와 관련하여 기술된 바와 같이 유전체 재료 상에 금속 옥사이드 배리어 층을 증착하는 동작 중 적어도 하나를 수행하도록 구성될 수도 있다. 제 2 장치는 (a) 도 7에 기술된 바와 같이 금속 시드 층을 증착하고, 그리고 (b) 시드 층 상에 전도성 금속을 전기 도금하는 동작을 수행하도록 구성될 수도 있다. 또 다른 예에서, 제 2 장치는 (a) 도 8에 기술된 바와 같이, 금속 나이트라이드 시드 층 전구체를 금속 시드 층으로 변환하고, 그리고 (b) 시드 층 상에 전도성 금속을 전기 도금하는 동작을 수행하도록 구성될 수도 있다. 일부 이러한 예들에서, 제 2 장치는 동작 (a) 전에 금속 나이트라이드 시드 층 전구체를 증착하도록 구성될 수도 있다. 대안적으로, 제 1 장치는 금속 나이트라이드 시드 층 전구체를 증착하도록 구성될 수도 있다. 제 2 장치는 금속 시드 층 또는 금속 나이트라이드 시드 층 전구체의 증착 전에 금속 옥사이드 배리어 층의 상부 표면을 금속으로 환원시키도록 기판을 환원 가스 또는 플라즈마에 노출시키도록 더 구성될 수도 있다. 기판이 제 1 장치로부터 제 2 장치로 이송될 때, 기판의 노출된 표면이 대기 산소 노출에 대해 회복력이 있는 (resilient) 금속 옥사이드 배리어 층이도록 제 2 장치에서 이 단계를 수행하는 것이 특히 유리할 수도 있다.
도 9 내지 도 14는 본 명세서에 기술된 단계들을 수행하도록 사용될 수도 있는 다양한 예시적인 프로세싱 툴들을 도시한다. 도 9는 ALD (atomic layer deposition) 및/또는 CVD (chemical vapor deposition) 중 어느 하나가 플라즈마 강화될 수도 있는, 재료를 증착하기 위해 사용될 수도 있는 프로세스 스테이션 (900) 의 실시 예를 개략적으로 도시한다. 예를 들어, 프로세스 스테이션 (900) 은 도 3 및 도 4와 관련하여 기술된 바와 같이 금속 옥사이드 배리어 층을 증착하도록 사용될 수도 있다. 유사하게, 프로세스 스테이션 (900) 은 도 7과 관련하여 기술된 바와 같이 금속 시드 층 또는 도 8과 관련하여 기술된 바와 같은 금속 나이트라이드 시드 층 전구체를 증착하도록 사용될 수도 있다. 일부 경우들에서, 프로세스 스테이션 (900) 은 또한 도 2와 관련하여 기술된 바와 같이 유전체 재료의 상단 표면을 의도적으로 손상시키도록 기판을 플라즈마에 노출하는 단계, 및/또는 도 6 및 도 7과 관련하여 기술된 바와 같이 금속 옥사이드 배리어 층의 상단 표면을 인 시츄 금속 라이너로 환원시키기 위해 기판을 환원 가스 또는 플라즈마에 노출시키는 단계, 및/또는 도 8과 관련하여 기술된 바와 같이 금속 나이트라이드 시드 층 전구체를 금속 시드 층으로 변환하기 위해 기판을 어닐링 또는 플라즈마에 노출하는 단계와 같은 하나 이상의 부가적인 단계들을 수행하도록 사용될 수도 있다.
간략함을 위해, 프로세싱 스테이션 (900) 은 저압 분위기를 유지하기 위한 프로세스 챔버 바디 (902) 를 갖는 독립형 프로세스 스테이션으로서 도시된다. 그러나, 복수의 프로세스 스테이션들 (900) 이 공통 프로세스 툴 환경에 포함될 수도 있다는 것이 이해될 것이다. 또한, 일부 실시 예들에서, 이하에 상세히 논의된 하드웨어 파라미터들을 포함하는, 프로세스 스테이션 (900) 의 하나 이상의 하드웨어 파라미터들이 하나 이상의 컴퓨터 제어기들에 의해 프로그램적으로 조정될 수도 있다는 것이 인식될 것이다.
프로세스 스테이션 (900) 은 분배 샤워헤드 (906) 로 프로세스 가스들을 전달하기 위해 반응 물질 전달 시스템 (901) 과 유체로 연통한다 (fluidly communicate). 반응 물질 전달 시스템 (901) 은 샤워헤드 (906) 로 전달을 위해, 프로세스 가스들을 블렌딩 (blend) 및/또는 컨디셔닝하기 (condition) 위한 혼합 용기 (mixing vessel) (904) 를 포함한다. 하나 이상의 혼합 용기 유입구 밸브들 (920) 은 프로세스 가스들의 혼합 용기 (904) 로의 도입을 제어할 수도 있다. 유사하게, 샤워헤드 유입구 밸브 (905) 는 샤워헤드 (906) 로의 프로세스 가스들의 도입을 제어할 수도 있다.
디에틸아연과 같은 일부 반응 물질들은 기화 및 프로세스 스테이션으로의 후속 전달 전에 액체 형태로 저장될 수도 있다. 예를 들어, 도 9의 실시 예는 혼합 용기 (904) 로 공급될 액체 반응 물질을 기화시키기 위한 기화 지점 (903) 을 포함한다. 일부 실시 예들에서, 기화 지점 (903) 은 가열된 기화기일 수도 있다. 이러한 기화기들로부터 생성된 반응 물질 증기가 다운스트림 (downstream) 전달 파이핑 (piping) 에서 응결될 수도 있다. 응결된 반응 물질로의 양립할 수 없는 가스들의 노출은 작은 입자들을 생성할 수도 있다. 이들 작은 입자들은 파이핑을 막고 (clog), 밸브 동작을 방해하고 (impede), 기판들을 오염시키는, 등을 할 수도 있다. 이들 문제들을 해결하기 위한 일부 접근법들은 잔류 반응 물질을 제거하기 위해 전달 파이핑을 스윕핑 (sweep) 및/또는 배기하는 것을 수반한다. 그러나, 전달 파이핑을 스윕핑하는 것은 프로세스 스테이션 사이클 시간을 증가시킬 수도 있어, 프로세스 스테이션 쓰루풋 (throughput) 을 저하시킨다. 따라서, 일부 실시 예들에서, 기화 지점 (903) 의 다운스트림 전달 파이프는 열 추적될 수도 있다. 일부 예들에서, 혼합 용기 (904) 는 또한 열 추적될 수도 있다. 일 비제한적인 예에서, 기화 지점 (903) 의 다운스트림 파이프는 혼합 용기 (904) 에서 대략 100 ℃로부터 대략 150 ℃로 연장하는, 상승하는 온도 프로파일을 갖는다.
일부 실시 예들에서, 반응 물질 액체는 액체 주입기에서 기화될 수도 있다. 예를 들어, 액체 주입기는 액체 반응 물질의 펄스들을 혼합 용기의 업스트림 (upstream) 의 캐리어 가스 스트림 내로 주입할 수도 있다. 일 시나리오에서, 액체 주입기는 보다 높은 압력으로부터 보다 낮은 압력으로 액체를 플래싱함으로써 (flash) 반응 물질을 기화시킬 수도 있다. 또 다른 시나리오에서, 액체 주입기는 가열된 전달 파이프 내에서 후속하여 기화되는 분산된 (disperse) 마이크로 액적들 (microdroplets) 로 액체를 원자화할 수도 있다. 보다 작은 액적들은 보다 큰 액적들보다 보다 빠르게 기화될 수도 있고, 액체 주입과 완전한 기화 사이의 지연을 감소시킨다는 것이 인식될 것이다. 보다 빠른 기화는 기화 지점 (903) 으로부터 다운스트림의 파이프 길이를 감소시킬 수도 있다. 일 시나리오에서, 액체 주입기는 혼합 용기 (904) 에 바로 장착될 수도 있다. 또 다른 시나리오에서, 액체 주입기는 샤워헤드 (906) 에 직접 장착될 수도 있다.
일부 실시 예들에서, 기화 지점 (903) 의 업스트림의 액체 유량 제어기 (liquid flow controller; LFC) 가 기화 및 프로세스 스테이션 (900) 으로의 전달을 위해 액체의 질량 유량 (mass flow) 를 제어하기 위해 제공될 수도 있다. 예를 들어, LFC는 LFC의 다운스트림에 위치된 열적 질량 유량 미터 (mass flow meter; MFM) 를 포함할 수도 있다. 이어서 LFC의 플런저 (plunger) 밸브가 MFM과 전기적으로 통신하는 PID (proportional-integral-derivative) 제어기에 의해 제공된 피드백 제어 신호들에 응답하여 조정될 수도 있다. 그러나, 이는 피드백 제어를 사용하여 액체 플로우를 안정화시키기 위해 1 초 이상 소요될 수도 있다. 이는 액체 반응 물질을 도즈하기 (dose) 위한 시간을 연장할 수도 있다. 따라서, 일부 실시 예들에서, LFC는 피드백 제어 모드와 직접 제어 모드 사이에서 동적으로 스위칭될 수도 있다. 일부 실시 예들에서, LFC는 LFC 및 PID 제어기의 센싱 튜브를 디스에이블함으로써 (disable) 피드백 제어 모드로부터 직접 제어 모드로 동적으로 스위칭될 수도 있다.
샤워헤드 (906) 는 기판 (912) 을 향해 프로세스 가스들을 분배한다. 도 9에 도시된 실시 예에서, 기판 (912) 은 샤워헤드 (906) 밑에 위치되고, 페데스탈 (908) 상에 놓인 것으로 도시된다. 샤워헤드 (906) 는 임의의 적합한 형상을 가질 수도 있고, 기판 (912) 으로 프로세스 가스들을 분배하기 위해 임의의 적합한 수 및 배열의 포트들을 가질 수도 있다는 것이 인식될 것이다.
일부 실시 예들에서, 마이크로볼륨 (microvolume) (907) 이 샤워헤드 (906) 밑에 위치된다. 프로세스 스테이션의 전체 볼륨이 아니라 마이크로볼륨에서 ALD 및/또는 CVD 프로세스를 수행하는 것은 반응 물질 노출 및 스윕핑 시간들을 감소시킬 수도 있고, 프로세스 조건들 (예를 들어, 압력, 온도, 등) 을 변경하기 위한 시간들을 감소시킬 수도 있고, 프로세스 스테이션 로봇들의 프로세스 가스들로의 노출을 제한할 수도 있는, 등을 할 수도 있다. 예시적인 마이크로볼륨 사이즈들은 이로 제한되는 것은 아니지만, 0.1 리터 내지 2 리터의 체적들을 포함한다. 이 마이크로볼륨은 또한 생산성 쓰루풋에 영향을 준다. 사이클 당 증착 레이트가 떨어지지만, 사이클 시간 또한 동시에 감소한다. 특정한 경우들에서, 후자의 효과는 미리 결정된 (given) 타깃 두께의 막에 대한 모듈의 전체 쓰루풋을 개선하기에 충분히 극적이다.
일부 실시 예들에서, 페데스탈 (908) 은 기판 (912) 을 마이크로볼륨 (907) 에 노출하고 그리고/또는 마이크로볼륨 (907) 의 체적을 가변시키도록 상승되거나 하강될 수도 있다. 예를 들어, 기판 이송 페이즈에서, 페데스탈 (908) 은 기판 (912) 으로 하여금 페데스탈 (908) 상으로 로딩되게 하도록 하강될 수도 있다. 증착 프로세스 페이즈 동안, 페데스탈 (908) 은 마이크로볼륨 (907) 내에 기판 (912) 을 포지셔닝시키도록 (position) 상승될 수도 있다. 일부 실시 예들에서, 마이크로볼륨 (907) 은 증착 프로세스 동안 고 플로우 (high flow) 임피던스 (impedance) 의 영역을 생성하도록 페데스탈 (908) 의 일부뿐만 아니라 기판 (912) 을 완전히 인클로징할 (enclose) 수도 있다.
선택 가능하게, 페데스탈 (908) 은 마이크로볼륨 (907) 내에서 프로세스 압력, 반응 물질 농도, 등을 조절하기 (modulate) 위해 증착 프로세스의 부분들 동안 하강 및/또는 상승될 수도 있다. 프로세스 챔버 바디 (902) 가 증착 프로세스 동안 기준 압력으로 유지되는 일 시나리오에서, 페데스탈 (908) 을 하강시키는 것은 마이크로볼륨 (907) 으로 하여금 배기되게 할 수도 있다. 마이크로볼륨 대 프로세스 챔버 체적의 예시적인 비들은 이로 제한되는 것은 아니지만, 1:900 내지 1:10의 체적 비들을 포함한다. 일부 실시 예들에서, 페데스탈 높이는 적합한 컴퓨터 제어기에 의해 프로그램적으로 조정될 수도 있다는 것이 인식될 것이다.
또 다른 시나리오에서, 페데스탈 (908) 의 높이를 조정하는 것은 플라즈마 밀도로 하여금 증착 프로세스에 포함된 플라즈마 활성화 및/또는 처리 사이클들 동안 가변되게 할 수도 있다. 증착 프로세스 페이즈의 종료 시, 페데스탈 (908) 은 페데스탈 (908) 로부터 기판 (912) 의 제거를 허용하도록 또 다른 기판 이송 페이즈 동안 하강될 수도 있다.
본 명세서에 기술된 예시적인 마이크로볼륨 변동들이 높이 조정 가능한 페데스탈을 참조하지만, 일부 실시 예들에서, 샤워헤드 (906) 의 포지션은 마이크로볼륨 (907) 의 체적을 가변시키도록 페데스탈 (908) 에 대해 조정될 수도 있다는 것이 인식될 것이다. 또한, 페데스탈 (908) 및/또는 샤워헤드 (906) 의 수직 포지션은 본 개시의 범위 내의 임의의 적합한 메커니즘에 의해 가변될 수도 있다는 것이 인식될 것이다. 일부 실시 예들에서, 페데스탈 (908) 은 기판 (912) 의 배향을 회전시키기 위한 회전 축을 포함할 수도 있다. 일부 실시 예들에서, 이들 예시적인 조정들 중 하나 이상이 하나 이상의 적합한 컴퓨터 제어기들에 의해 프로그램적으로 수행될 수도 있다는 것이 인식될 것이다.
도 9에 도시된 실시 예를 다시 참조하면, 샤워헤드 (906) 및 페데스탈 (908) 은 플라즈마에 전력을 공급하기 위해 RF 전력 공급부 (914) 및 매칭 네트워크 (916) 와 전기적으로 통신한다. 일부 실시 예들에서, 플라즈마 에너지는 프로세스 스테이션 압력, 가스 농도, RF 소스 전력, RF 소스 주파수 및 플라즈마 전력 펄스 타이밍 중 하나 이상을 제어함으로써 제어될 수도 있다. 예를 들어, RF 전력 공급부 (914) 및 매칭 네트워크 (916) 는 목표된 조성의 라디칼 종을 갖는 플라즈마를 형성하도록 임의의 적합한 전력에서 동작될 수도 있다. 적합한 전력들의 예들은 상기에 포함되었다. 유사하게, RF 전력 공급부 (914) 는 임의의 적합한 주파수의 RF 전력을 제공할 수도 있다. 일부 실시 예들에서, RF 전력 공급부 (914) 는 고주파수 RF 전력 소스 및 저주파수 RF 전력 소스를 서로 독립적으로 제어하도록 구성될 수도 있다. 예시적인 저주파수 RF 주파수들은, 이로 제한되는 것은 아니지만, 50 ㎑ 내지 900 ㎑의 주파수들을 포함할 수도 있다. 예시적인 고주파수 RF 주파수들은, 이로 제한되는 것은 아니지만, 1.8 ㎒ 내지 2.45 ㎓의 주파수들을 포함할 수도 있다. 임의의 적합한 파라미터들은 표면 반응들을 위한 플라즈마 에너지를 제공하도록 이산적으로 (discretely) 또는 연속적으로 (continuously) 조절될 수도 있다는 것이 인식될 것이다. 일 비제한적인 예에서, 플라즈마 전력은 연속적으로 전력 공급된 플라즈마들에 대해 기판 표면과의 이온 충돌 (ion bombardment) 을 감소시키도록 간헐적으로 펄싱될 수도 있다.
일부 실시 예들에서, 플라즈마는 하나 이상의 플라즈마 모니터들에 의해 인 시츄 모니터링될 수도 있다. 일 시나리오에서, 플라즈마 전력은 하나 이상의 전압 센서들, 전류 센서들 (예를 들어, VI 프로브들) 에 의해 모니터링될 수도 있다. 또 다른 시나리오에서, 플라즈마 밀도 및/또는 프로세스 가스 농도는 하나 이상의 광 방출 분광법 (optical emission spectroscopy; OES) 센서들에 의해 측정될 수도 있다. 일부 실시 예들에서, 하나 이상의 플라즈마 파라미터들은 이러한 인 시츄 플라즈마 모니터들로부터의 측정 값들에 기초하여 프로그램적으로 조정될 수도 있다. 예를 들어, OES 센서는 플라즈마 전력의 프로그램적 제어를 제공하기 위해 피드백 루프 (feedback loop) 내에서 사용될 수도 있다. 일부 실시 예들에서, 다른 모니터들이 플라즈마 및 다른 프로세스 특성들을 모니터링하도록 사용될 수도 있다는 것이 인식될 것이다. 이러한 모니터들은 이로 제한되는 것은 아니지만, 적외선 (IR) 모니터들, 음향 모니터들 및 압력 트랜스듀서들 (transducers) 을 포함할 수도 있다.
일부 실시 예들에서, 플라즈마는 입력/출력 제어 (input/output control; IOC) 시퀀싱 인스트럭션들을 통해 제어될 수도 있다. 일 예에서, 플라즈마 프로세스 페이즈에 대한 플라즈마 조건들을 설정하기 위한 인스트럭션들은 증착 프로세스 레시피의 대응하는 플라즈마 활성화 레시피 페이즈에 포함될 수도 있다. 일부 경우들에서, 프로세스 레시피 페이즈들은 증착 프로세스 페이즈에 대한 모든 인스트럭션들이 해당 프로세스 페이즈와 동시에 실행되도록 순차적으로 배열될 수도 있다. 일부 실시 예들에서, 하나 이상의 플라즈마 파라미터들을 설정하기 위한 인스트럭션들은 플라즈마 프로세스 페이즈에 선행하는 레시피 페이즈에 포함될 수도 있다. 예를 들어, 제 1 레시피 페이즈는 불활성 가스 및/또는 반응 물질 가스의 플로우 레이트를 설정하기 위한 인스트럭션들, 플라즈마 생성기를 전력 설정점으로 설정하기 위한 인스트럭션들 및 제 1 레시피 페이즈에 대한 시간 지연 인스트럭션들을 포함할 수도 있다. 제 2, 후속 레시피 페이즈는 플라즈마 생성기를 인에이블하기 (enable) 위한 인스트럭션들 및 제 2 레시피 페이즈에 대한 시간 지연 인스트럭션들을 포함할 수도 있다. 제 3 레시피 페이즈는 플라즈마 생성기를 디스에이블하기 (disable) 위한 인스트럭션들 및 제 3 레시피 페이즈에 대한 시간 지연 인스트럭션들을 포함할 수도 있다. 이들 레시피 페이즈들은 본 개시의 범위 내에서 임의의 적합한 방식으로 더 세분되고 그리고/또는 반복될 수도 있다는 것이 인식될 것이다.
일부 증착 프로세스들에서, 플라즈마 스트라이크들 (strikes) 은 대략 수 초 이상의 지속 기간 지속된다. 특정한 구현 예들에서, 훨씬 보다 짧은 플라즈마 스트라이크들이 사용될 수도 있다. 이들은 대략 10 ㎳ 내지 1 초, 통상적으로 약 20 내지 80 ㎳일 수도 있고, 50 ㎳가 특정한 예이다. 이러한 매우 짧은 RF 플라즈마 스트라이크들은 플라즈마의 매우 신속한 안정화를 필요로 한다. 이를 달성하기 위해, 플라즈마 생성기는 임피던스 매칭이 특정한 전압으로 미리 설정되는 한편, 주파수가 플로팅되도록 구성될 수도 있다. 통상적으로, 고주파수 플라즈마들은 약 13.56 ㎒의 RF 주파수에서 생성된다. 본 명세서에 개시된 다양한 실시 예들에서, 주파수는 이 표준 값과 상이한 값으로 플로팅되게 된다. 임피던스 매칭을 미리 결정된 전압으로 고정하는 동안 주파수가 플로팅하게 함으로써, 플라즈마는 일부 타입들의 증착 사이클들과 연관된 매우 짧은 플라즈마 스트라이크들을 사용할 때 중요할 수도 있는 결과를 훨씬 보다 신속하게 안정화할 수 있다.
일부 실시 예들에서, 페데스탈 (908) 은 히터 (910) 를 통해 온도 제어될 수도 있다. 또한, 일부 실시 예들에서, 증착 프로세스 스테이션 (900) 에 대한 압력 제어가 버터플라이 밸브 (918) 에 의해 제공될 수도 있다. 도 9의 실시 예에 도시된 바와 같이, 버터플라이 밸브 (918) 는 다운스트림 진공 펌프 (미도시) 에 의해 제공된 진공을 쓰로틀한다 (throttle). 그러나, 일부 실시 예들에서, 프로세스 스테이션 (900) 의 압력 제어는 또한 프로세스 스테이션 (900) 으로 도입된 하나 이상의 가스들의 플로우 레이트를 가변시킴으로써 조정될 수도 있다.
도 10은 인바운드 로드 록 (1002) 및 아웃바운드 로드 록 (1004) 을 갖는 멀티-스테이션 프로세싱 툴 (1000) 의 실시 예의 개략도를 도시하고, 인바운드 로드 록 (1002) 및 아웃바운드 로드 록 (1004) 중 하나 또는 모두는 리모트 플라즈마 소스를 포함할 수도 있다. 도 9의 프로세싱 스테이션 (900) 에서 발생할 수도 있는 단계들 중 임의의 단계는 또한 도 10의 멀티-스테이션 프로세싱 툴 (1000) 의 스테이션들 중 하나 이상에서 발생할 수도 있다. 대기압에서 로봇 (1006) 은, 카세트로부터 포드 (pod) (1008) 를 통해 로딩된 웨이퍼들을 대기 포트 (1010) 를 통해 인바운드 로드 록 (1002) 으로 이동시키도록 구성된다. 웨이퍼는 인바운드 로드 록 (1002) 내의 페데스탈 (1012) 상에 로봇 (1006) 에 의해 배치되고, 대기 포트 (1010) 는 폐쇄되고, 로드 록은 펌핑 다운된다 (pump down). 인바운드 로드 록 (1002) 이 리모트 플라즈마 소스를 포함하면, 웨이퍼는 프로세싱 챔버 (1014) 내로 도입되기 전에 로드 록 내에서 리모트 플라즈마 처리에 노출될 수도 있다. 또한, 웨이퍼는 또한 예를 들어, 수분 및 흡착된 가스들을 제거하기 위해 인바운드 로드 록 (1002) 내에서 가열될 수도 있다. 다음에, 프로세싱 챔버 (1014) 로의 챔버 이송 포트 (1016) 가 개방되고, 또 다른 로봇 (미도시) 이 프로세싱을 위한 반응기 내에 도시된 제 1 스테이션의 페데스탈 상의 반응기 내로 웨이퍼를 배치한다. 도 10에 도시된 실시 예는 로드 록들을 포함하지만, 일부 실시 예들에서, 프로세스 스테이션 내로 웨이퍼의 직접 진입이 제공될 수도 있다는 것이 인식될 것이다.
도시된 프로세싱 챔버 (1014) 는 도 10에 도시된 실시 예에서 1 내지 4로 번호가 붙여진, 4 개의 프로세스 스테이션들을 포함한다. 스테이션 각각은 가열된 페데스탈 (스테이션 1에 대해 (1018) 로 도시됨), 및 가스 라인 유입구들을 갖는다. 일부 실시 예들에서, 프로세스 스테이션 각각이 상이한 목적들 또는 복수의 목적들을 가질 수도 있다는 것이 인식될 것이다. 도시된 프로세싱 챔버 (1014) 는 4 개의 스테이션들을 포함하지만, 본 개시에 따른 프로세싱 챔버는 임의의 적합한 수의 스테이션들을 가질 수도 있다는 것이 이해될 것이다. 예를 들어, 일부 실시 예들에서, 프로세싱 챔버는 5 개 이상의 스테이션들을 가질 수도 있는 반면, 다른 실시 예들에서 프로세싱 챔버는 3 개 이하의 스테이션들을 가질 수도 있다.
도 10은 또한 프로세싱 챔버 (1014) 내에서 웨이퍼들을 이송하기 위한 웨이퍼 핸들링 시스템 (1090) 의 실시 예를 도시한다. 일부 실시 예들에서, 웨이퍼 핸들링 시스템 (1090) 은 다양한 프로세스 스테이션들 사이 그리고/또는 프로세스 스테이션과 로드 록 사이에서 웨이퍼들을 이송할 수도 있다. 임의의 적합한 웨이퍼 핸들링 시스템이 채용될 수도 있다는 것이 인식될 것이다. 비제한적인 예들은 웨이퍼 캐로절들 (carousels) 및 웨이퍼 핸들링 로봇들을 포함한다. 도 10은 또한 프로세스 툴 (1000) 의 프로세스 조건들 및 하드웨어 상태들을 제어하도록 채용된 시스템 제어기 (1050) 의 실시 예를 도시한다. 시스템 제어기 (1050) 는 하나 이상의 메모리 디바이스들 (1056), 하나 이상의 대용량 저장 디바이스들 (1054), 및 하나 이상의 프로세서들 (1052) 을 포함할 수도 있다. 프로세서 (1052) 는 CPU 또는 컴퓨터, 아날로그 입력/출력 연결부 및/또는 디지털 입력/출력 연결부, 스텝퍼 모터 제어 보드들, 등을 포함할 수도 있다.
일부 실시 예들에서, 시스템 제어기 (1050) 는 프로세스 툴 (1000) 의 모든 액티비티들을 제어한다. 시스템 제어기 (1050) 는 대용량 저장 디바이스 (1054) 에 저장되고 메모리 디바이스 (1056) 내로 로딩되어 프로세서 (1052) 상에서 실행되는 시스템 제어 소프트웨어 (1058) 를 실행한다. 시스템 제어 소프트웨어 (1058) 는 타이밍, 가스의 혼합물, 챔버 및/또는 스테이션 압력, 챔버 및/또는 스테이션 온도, 퍼지 조건들 및 타이밍, 웨이퍼 온도, RF 전력 레벨들, RF 주파수들, 기판 페데스탈, 척 및/또는 서셉터 (susceptor) 포지션, 및 프로세스 툴 (1000) 에 의해서 수행된 특정한 프로세스의 다른 파라미터들을 포함할 수도 있다. 시스템 제어 소프트웨어 (1058) 는 임의의 적합한 방식으로 구성될 수도 있다. 예를 들어, 다양한 프로세스 툴 컴포넌트 서브루틴들 (subroutines) 또는 제어 객체들은 개시된 방법들에 따른 다양한 프로세스 툴 프로세스들을 수행하기 위해 필요한 프로세스 툴 컴포넌트들의 동작을 제어하도록 작성될 수도 있다. 시스템 제어 소프트웨어 (1058) 는 임의의 적합한 컴퓨터 판독 가능 프로그래밍 언어로 코딩될 수도 있다.
일부 실시 예들에서, 시스템 제어 소프트웨어 (1058) 는 상기 기술된 다양한 파라미터들을 제어하기 위한 IOC (input/output control) 시퀀싱 인스트럭션들을 포함할 수도 있다. 예를 들어, PEALD 프로세스의 페이즈 각각은 시스템 제어기 (1050) 에 의한 실행을 위한 하나 이상의 인스트럭션들을 포함할 수도 있다. PEALD 프로세스 페이즈에 대한 프로세스 조건들을 설정하기 위한 인스트럭션들은 대응하는 PEALD 레시피 페이즈에 포함될 수도 있다. 일부 실시 예들에서, PEALD 레시피 페이즈들은 PEALD 프로세스 페이즈에 대한 모든 인스트럭션들이 그 프로세스 페이즈와 동시에 실행되도록 순차적으로 배열될 수도 있다.
시스템 제어기 (1050) 와 연관된 대용량 저장 디바이스 (1054) 및/또는 메모리 디바이스 (1056) 에 저장된 다른 컴퓨터 소프트웨어 및/또는 프로그램들이 일부 실시 예들에서 채용될 수도 있다. 이 목적을 위한 프로그램들 또는 프로그램들의 섹션들의 예들은 기판 포지셔닝 프로그램, 프로세스 가스 제어 프로그램, 압력 제어 프로그램, 히터 제어 프로그램 및 플라즈마 제어 프로그램을 포함한다.
기판 포지셔닝 프로그램은 페데스탈 (1018) 상에 기판을 로딩하고 기판과 프로세스 툴 (1000) 의 다른 부분들 사이의 간격을 제어하도록 사용된 프로세스 툴 컴포넌트들에 대한 프로그램 코드를 포함할 수도 있다.
프로세스 가스 제어 프로그램은 가스 조성 및 플로우 레이트들을 제어하기 위한 코드 및 선택 가능하게 프로세스 스테이션 내 압력을 안정화시키기 위해 증착 전에 하나 이상의 프로세스 스테이션들 내로 가스를 흘리기 위한 코드를 포함할 수도 있다. 프로세스 가스 제어 프로그램은 임의의 개시된 범위들 내에서 가스 조성 및 플로우 레이트들을 제어하기 위한 코드를 포함할 수도 있다. 압력 제어 프로그램이 예를 들어, 프로세스 스테이션의 배기 시스템의 쓰로틀 밸브를 조절함으로써, 프로세스 스테이션 내 압력, 프로세스 스테이션 내로 가스 플로우, 등을 제어하기 위한 코드를 포함할 수도 있다. 압력 제어 프로그램은 임의의 개시된 압력 범위들 내에서 프로세스 스테이션의 압력을 유지하기 위한 코드를 포함할 수도 있다.
히터 제어 프로그램은 기판을 가열하는 데 사용되는 가열 유닛으로의 전류를 제어하기 위한 코드를 포함할 수도 있다. 대안적으로, 히터 제어 프로그램은 기판으로 (헬륨과 같은) 열 전달 가스의 전달을 제어할 수도 있다. 히터 제어 프로그램은 임의의 개시된 범위들 내에서 기판의 온도를 유지하기 위한 인스트럭션들을 포함할 수도 있다.
플라즈마 제어 프로그램은 예를 들어 본 명세서에 개시된 임의의 RF 전력 레벨들을 사용하여, 하나 이상의 프로세스 스테이션들에서 프로세스 전극들에 인가된 RF 전력 레벨들 및 주파수들을 설정하기 위한 코드를 포함할 수도 있다. 플라즈마 제어 프로그램은 또한 플라즈마 노출 각각의 지속 기간을 제어하기 위한 코드를 포함할 수도 있다.
일부 실시 예들에서, 시스템 제어기 (1050) 와 연관된 사용자 인터페이스가 있을 수도 있다. 사용자 인터페이스는 디스플레이 스크린, 장치 및/또는 프로세스 조건들의 그래픽 소프트웨어 디스플레이들 및 포인팅 디바이스들, 키보드들, 터치 스크린들, 마이크로폰들, 등과 같은 사용자 입력 디바이스들을 포함할 수도 있다.
일부 실시 예들에서, 시스템 제어기 (1050) 에 의해 조정된 파라미터들은 프로세스 조건들에 관련될 수도 있다. 비제한적인 예들은 프로세스 가스 조성 및 플로우 레이트들, 온도, 압력, (RF 전력 레벨들, 주파수, 및 노출 시간과 같은) 플라즈마 조건들, 등을 포함한다. 이들 파라미터들은 사용자 인터페이스를 활용하여 입력될 수도 있는 레시피의 형태로 사용자에게 제공될 수도 있다.
프로세스를 모니터링하기 위한 신호들은 다양한 프로세스 툴 센서들로부터 시스템 제어기 (1050) 의 아날로그 입력 연결부 및/또는 디지털 입력 연결부에 의해 제공될 수도 있다. 프로세스를 제어하기 위한 신호들은 프로세스 툴 (1000) 의 아날로그 출력 연결부 및 디지털 출력 연결부 상에 출력될 수도 있다. 모니터링될 수도 있는 프로세스 툴 센서들의 비제한적인 예들은 질량 플로우 제어기들, (마노미터들 (manometers) 과 같은) 압력 센서들, 열전대들 (thermocouples), 등을 포함한다. 적절하게 프로그래밍된 피드백 및 제어 알고리즘들이 프로세스 조건들을 유지하기 위해 이들 센서들로부터 데이터와 함께 사용될 수도 있다.
임의의 적합한 챔버가 개시된 실시 예들을 구현하는 데 사용될 수도 있다. 예시적인 ALD 및 CVD 증착 장치들은 이로 제한되는 것은 아니지만, California, Fremont 소재의 Lam Research Corp.로부터 각각 입수 가능한 ALTUS® 제품군, VECTOR® 제품군, STRIKER® 제품군, 및/또는 SPEED® 제품군으로부터의 장치, 또는 임의의 다양한 다른 상업적으로 입수 가능한 프로세싱 시스템들을 포함한다. 스테이션들 중 2개 이상이 동일한 기능들을 수행할 수도 있다. 유사하게, 2 개 이상의 스테이션들은 상이한 기능들을 수행할 수도 있다. 스테이션 각각은 목표된 바와 같이 특정한 기능/방법을 수행하도록 설계/구성될 수 있다.
도 11은 특정한 실시 예들에 따른 박막 증착 프로세스들을 수행하기에 적합한 프로세싱 시스템의 블록도이다. 시스템 (1100) 은 이송 모듈 (1103) 을 포함한다. 이송 모듈 (1103) 은 다양한 반응기 모듈들 사이에서 이동될 때 프로세싱될 기판들의 오염 위험을 최소화하도록 클린, 가압된 분위기를 제공한다. 이송 모듈 (1103) 상에 특정한 실시 예들에 따라 ALD 및/또는 CVD를 각각 수행할 수 있는, 2 개의 멀티-스테이션 반응기들 (1109 및 1110) 이 장착된다. 반응기들 (1109 및 1110) 은 개시된 실시 예들에 따라 순차적으로 또는 비순차적으로 동작들을 수행할 수도 있는 복수의 스테이션들 (1111, 1113, 1115, 및 1117) 을 포함할 수도 있다. 스테이션들은 가열된 페데스탈 또는 기판 지지부, 하나 이상의 가스 유입구들 또는 샤워헤드 또는 분산 (dispersion) 플레이트를 포함할 수도 있다.
또한, 플라즈마 또는 화학적 (비플라즈마) 사전-세정들, 처리들, 또는 개시된 방법들과 관련하여 기술된 임의의 다른 프로세스들을 수행할 수 있는 하나 이상의 단일 스테이션 모듈들 또는 멀티-스테이션 모듈들 (1107A 및 1107B) 이 이송 모듈 (1103) 상에 장착될 수도 있다. 2 개의 모듈들 (1107A 및 1107B) 만이 도시되지만, 부가적인 모듈들이 특정한 애플리케이션에 대해 목표된 바와 같이 제공될 수도 있다는 것이 이해된다. 모듈들 (1107A 및 1107B) 각각은 도 2와 관련하여 기술된 바와 같이 유전체 재료의 상단 표면을 의도적으로 손상시키도록 기판을 플라즈마에 노출하는 단계, 및/또는 도 6 및 도 7과 관련하여 기술된 바와 같이 금속 옥사이드 배리어 층의 상단 표면을 인 시츄 금속 라이너로 환원시키기 위해 기판을 환원 가스 또는 플라즈마에 노출시키는 단계, 및/또는 도 8과 관련하여 기술된 바와 같이 금속 나이트라이드 시드 층 전구체를 금속 시드 층으로 변환하기 위해 기판을 어닐링 또는 플라즈마에 노출하는 단계, 및/또는 시드 층 상으로 전도성 금속을 전기 도금하는 단계와 같은 본 명세서에 기술된 비-ALD 및 비-CVD 단계들 중 하나 이상을 수행하도록 독립적으로 구성될 수도 있다. 모듈들 (1107A/1107B) 중 하나 이상이 전기 도금을 수행하도록 구성되는 경우, 모듈 (1107A/1107B) 은 예를 들어, 도 12와 관련하여 기술된 바와 같을 수도 있다. 특정한 실시 예에서, 시스템 (1100) 은 본 명세서에 기술된 단계들 각각을 달성하기에 충분한 수의 모듈들 (예를 들어, 모듈들 (1107A 및 1107B) 과 유사함) 을 포함한다. 일부 경우들에서, 모듈 (1107A 및/또는 1107B) 은 예를 들어 에칭 또는 폴리싱을 수행하기 위해 다양한 다른 목적들을 위해 사용될 수도 있다.
시스템 (1100) 은 또한 웨이퍼들이 프로세싱 전후에 저장되는, 하나 이상의 웨이퍼 소스 모듈들 (1101) 을 포함한다. 대기 이송 챔버 (1119) 의 대기 로봇 (미도시) 가 소스 모듈들 (1101) 로부터 로드 록들 (loadlocks) (1121) 로 웨이퍼들을 먼저 제거할 수도 있다. 이송 모듈 (1103) 의 웨이퍼 이송 디바이스 (일반적으로 로봇 암 유닛) 가 로드 록들 (1121) 로부터 이송 모듈 (1103) 상에 장착된 모듈들로 그리고 이들 사이에 웨이퍼들을 이동시킨다.
다양한 실시 예들에서, 시스템 제어기 (1129) 가 증착 동안 프로세스 조건들을 제어하기 위해 채용된다. 제어기 (1129) 는 통상적으로 하나 이상의 메모리 디바이스들 및 하나 이상의 프로세서들을 포함할 것이다. 프로세서가 CPU 또는 컴퓨터, 아날로그 입력/출력 연결부 및/또는 디지털 입력/출력 연결부, 스텝퍼 모터 제어기 보드들, 등을 포함할 수도 있다.
제어기 (1129) 는 증착 장치의 모든 액티비티들을 제어할 수도 있다. 시스템 제어기 (1129) 는 타이밍, 가스들의 혼합물, 챔버 압력, 챔버 온도, 웨이퍼 온도, 무선 주파수 (Radio Frequency; RF) 전력 레벨들, 웨이퍼 척 또는 페데스탈 포지셔닝, 및 특정한 프로세스의 다른 파라미터들을 제어하기 위한 인스트럭션들의 세트들을 포함하는, 시스템 제어 소프트웨어를 실행한다. 일부 실시 예들에서 제어기 (1129) 와 연관된 메모리 디바이스들 상에 저장된 다른 컴퓨터 프로그램들이 채용될 수도 있다.
통상적으로 제어기 (1129) 와 연관된 사용자 인터페이스가 있을 것이다. 사용자 인터페이스는 디스플레이 스크린, 장치 및/또는 프로세스 조건들의 그래픽 소프트웨어 디스플레이들 및 포인팅 디바이스들, 키보드들, 터치 스크린들, 마이크로폰들, 등과 같은 사용자 입력 디바이스들을 포함할 수도 있다.
시스템 제어 로직은 임의의 적합한 방식으로 구성될 수도 있다. 일반적으로, 로직은 하드웨어 및/또는 소프트웨어로 구성되거나 설계될 수 있다. 구동 회로를 제어하기 위한 인스트럭션들은 하드 코딩될 (hard code) 수도 있고 또는 소프트웨어로서 제공될 수도 있다. 인스트럭션들은 "프로그래밍"에 의해 제공될 수도 있다. 이러한 프로그래밍은 디지털 신호 프로세서들, 주문형 집적 회로들 (application-specific integrated circuits) 및 하드웨어로서 구현된 특정한 알고리즘들을 갖는 다른 디바이스들에 하드 코딩된 로직을 포함하는, 임의의 형태의 로직을 포함하는 것으로 이해된다. 프로그래밍은 또한 범용 프로세서 상에서 실행될 수도 있는 소프트웨어 또는 펌웨어 인스트럭션들을 포함하는 것으로 이해된다. 시스템 제어 소프트웨어는 임의의 적합한 컴퓨터 판독가능 프로그래밍 언어로 코딩될 수도 있다.
프로세스 시퀀스에서 반응 물질 플로우들, 및 다른 프로세스들을 제어하기 위한 컴퓨터 프로그램 코드는 임의의 종래의 컴퓨터 판독 가능 프로그래밍 언어: 예를 들어, 어셈블리 언어, C, C++, Pascal, Fortran 또는 다른 것들로 작성될 수 있다. 컴파일링된 객체 코드 또는 스크립트가 프로그램에서 식별된 태스크들을 수행하기 위해 프로세서에 의해 실행된다. 또한 나타낸 바와 같이, 프로그램 코드는 하드 코딩될 수도 있다.
제어기 파라미터들은 예컨대, 예를 들어, 프로세스 가스 조성 및 플로우 레이트들, 온도, 압력, 냉각 가스 압력, 기판 온도 및 챔버 벽 온도와 같은, 프로세스 조건들에 관련된다. 이들 파라미터들은 레시피의 형태로 사용자들에게 제공되고, 사용자 인터페이스를 활용하여 입력될 수도 있다. 프로세스를 모니터링하기 위한 신호들은 시스템 제어기 (1129) 의 아날로그 입력 연결부 및/또는 디지털 입력 연결부에 의해 제공될 수도 있다. 프로세스를 제어하기 위한 신호들은 증착 장치 (1100) 의 아날로그 출력 연결부 및 디지털 출력 연결부 상에 출력된다.
시스템 소프트웨어는 많은 상이한 방식들로 설계될 수도 있거나 구성될 수도 있다. 예를 들어, 다양한 챔버 컴포넌트 서브루틴들 또는 제어 객체들은 개시된 실시 예들에 따른 증착 프로세스들 (및 일부 경우들에서, 다른 프로세스들) 을 수행하기 위해 필요한 챔버 컴포넌트들의 동작을 제어하도록 작성될 수도 있다. 이 목적을 위한 프로그램들 또는 프로그램들의 섹션들의 예들은 기판 포지셔닝 코드, 프로세스 가스 제어 코드, 압력 제어 코드 및 히터 제어 코드를 포함한다.
도 12는 전기 도금이 발생할 수도 있는 전기 도금 셀 (cell) 의 일 예를 제시한다. 예를 들어, 도 1을 참조하면, 전도성 금속 (109) 은 도 12의 전기 도금 셀에서 증착될 수도 있다. 종종, 전기 도금 장치는 기판들 (예를 들어, 웨이퍼들) 이 프로세싱되는 하나 이상의 전기 도금 셀들을 포함한다. 명료성을 보존하기 위해 도 12에 단 하나의 전기 도금 셀이 도시된다. 보텀-업 (bottom-up) 전기 도금을 최적화하기 위해, 첨가제들 (예를 들어, 가속화제들, 억제제들, 및 평탄화제들) 이 전해질에 첨가된다; 그러나, 첨가제들을 갖는 전해질은 바람직하지 않은 방식들로 애노드와 반응할 수도 있다. 따라서, 도금 셀의 애노드 영역 및 캐소드 영역은 때때로 멤브레인에 의해 분리되어 상이한 조성의 도금 용액들이 각각의 영역에서 사용될 수도 있다. 캐소드 영역의 도금 용액은 캐소드액 (catholyte) 그리고 애노드 영역에서, 애노드액 (anolyte) 으로 불린다. 도금 장치 내로 애노드액 및 캐소드액을 도입하기 위해 다수의 엔지니어링 설계들이 사용될 수 있다.
도 12 참조하면, 일 실시 예에 따른 전기 도금 장치 (1201) 의 도식적인 (diagrammatical) 단면도가 도시된다. 도금 배스 (1203) 는 레벨 (1205) 로 도시된, (본 명세서에 제공된 바와 같은 조성을 갖는) 전기 도금 용액을 담는다. 이 용기의 캐소드액 부분은 캐소드액 내에 기판들을 수용하도록 구성된다. 웨이퍼 (1207) 가 도금 용액 내로 침지되고, 예를 들어, 웨이퍼 (1207) 와 함께 클램쉘 (clamshell) 기판 홀더 (1209) 의 회전을 허용하는, 회전 가능한 스핀들 (spindle) (1211) 상에 장착된, "클램쉘" 기판 홀더 (1209) 에 의해 홀딩된다.
애노드 (1213) 가 도금 배스 (1203) 내에서 웨이퍼 아래에 배치되고, 그리고 멤브레인 (1215), 바람직하게 이온 선택성 멤브레인에 의해 웨이퍼 영역으로부터 분리된다. 예를 들어, Nafion™ CEM (cationic exchange membrane) 이 사용될 수도 있다. 애노드 멤브레인 아래 영역은 종종 "애노드 챔버"로 지칭된다. 이온 선택성 애노드 멤브레인 (1215) 은 도금 셀의 애노드 영역과 캐소드 영역 사이의 이온 연통 (ionic communication) 을 허용하는 반면, 애노드에서 생성된 입자들이 웨이퍼 근방으로 들어가서 웨이퍼를 오염시키는 것을 방지한다. 애노드 멤브레인은 또한 도금 프로세스 동안 전류 플로우를 재분배하여 도금 균일성을 개선하는데 유용하다. 양이온 교환 멤브레인들과 같은 이온 교환 멤브레인들이, 특히 이들 애플리케이션들에 적합하다. 이들 멤브레인들은 통상적으로 이오노머 재료들, 예컨대 설폰기들을 함유하는 퍼플루오르화된 코-폴리머들 (예를 들어, Nafion™, 설폰화된 폴리이미드들 및 양이온 교환에 적합한 것으로 당업자에게 공지된 다른 재료들로 이루어진다. 적합한 Nafion™ 멤브레인들의 선택된 예들은 Dupont de Nemours Co.로부터 입수 가능한 N324 및 N424 멤브레인들을 포함한다.
도금 동안, 도금 용액으로부터의 이온들이 기판 상에 증착된다. 금속 이온들은 확산 경계 층을 통해 그리고 상호 연결 홀 또는 다른 피처 내로 확산되어야 한다. 확산을 보조하는 통상적인 방식은 펌프 (1217) 에 의해 제공된 전기 도금 용액의 대류 플로우를 통한 것이다. 부가적으로, 웨이퍼 회전뿐만 아니라 진동 교반 또는 음파 교반 부재가 사용될 수도 있다. 예를 들어, 진동 변환기 (vibration transducer) (1208) 가 클램쉘 기판 홀더 (1209) 에 부착될 수도 있다.
도금 용액은 펌프 (1217) 에 의해 도금 배스 (1203) 에 연속적으로 제공된다. 일반적으로, 도금 용액은 애노드 멤브레인 (1215) 및 확산기 플레이트 (1219) 를 통해 웨이퍼 (1207) 의 중심으로 그리고 이어서 웨이퍼 (1207) 를 가로질러 방사상으로 외측으로 흐른다. 도금 용액은 또한 도금 배스 (1203) 의 측면으로부터 배스의 애노드 영역 내로 제공될 수도 있다. 이어서 도금 용액은 도금 배스 (1203) 를 오버플로우 (overflow) 저장부 (1221) 로 오버플로우한다. 이어서 도금 용액은 필터링되고 (미도시) 펌프 (1217) 로 리턴되어 도금 용액의 재순환을 완료한다. 도금 셀의 특정한 구성들에서, 주 도금 용액과의 혼합이 저 투과성 멤브레인들 또는 이온 선택성 멤브레인들을 사용하여 방지되는 동안, 구별되는 전해질이 애노드가 담긴 도금 셀의 부분을 통해 순환된다.
기준 전극 (1231) 은 별도의 챔버 (1233) 내 도금 배스 (1203) 의 외부에 위치되고, 챔버는 메인 도금 배스 (1203) 로부터 오버플로우에 의해 보충된다. 대안적으로 일부 실시 예들에서, 기준 전극은 기판 표면에 가능한 가깝게 포지셔닝되고, 기준 전극 챔버는 모세관을 통해 또는 또 다른 방법에 의해, 웨이퍼 기판의 측면에 또는 웨이퍼 기판 바로 아래에 연결된다. 일부 바람직한 실시 예들에서, 장치는 웨이퍼 주변부에 연결되고 웨이퍼의 주변부에서 금속 시드 층의 전위를 센싱하도록 구성되지만 웨이퍼로 어떠한 전류도 전달하지 않는 콘택트 센싱 리드들 (leads) 을 더 포함한다.
기준 전극 (1231) 은 제어된 전위에서 전기 도금이 목표될 때 통상적으로 채용된다. 기준 전극 (1231) 은 수은/수은 설페이트, 은 클로라이드, 포화된 칼로멜, 또는 구리 금속과 같은 다양한 일반적으로 사용되는 타입들 중 하나일 수도 있다. 웨이퍼 (1207) 와 직접 콘택트하는 콘택트 센싱 리드는 보다 정확한 전위 측정 (미도시) 을 위해, 일부 실시 예들에서 기준 전극에 더하여 사용될 수도 있다.
DC 전력 공급부 (1235) 가 웨이퍼 (1207) 로의 전류 플로우를 제어하기 위해 사용될 수 있다. 전력 공급부 (1235) 는 하나 이상의 슬립 링들, 브러시들 및 콘택트들 (미도시) 을 통해 웨이퍼 (1207) 에 전기적으로 접속된 네거티브 출력 리드 (1239) 를 갖는다. 전력 공급부 (1235) 의 포지티브 출력 리드 (1241) 는 도금 배스 (1203) 내에 위치된 애노드 (1213) 에 전기적으로 연결된다. 전력 공급부 (1235), 기준 전극 (1231) 및 콘택트 센싱 리드 (미도시) 는 다른 기능들 중에서, 전기 도금 셀의 엘리먼트들에 제공된 전류 및 전위의 변조를 허용하는 시스템 제어기 (1247) 에 연결될 수 있다. 예를 들어, 제어기는 전위-제어된 레짐 (regime) 및 전류-제어된 레짐에서 전기 도금을 허용할 수도 있다. 제어기는 도금 셀의 다양한 엘리먼트들에 인가되어야 하는 전류 및 전압 레벨들, 뿐만 아니라 이들 레벨들이 변화되어야 하는 시간들을 특정하는 프로그램 인스트럭션들을 포함할 수도 있다. 순방향 전류가 인가될 때, 전력 공급부 (1235) 는 애노드 (1213) 에 대해 네거티브 전위를 갖도록 웨이퍼 (1207) 를 바이어싱한다. 이는 전류로 하여금 애노드 (1213) 로부터 웨이퍼 (1207) 로 흐르게 하고, 전기 화학적 환원 (예를 들어, Cu2+ + 2 e- = Cu0) 이 웨이퍼 표면 (캐소드) 상에서 발생하고, 이는 웨이퍼의 표면들 상에 전기적으로 전도성 층 (예를 들어, 구리) 의 증착을 발생시킨다. 불활성 애노드 (1214) 는 도금 배스 (1203) 내에서 웨이퍼 (1207) 아래에 설치될 수도 있고 멤브레인 (1215) 에 의해 웨이퍼 영역으로부터 분리될 수도 있다.
장치는 또한 도금 용액의 온도를 특정한 레벨로 유지하기 위한 히터 (1245) 를 포함할 수도 있다. 도금 용액은 도금 배스의 다른 엘리먼트들로 열을 전달하기 위해 사용될 수도 있다. 예를 들어, 웨이퍼 (1207) 가 도금 배스 내로 로딩될 때, 히터 (1245) 및 펌프 (1217) 는 장치 전체의 온도가 실질적으로 균일해질 때까지 전기 도금 장치 (1201) 를 통해 도금 용액을 순환시키도록 턴온될 (turn on) 수도 있다. 일 실시 예에서, 히터는 시스템 제어기 (1247) 에 연결된다. 시스템 제어기 (1247) 는 전기 도금 장치 내에서 도금 용액 온도의 피드백을 수신하고 부가적인 가열에 대한 필요성을 결정하도록 열전대 (thermocouple) 에 연결될 수도 있다.
제어기는 통상적으로 하나 이상의 메모리 디바이스들 및 하나 이상의 프로세서들을 포함할 것이다. 프로세서는 CPU 또는 컴퓨터, 아날로그 입력/출력 연결부 및/또는 디지털 입력/출력 연결부, 스텝퍼 모터 제어기 보드들, 등을 포함할 수도 있다. 특정한 실시 예들에서, 제어기는 전기 도금 장치의 모든 액티비티들을 제어한다. 본 실시 예들에 따른 프로세스 동작들을 제어하기 위한 인스트럭션들을 포함하는 비일시적, 머신-판독가능 매체가 시스템 제어기에 커플링될 수도 있다.
통상적으로 제어기 (1247) 와 연관된 사용자 인터페이스가 있을 것이다. 사용자 인터페이스는 디스플레이 스크린, 장치 및/또는 프로세스 조건들의 그래픽 소프트웨어 디스플레이들 및 포인팅 디바이스들, 키보드들, 터치 스크린들, 마이크로폰들, 등과 같은 사용자 입력 디바이스들을 포함할 수도 있다. 전기 도금 프로세스들을 제어하기 위한 컴퓨터 프로그램 코드는 임의의 종래의 컴퓨터 판독 가능 프로그래밍 언어, 예를 들어, 어셈블리어, C, C++, Pascal, Fortran, 또는 다른 언어들로 작성될 수 있다. 컴파일링된 객체 코드 또는 스크립트가 프로그램에서 식별된 태스크들을 수행하기 위해 프로세서에 의해 실행된다. 본 명세서의 실시 예들에 따라 사용될 수도 있는 도금 장치의 일 예는 Lam Research Sabre 툴이다. 전착은 보다 큰 전착 장치를 형성하는 컴포넌트들에서 수행될 수 있다.
도 13은 예시적인 전착 장치의 평면도의 개략도를 도시한다. 전착 장치 (1300) 는 3 개의 별개의 전기 도금 모듈들 (1302, 1304 및 1306) 을 포함할 수 있다. 전착 장치 (1300) 는 또한 본 명세서에 기술된 동작들을 포함하여, 다양한 프로세스 동작들을 위해 구성된 3 개의 별개의 모듈들 (1312, 1314 및 1316) 을 포함할 수 있다. 예를 들어, 일부 실시 예들에서, 모듈들 (1312, 1314 및 1316) 중 하나 이상은 스핀 린스 건조 (Spin Rinse Drying; SRD) 모듈일 수도 있다. 이들 또는 다른 실시 예들에서, 모듈들 (1312, 1314 및 1316) 중 하나 이상은 전기충진-후 모듈들 (Post-Electrofill Modules; PEMs) 일 수도 있고, 각각은 기판이 전기 도금 모듈들 (1302, 1304 및 1306) 중 하나에 의해 프로세싱된 후 에지 베벨 제거, 후면 에칭 및 기판들의 산 세정과 같은, 기능을 수행하도록 구성된다. 이들 또는 다른 실시 예들에서, 모듈들 (1312, 1314 및 1316) 각각은 도 2와 관련하여 기술된 바와 같이 유전체 재료의 상단 표면을 의도적으로 손상시키도록 기판을 플라즈마에 노출하는 단계, 및/또는 도 3 및 도 4 각각과 관련하여 기술된 바와 같은 CVD 및/또는 ALD를 통해 금속 옥사이드 배리어 층을 증착하는 단계, 및/또는 도 6 및 도 7과 관련하여 기술된 바와 같이 금속 옥사이드 배리어 층의 상단 표면을 인 시츄 금속 라이너로 환원시키기 위해 기판을 환원 가스 또는 플라즈마에 노출시키는 단계, 및/또는 도 7 및 도 8과 관련하여 기술된 바와 같은 금속 시드 층 또는 금속 나이트라이드 시드 층 전구체를 증착하는 단계, 및/또는 도 8과 관련하여 기술된 바와 같이 금속 나이트라이드 시드 층 전구체를 금속 시드 층으로 변환하기 위해 기판을 어닐링 또는 플라즈마에 노출하는 단계와 같은 본 명세서에 기술된 하나 이상의 단계들을 수행하도록 독립적으로 구성될 수도 있다. 전기 도금 이외의 동작들을 수행하기 위해 3 개의 모듈들 (1312, 1314, 및 1316) 만이 도시되지만, 임의의 수의 모듈들이 특정한 애플리케이션에 대해 적절하게 제공될 수도 있다는 것이 이해된다.
전착 장치 (1300) 는 중앙 전착 챔버 (1324) 를 포함한다. 중앙 전착 챔버 (1324) 는 전기 도금 모듈들 (1302, 1304, 1306) 에서 전기 도금 용액으로 사용된 화학적 용액을 홀딩하는 챔버이다. 전착 장치 (1300) 는 또한 전기 도금 용액에 대한 첨가제들을 저장할 수도 있고 전달할 수도 있는 도징 시스템 (1326) 을 포함한다. 화학적 희석 모듈 (1322) 이 에천트 (etchant) 로 사용될 화학 물질들을 저장할 수도 있고 혼합할 수도 있다. 여과 및 펌핑 유닛 (1328) 이 중앙 전착 챔버 (1324) 에 대한 전기 도금 용액을 필터링할 수도 있고, 이를 전기 도금 모듈들로 펌핑할 수도 있다.
시스템 제어기 (1330) 가 전착 장치 (1300) 를 동작시키기 위해 필요한 전자적 및 인터페이스 제어를 제공한다. (하나 이상의 물리적 또는 논리적 제어기들을 포함할 수도 있는) 시스템 제어기 (1330) 는 전착 장치 (1300) 의 일부 또는 모든 특성들을 제어한다.
프로세스를 모니터링하기 위한 신호들은 다양한 프로세스 툴 센서들로부터 시스템 제어기 (1330) 의 아날로그 입력 연결부 및/또는 디지털 입력 연결부에 의해 제공될 수도 있다. 프로세스를 제어하기 위한 신호들은 프로세스 툴의 아날로그 출력 연결부 및 디지털 출력 연결부 상에 출력될 수도 있다. 모니터링될 수도 있는 프로세스 툴 센서들의 비한정적인 예들은 질량 유량 제어기들, (마노미터들 (manometers) 과 같은) 압력 센서들, 열전대들 (thermocouples), 광학 포지셔닝 센서들, 등을 포함한다. 적절하게 프로그래밍된 피드백 및 제어 알고리즘들이 프로세스 조건들을 유지하기 위해 이들 센서들로부터 데이터와 함께 사용될 수도 있다.
핸드-오프 툴 (1340) 은 카세트 (1342) 또는 카세트 (1344) 와 같은 기판 카세트로부터 기판을 선택할 수도 있다. 카세트들 (1342 또는 1344) 은 FOUP들 (Front Opening Unified Pods) 일 수도 있다. FOUP는 제어된 환경에서 기판을 단단하고 안전하게 홀딩하고, 기판들로 하여금 적절한 로드 포트들 및 로봇 핸들링 시스템들을 구비한 툴들에 의한 프로세싱 또는 측정을 위해 제거되게 하도록 설계된 인클로저 (enclosure) 이다. 핸드 오프 툴 (1340) 은 진공 부착 또는 일부 다른 부착 메커니즘을 사용하여 기판을 홀딩할 수도 있다.
핸드 오프 툴 (1340) 은 웨이퍼 핸들링 스테이션 (1332), 카세트들 (1342 또는 1344), 이송 스테이션 (1350), 또는 정렬기 (1348) 와 인터페이싱할 (interface) 수도 있다. 이송 스테이션 (1350) 으로부터, 핸드 오프 툴 (1346) 은 기판으로의 액세스를 얻을 수도 있다. 이송 스테이션 (1350) 은 핸드 오프 툴들 (1340 및 1346) 이 정렬기 (1348) 를 통과하지 않고 기판들을 통과할 수도 있는 슬롯 또는 포지셔닝일 수도 있다. 그러나, 일부 실시 예들에서, 기판이 전기 도금 모듈로의 정밀 전달을 위해 핸드 오프 툴 (1346) 에 적절하게 정렬되는 것을 보장하기 위해, 핸드 오프 툴 (1346) 은 정렬기 (1348) 로 기판을 정렬할 수도 있다. 핸드 오프 툴 (1346) 은 또한 기판을 전기 도금 모듈 (1302, 1304, 또는 1306) 중 하나로 또는 다양한 프로세스 동작들을 위해 구성된 3 개의 별개의 모듈들 (1312, 1314 및 1316) 중 하나로 전달할 수도 있다.
상기 기술된 방법들에 따른 프로세스 동작의 일 예는 이하와 같이 진행될 수도 있다: (1) 전기 도금 모듈 (1304) 의 기판 상에 구리 또는 또 다른 재료를 전착; (2) 모듈 (1312) 의 SRD에서 기판을 린싱 및 건조; 및 (3) 모듈 (1314) 에서 에지 베벨 제거를 수행.
순차적인 도금, 린싱, 건조 및 PEM 프로세스 동작들을 통해 기판들의 효율적인 사이클링을 허용하도록 구성된 장치가 제작 환경에서 사용하기 위한 구현 예들에 유용할 수도 있다. 이를 달성하기 위해, 모듈 (1312) 은 스핀 린스 건조기 및 에지 베벨 제거 챔버로 구성될 수 있다. 이러한 모듈 (1312) 에서, 기판은 구리 도금 및 EBR 동작들을 위해 전기 도금 모듈 (1304) 과 모듈 (1312) 사이로만 이송되어야 할 것이다. 일부 실시 예들에서, 본 명세서에 기술된 방법들은 전기 도금 장치 및 스텝퍼를 포함하는 시스템에서 구현될 것이다.
전착 장치 (1400) 의 대안적인 실시 예가 도 14에 개략적으로 예시된다. 이 실시 예에서, 전착 장치 (1400) 는 전기 도금 셀들 (1407) 의 세트를 갖고, 각각은 쌍을 이루거나 복수의 "듀엣" 구성으로, 전기 도금 배스를 포함한다. 전기 도금 그 자체 (per se) 에 더하여, 전착 장치 (1400) 는 예를 들어, 다양한 다른 전기 도금 및 비전기 도금 관련 프로세스들 및 하위 단계들, 예컨대 스핀-린싱, 스핀-건조, 금속 및 실리콘 습식 에칭, 무전해 증착, 사전 습식 처리 및 사전 화학 처리, 환원, 어닐링, 플라즈마 처리, 원자 층 증착, 화학적 기상 증착, 전기 에칭 및/또는 전기 폴리싱, 포토레지스트 스트립핑 및 표면 사전 활성화를 수행할 수도 있다. 도 13의 다양한 모듈들에서 수행될 수도 있는 임의의 단계들은 전착 장치 (1400) 내에서 수행될 수도 있다. 전착 장치 (1400) 는 도 14에 위에서 아래로 보는 것으로 개략적으로 도시되고, 단일 레벨 또는 "플로어"만이 도면에 드러나지만, 이러한 장치, 예를 들어, Lam SabreTM 3D 툴이 서로 상단 상에 "스택된" 2 개 이상의 레벨들을 가질 수 있고, 각각은 잠재적으로 프로세싱 스테이션들의 동일하거나 상이한 타입들을 갖는 것이 당업자에 의해 쉽게 이해된다.
도 7을 다시 참조하면, 전기 도금될 기판 (1406) 은 일반적으로 프론트 엔드 로딩 FOUP (1401) 를 통해 전착 장치 (1400) 에 피딩되고 (feed), 이 예에서, 이는 액세스 가능한 스테이션들의 일 스테이션으로부터 또 다른 스테이션으로 복수의 차원들에서 스핀들 (spindle) (1403) 에 의해 구동된 기판 (1406) 을 집어넣고 (retract) 이동시킬 수 있는, 프론트-엔드 로봇 (1402) 을 통해 FOUP로부터 전착 장치 (1400) 의 메인 기판 프로세싱 영역에 전달된다―2 개의 프론트-엔드 액세스 가능한 스테이션들 (1404) 및 또한 2 개의 프론트-엔드 액세스 가능한 스테이션들 (1408) 이 이 예에서 도시된다. 프론트-엔드 액세스 가능한 스테이션들 (1404 및 1408) 은 예를 들어, 전처리 스테이션들, 및 SRD (spin rinse drying) 스테이션들을 포함할 수도 있다. 프론트-엔드 로봇 (1402) 의 측면-측면으로부터의 측방향 이동은 로봇 트랙 (1402a) 을 활용하여 달성된다. 기판들 (1406) 각각은 모터 (미도시) 에 연결된 스핀들 (1403) 에 의해 구동된 컵/콘 어셈블리 (미도시) 에 의해 홀딩될 수도 있고, 모터는 마운팅 브라켓 (1409) 에 부착될 수도 있다. 이 예에서 또한 도시된 것은 전기 도금 셀들 (1407) 의 4 개의 "듀엣들"이고, 총 8 개의 전기 도금 셀들 (1407) 이다. 시스템 제어기 (미도시) 가 전착 장치 (1400) 의 특성들 중 일부 또는 전부를 제어하기 위해 전착 장치 (1400) 에 커플링될 수도 있다. 시스템 제어기는 본 명세서에 앞서 기술된 프로세스들에 따른 인스트럭션들을 실행하도록 프로그래밍되거나 달리 구성될 수도 있다.
일부 구현 예들에서, 제어기는 상기 기술된 예들의 일부일 수도 있는, 시스템의 일부이다. 이러한 시스템들은 프로세싱 툴 또는 툴들, 챔버 또는 챔버들, 프로세싱을 위한 플랫폼 또는 플랫폼들 및/또는 특정 프로세싱 컴포넌트들 (웨이퍼 페데스탈, 가스 플로우 시스템, 등) 을 포함하는, 반도체 프로세싱 장비를 포함할 수 있다. 이들 시스템들은 반도체 웨이퍼 또는 기판의 프로세싱 이전에, 프로세싱 동안에, 그리고 프로세싱 이후에 그들의 동작을 제어하기 위한 전자 장치 (electronics) 와 통합될 수도 있다. 전자 장치는 시스템들 또는 시스템의 서브 파트들 또는 다양한 컴포넌트들을 제어할 수도 있는 "제어기 (controller)"로서 지칭될 수도 있다. 제어기는, 시스템의 프로세싱 요건들 및/또는 타입에 따라서, 프로세싱 가스들의 전달, 온도 설정들 (예를 들어, 가열 및/또는 냉각), 압력 설정들, 진공 설정들, 전력 설정들, 무선 주파수 (RF) 생성기 설정들, RF 매칭 회로 설정들, 주파수 설정들, 플로우 레이트 설정들, 유체 전달 설정들, 포지셔닝 및 동작 설정들, 툴 및 다른 이송 툴들 및/또는 특정 시스템과 연결되거나 인터페이싱된 로드 록들 내외로의 웨이퍼 이송들을 포함하는, 본 명세서에 개시된 프로세스들 중 임의의 프로세스들을 제어하도록 프로그래밍될 수도 있다.
일반적으로 말하면, 제어기는 인스트럭션들을 수신하고, 인스트럭션들을 발행하고, 동작을 제어하고, 세정 동작들을 가능하게 하고, 엔드포인트 측정들을 가능하게 하는, 등을 하는 다양한 집적 회로들, 로직, 메모리 및/또는 소프트웨어를 갖는 전자 장치로서 규정될 수도 있다. 집적 회로들은 프로그램 인스트럭션들을 저장하는 펌웨어의 형태의 칩들, 디지털 신호 프로세서들 (DSPs), ASICs (Application Specific Integrated Circuits) 로서 규정되는 칩들 및/또는 프로그램 인스트럭션들 (예를 들어, 소프트웨어) 을 실행하는 하나 이상의 마이크로프로세서들, 또는 마이크로제어기들을 포함할 수도 있다. 프로그램 인스트럭션들은 반도체 웨이퍼 상에서 또는 반도체 웨이퍼에 대한 특정 프로세스를 수행하기 위한 동작 파라미터들을 규정하는, 다양한 개별 설정들 (또는 프로그램 파일들) 의 형태로 제어기와 통신하는 또는 시스템과 통신하는 인스트럭션들일 수도 있다. 일부 실시 예들에서, 동작 파라미터들은 하나 이상의 층들, 재료들, 금속들, 옥사이드들, 실리콘, 실리콘 다이옥사이드, 표면들, 회로들 및/또는 웨이퍼의 다이들 (dies) 의 제조 동안에 하나 이상의 프로세싱 단계들을 달성하도록 프로세스 엔지니어들에 의해서 규정된 레시피의 일부일 수도 있다.
제어기는, 일부 구현 예들에서, 시스템과 통합되거나, 시스템에 커플링되거나, 그렇지 않으면 시스템에 네트워킹되거나, 또는 이들의 조합인 컴퓨터에 커플링되거나 이의 일부일 수도 있다. 예를 들어, 제어기는 웨이퍼 프로세싱의 원격 액세스를 가능하게 할 수 있는 팹 (fab) 호스트 컴퓨터 시스템의 전부 또는 일부이거나 "클라우드" 내에 있을 수도 있다. 컴퓨터는 제조 동작들의 현 진행을 모니터링하거나, 과거 제조 동작들의 이력을 조사하거나, 복수의 제조 동작들로부터 경향들 또는 성능 계측치들을 조사하거나, 현 프로세싱의 파라미터들을 변경하거나, 현 프로세싱을 따르는 프로세싱 단계들을 설정하거나, 새로운 프로세스를 시작하기 위해서, 시스템으로의 원격 액세스를 인에이블할 수도 있다. 일부 예들에서, 원격 컴퓨터 (예를 들어, 서버) 가 로컬 네트워크 또는 인터넷을 포함할 수도 있는, 네트워크를 통해 프로세스 레시피들을 시스템에 제공할 수 있다. 원격 컴퓨터는 차후에 원격 컴퓨터 로부터 시스템으로 전달될 파라미터들 및/또는 설정들의 입력 또는 프로그래밍을 인에이블하는 사용자 인터페이스를 포함할 수도 있다. 일부 예들에서, 제어기는 하나 이상의 동작들 동안 수행될 프로세싱 단계들 각각에 대한 파라미터들을 특정하는, 데이터의 형태의 인스트럭션들을 수신한다. 파라미터들은 제어기가 제어하거나 인터페이싱하도록 구성되는 툴의 타입 및 수행될 프로세스의 타입에 특정적일 수도 있다는 것이 이해되어야 한다. 따라서 상기 기술된 바와 같이, 제어기는 예컨대 본 명세서에 기술된 프로세스들 및 제어들과 같은, 공통 목적을 향해 함께 네트워킹되고 작동하는 하나 이상의 이산 제어기들을 포함함으로써 분산될 수도 있다. 이러한 목적들을 위한 분산형 제어기의 일 예는 챔버 상의 프로세스를 제어하도록 조합되는 원격으로 (예컨대 플랫폼 레벨에서 또는 원격 컴퓨터의 일부로서) 위치한 하나 이상의 집적 회로들과 통신하는 챔버 상의 하나 이상의 집적 회로들일 것이다.
비한정적으로, 예시적인 시스템들은 플라즈마 에칭 챔버 또는 모듈, 증착 챔버 또는 모듈, 스핀-린스 (spin-rinse) 챔버 또는 모듈, 금속 도금 챔버 또는 모듈, 세정 챔버 또는 모듈, 베벨 에지 에칭 챔버 또는 모듈, 물리적 기상 증착 (physical vapor deposition; PVD) 챔버 또는 모듈, 화학적 기상 증착 (chemical vapor deposition; CVD) 챔버 또는 모듈, 원자 층 증착 (atomic layer deposition; ALD) 챔버 또는 모듈, 원자 층 에칭 (atomic layer etch; ALE) 챔버 또는 모듈, 이온 주입 챔버 또는 모듈, 트랙 (track) 챔버 또는 모듈 및 반도체 웨이퍼들의 제작 및/또는 제작 시에 사용되거나 연관될 수도 있는 임의의 다른 반도체 프로세싱 시스템들을 포함할 수도 있다.
상술한 바와 같이, 툴에 의해서 수행될 프로세스 단계 또는 단계들에 따라서, 제어기는, 반도체 제작 공장 내의 툴 위치들 및/또는 로드 포트들로부터/로드 포트들로 웨이퍼들의 컨테이너들을 이동시키는 재료 이송 시에 사용되는, 다른 툴 회로들 또는 모듈들, 다른 툴 컴포넌트들, 클러스터 툴들, 다른 툴 인터페이스들, 인접 툴들, 이웃하는 툴들, 공장 도처에 위치한 툴들, 메인 컴퓨터, 또 다른 제어기, 또는 툴들 중 하나 이상과 통신할 수도 있다.
결론
전술한 실시 예들이 이해의 명확성의 목적들을 위해 다소 상세히 기술되었지만, 특정한 변화들 및 수정들이 첨부된 청구항들의 범위 내에서 실시될 수도 있다는 것이 자명할 것이다. 본 실시 예들의 프로세스들, 시스템들 및 장치를 구현하는 많은 대안적인 방식들이 있다는 것을 주의해야 한다. 이에 따라, 본 실시 예들은 예시적이고, 제한적이지 않은 것으로 간주될 것이며, 실시 예들은 본 명세서에 주어진 상세들로 제한되지 않을 것이다.

Claims (33)

  1. 기판 상에 상호 연결 구조체 또는 상호 연결 구조체의 일부를 형성하는 방법에 있어서,
    ⒜ 프로세싱 챔버 내에 기판을 수용하는 단계로서, 상기 기판은 유전체 재료 내에 형성된 리세스된 피처들을 갖는 상기 유전체 재료를 포함하고, 상호 연결 구조체는 상기 리세스된 피처들 내에 형성되고, 상기 유전체 재료는 상기 리세스된 피처들 내에 노출되는, 상기 기판을 수용하는 단계;
    ⒝ 상기 유전체 재료의 상단 표면을 개질하도록 상기 기판을 플라즈마에 노출시키는 단계; 및
    ⒞ 상기 단계 (b) 후에, 상기 유전체 재료의 상기 개질된 상단 표면 상에 금속 옥사이드 배리어 층을 형성하는 단계로서, 상기 금속 옥사이드 배리어 층은 적어도 부분적으로, 원자 층 증착 및/또는 화학적 기상 증착을 통해 형성되는, 상기 금속 옥사이드 배리어 층을 형성하는 단계를 포함하는, 상호 연결 구조체 형성 방법.
  2. 제 1 항에 있어서,
    상기 단계 (b) 에서 상기 기판을 플라즈마에 노출시키는 단계는 상기 유전체 재료의 상기 상단 표면을 거칠게 하는 (roughen), 상호 연결 구조체 형성 방법.
  3. 제 1 항에 있어서,
    상기 단계 (b) 에서 상기 기판을 플라즈마에 노출시키는 단계는 상기 단계 (c) 에서 상기 금속 옥사이드 배리어 층의 핵 생성을 촉진하고, 그리고 상기 유전체 재료와 상기 금속 옥사이드 배리어 층 사이의 접착을 개선하는, 상호 연결 구조체 형성 방법.
  4. 제 1 항에 있어서,
    상기 단계 (b) 에서 상기 기판을 플라즈마에 노출하는 단계는, H2, O2, NH3, CO2, N2O, N2, 및 이들의 조합들로 구성된 그룹으로부터 선택된 프로세스 가스로부터 생성된 플라즈마에 상기 기판을 노출하는 단계를 포함하는, 상호 연결 구조체 형성 방법.
  5. 제 1 항에 있어서,
    상기 금속 옥사이드 배리어 층은 알루미늄 옥사이드, 구리 옥사이드, 인듐 옥사이드, 마그네슘 옥사이드, 망간 옥사이드, 루테늄 옥사이드, 주석 옥사이드, 티타늄 옥사이드, 텅스텐 옥사이드, 아연 옥사이드, 및 이들의 조합들로 구성된 그룹으로부터 선택된 재료를 포함하는, 상호 연결 구조체 형성 방법.
  6. 제 1 항에 있어서,
    상기 금속 옥사이드 배리어 층을 형성하는 단계는 (i) 열적 원자 층 증착 또는 열적 화학적 기상 증착을 통해 상기 금속 옥사이드 배리어 층의 제 1 부분을 형성하는 단계, 및 (ii) 플라즈마 강화된 원자 층 증착 또는 플라즈마 강화된 화학적 기상 증착을 통해 상기 금속 옥사이드 배리어 층의 제 2 부분을 형성하는 단계를 포함하고, 상기 금속 옥사이드 배리어 층의 상기 제 1 부분은 상기 금속 옥사이드 배리어 층의 상기 제 2 부분 전에 형성되는, 상호 연결 구조체 형성 방법.
  7. 제 1 항에 있어서,
    상기 금속 옥사이드 배리어 층은 마그네슘-함유 반응 물질, 티타늄-함유 반응 물질, 몰리브덴-함유 반응 물질, 텅스텐-함유 반응 물질, 루테늄-함유 반응 물질, 코발트-함유 반응 물질, 구리-함유 반응 물질, 아연-함유 반응 물질, 알루미늄-함유 반응 물질, 인듐-함유 반응 물질, 주석-함유 반응 물질, 망간-함유 반응 물질, 및 이들의 조합들로 구성된 그룹으로부터 선택된 금속-함유 반응 물질을 사용하여 형성되는, 상호 연결 구조체 형성 방법.
  8. 제 7 항에 있어서,
    상기 금속-함유 반응 물질은 비스(1,4-디-tert-부틸-디아자디엔)마그네슘 (bis(1,4-di-tert-butyl-diazadiene)magnesium), 비스(에틸사이클로펜타디에닐)마그네슘 (bis(ethylcyclopentadienyl)magnesium), 테트라키스(디메틸아미도)티타늄 (tetrakis(dimethylamido)titanium), 헥사플루오로몰리브덴 (hexafluoromolybdenum), 펜타클로로몰리브덴 (pentachloromolybdenum), 몰리브덴 디클로라이드 디옥사이드 (molybdenum dichloride dioxide), 몰리브덴 테트라클로라이드 옥사이드 (molybdenum tetrachloride oxide), 몰리브덴 헥사카르보닐 (molybdenum hexacarbonyl), 헥사클로로텅스텐 (hexachlorotungsten), 도데카카르보닐트리루테늄 (dodecacarbonyltriruthenium), 옥타카르보닐디코발트 (octacarbonyldicobalt), 비스(디메틸아미노-2-프로폭시)구리 (bis(dimethylamino-2-propoxy)copper), 비스(디메틸아미노에톡시)구리 (bis(dimethylaminoethoxy)copper), 비스(디에틸아미노-2-프로폭시)구리 (bis(diethylamino-2-propoxy)copper), 비스(에틸메틸아미노-2-프로폭시)구리 (bis(ethylmethylamino-2-propoxy)copper), 비스(디메틸아미노-2-메틸-2-부톡시)구리 (bis(dimethylamino-2-methyl-2-butoxy)copper), 비스(N,N'-디-sec-부틸아세트아미디네이트)디구리 (bis(N,N'-di-sec-butylacetamidinate)dicopper), 디메틸아연 (dimethylzinc), 디에틸아연 (diethylzinc), 디알릴아연 (diallylzinc), 비스(2-메틸알릴)아연 (bis(2-methylallyl)zinc), 트리메틸알루미늄 (trimethylaluminum), 트리메틸인듐 (trimethylindium), 테트라키스(디메틸아미도)주석 (tetrakis(dimethylamido)tin), 주석(IV) 클로라이드 (tin(IV) chloride), 주석(IV) 클로라이드 (tin(IV) chloride), 주석(IV) 브로마이드 (tin(IV) bromide), 스타난 (stannane), 트리메틸주석 클로라이드 (trimethyltin chloride), 디메틸주석 디클로라이드 (dimethyltin dichloride), 메틸주석 트리클로라이드 (methyltin trichloride), 테트라에틸주석 (tetraethyltin), 테트라메틸주석 (tetramethyltin), 디부틸주석 디아세테이트 (dibutyltin diacetate), (디메틸아미노)트리메틸주석 (IV) (dimethylamino)trimethyltin(IV)), 비스[비스(트리메틸실릴)아미노]주석 (II) (bis[bis(trimethylsilyl)amino]tin(II)), 디부틸디페닐주석 (dibutyldiphenyltin), 헥사페닐디주석 (IV) (hexaphenylditin(IV)), 테트라알릴주석 (tetraallyltin), 테트라키스(디에틸아미노)주석 (IV) (tetrakis(diethylamino)tin(IV)), 테트라비닐주석 (tetravineyltin), 주석(II)아세틸아세토네이트 (tin(II)acetylacetonate), 트리시클로헥실주석 하이드라이드 (tricyclohexyltin hydride), 트리메틸(페닐에티닐)주석 (trimethyl(phenylethynyl)tin), 트리메틸(페닐)주석 (trimethyl(phenyl)tin), 테트라키스(에틸메틸아미노)주석 (tetrakis(ethylmethylamino)tin), 주석(II) (1,3-비스(1,1-디메틸에틸)-4,5-디메틸-(4R,5R)-1,3,2-디아자스탄놀리딘-2-일리덴 (tin(II)(1,3-bis(1,1-dimethylethyl)-4,5-dimethyl-(4R,5R)-1,3,2-diazastannolidin-2-ylidene), (N2,N3-디-tert-부틸-부탄-2,4-디아미노-주석 (II) (N2,N3-di-tert-butyl-butane-2,4-diamino-tin(II)), 비스(시클로펜타디에닐)망간 (bis(cyclopentadienyl)manganese), 비스(에틸시클로펜타디에닐)망간 (bis(ethylcyclopentadienyl)manganese), 비스(테트라메틸시클로펜타디에닐)망간 (bis(tetramethylcyclopentadienyl)manganese), 비스(펜타메틸시클로펜타디에닐망간) (bis(pentamethylcyclopentadienylmanganese)), 비스(1,4-디-tert-부틸-디아자디엔)망간 (bis(1,4-di-tert-butyl-diazadiene)manganese), 비스(비스(트리메틸실릴아미도))망간 (bis(bis(trimethylsilylamido))manganese), 비스(비스(에틸디메틸실릴아미도))망간 (bis(bis(ethyldimethylsilylamido))manganese), 비스(N,N'-디이소프로필펜틸아미디나토)망간 (bis(N,N'-diisopropylpentylamidinato)manganese), 및 이들의 조합들로 구성된 그룹으로부터 선택되는, 상호 연결 구조체 형성 방법.
  9. 제 1 항에 있어서,
    상기 단계 (c) 후에, 상기 기판을 환원 가스에 노출시켜, 상기 금속 옥사이드 배리어 층의 상단 표면을 환원시키고 상기 금속 옥사이드 배리어 층 상에 인 시츄 (in-situ) 금속 라이너를 형성하는 단계를 더 포함하는, 상호 연결 구조체 형성 방법.
  10. 제 1 항에 있어서,
    상기 단계 (c) 후에, 상기 기판을 환원 가스로부터 생성된 환원 플라즈마에 노출시켜, 상기 금속 옥사이드 배리어 층의 상단 표면을 환원시키고 상기 금속 옥사이드 배리어 층 상에 인 시츄 금속 라이너를 형성하는 단계를 더 포함하는, 상호 연결 구조체 형성 방법.
  11. 제 9 항 또는 제 10 항에 있어서,
    상기 기판을 상기 환원 가스 또는 상기 환원 플라즈마에 노출시키는 단계는 후속하여 증착된 층의 접착을 개선하는, 상호 연결 구조체 형성 방법.
  12. 제 11 항에 있어서,
    상기 후속하여 증착된 층은 금속 시드 층 또는 금속 나이트라이드 시드 층 전구체인, 상호 연결 구조체 형성 방법.
  13. 제 9 항 또는 제 10 항에 있어서,
    상기 환원 가스는 H2 및/또는 질소 및 수소 모두를 포함하는 분자를 포함하는, 상호 연결 구조체 형성 방법.
  14. 제 1 항에 있어서,
    상기 단계 (c) 후에, 원자 층 증착 또는 화학적 기상 증착을 통해 상기 기판 상에 금속 시드 층 또는 금속 나이트라이드 시드 층 전구체를 형성하는 단계를 더 포함하는, 상호 연결 구조체 형성 방법.
  15. 제 14 항에 있어서,
    상기 금속 시드 층 또는 금속 나이트라이드 시드 층 전구체는 구리, 코발트, 이리듐, 몰리브덴, 팔라듐, 루테늄, 텅스텐, 및 이들의 조합들로 구성된 그룹으로부터 선택된 금속을 포함하는, 상호 연결 구조체 형성 방법.
  16. 제 14 항에 있어서,
    상기 금속 시드 층 또는 금속 나이트라이드 시드 층 전구체는 몰리브덴-함유 반응 물질, 텅스텐-함유 반응 물질, 루테늄-함유 반응 물질, 코발트-함유 반응 물질, 이리듐-함유 반응 물질, 구리-함유 반응 물질, 팔라듐-함유 반응 물질, 및 이들의 조합들로 구성된 그룹으로부터 선택된 금속-함유 반응 물질을 사용하여 증착되는, 상호 연결 구조체 형성 방법.
  17. 제 16 항에 있어서,
    상기 금속-함유 반응 물질은 헥사플루오로몰리브덴 (hexafluoromolybdenum), 펜타클로로몰리브덴 (pentachloromolybdenum), 몰리브덴 디클로라이드 디옥사이드 (molybdenum dichloride dioxide), 몰리브덴 테트라클로라이드 옥사이드 (molybdenum tetrachloride oxide), 몰리브덴 헥사카르보닐 (molybdenum hexacarbonyl), 헥사플루오로텅스텐 (hexafluorotungsten), 헥사클로로텅스텐 (hexachlorotungsten), 펜타클로로텅스텐 (pentachlorotungsten), 비스(tert-부틸이미도)비스(디메틸아미도)텅스텐 (bis(tert-butylimido)bis(dimethylamido)tungsten), (2,4-디메틸펜타디에닐)에틸시클로펜타디에닐루테늄 (2,4-dimethylpentadienyl)ethylcyclopentadienylruthenium), (1-에틸-1,4-시클로헥사디에닐)에틸벤젠루테늄 ((1-ethyl-1,4-cyclohexadienyl)ethylbenzeneruthenium), 비스(에틸시클로펜타디에닐)루테늄 (bis(ethylcyclopentadienyl)ruthenium), 테트라옥소루테늄 (tetraoxoruthenium), 옥타카르보닐디코발트 (octacarbonyldicobalt), (2-tert-부틸알릴)트리카보닐코발트 ((2-tert-butylallyl)tricabonylcobalt), (3,3-디메틸-1-부틴)헥사카르보닐디코발트 ((3,3-dimethyl-1-butyne)hexacarbonyldicobalt), 시클로펜타디에닐디카르보닐코발트 (cyclopentadienyldicarbonylcobalt), 비스(1,4-디이소프로필-디아자디엔)코발트 (bis(1,4-diisopropyl-diazadiene)cobalt), 비스(1,4-디-tert-부틸-디아자디엔)코발트 (bis(1,4-di-tert-butyl-diazadiene)cobalt), 비스(N,N'-디이소프로필아세트아미디나토)코발트 (bis(N,N'-diisopropylacetamidinato)cobalt), 비스(N-tert-부틸-N'-에틸프로판이미드아미디나토)코발트 (bis(N-tert-butyl-N'-ethylpropanimidamidinato)cobalt), 트리스(아세틸아세토네이트)이리듐 (tris(acetylacetonate)iridium), 비스(디메틸아미노-2-프로폭시)구리 (bis(dimethylamino-2-propoxy)copper), 비스(디메틸아미노에톡시)구리 (bis(dimethylaminoethoxy)copper), 비스(디에틸아미노-2-프로폭시)구리 (bis(diethylamino-2-propoxy)copper), 비스(에틸메틸아미노-2-프로폭시)구리 (bis(ethylmethylamino-2-propoxy)copper), 비스(디메틸아미노-2-메틸-2-부톡시)구리 (bis(dimethylamino-2-methyl-2-butoxy)copper), 비스(N,N'-디-sec-부틸아세트아미디네이트)이구리 (bis(N,N'-di-sec-butylacetamidinate)dicopper), 1-메틸알릴(헥사플루오로아세틸아세토나토)-팔라듐(II) (1-methylallyl(hexafluoroacetylacetonato)-palladium(II)), 비스(헥사플루오로아세틸아세토나토)팔라듐 (bis(hexafluoroacetylacetonato)palladium), 및 이들의 조합들로 구성된 그룹으로부터 선택되는, 상호 연결 구조체 형성 방법.
  18. 제 14 항에 있어서,
    원자 층 증착 및/또는 화학적 기상 증착을 통해 상기 금속 옥사이드 배리어 층 상에 라이너를 형성하는 단계를 더 포함하고, 상기 라이너는 상기 금속 시드 층 또는 상기 금속 나이트라이드 시드 층 전구체의 형성 전에 형성되는, 상호 연결 구조체 형성 방법.
  19. 제 14 항에 있어서,
    상기 금속 나이트라이드 시드 층 전구체를 상기 금속 시드 층으로 변환하도록 상기 기판을 어닐링 프로세스 또는 플라즈마 처리 프로세스에 노출시키는 단계를 더 포함하는, 상호 연결 구조체 형성 방법.
  20. 제 19 항에 있어서,
    상기 어닐링 프로세스 또는 상기 플라즈마 처리 프로세스는 구리 나이트라이드 시드 층 전구체를 구리 시드 층으로 변환하는, 상호 연결 구조체 형성 방법.
  21. 제 1 항에 있어서,
    상기 단계 (c) 에서 상기 금속 옥사이드 배리어 층을 형성하는 단계는 (i) 원소 금속, 금속 카바이드, 및 금속 나이트라이드로 구성된 그룹으로부터 선택된 적어도 하나의 재료를 포함하는 배리어 층 전구체를 형성하는 단계로서, 상기 배리어 층 전구체는 원자 층 증착 및/또는 화학적 기상 증착을 통해 형성되는, 상기 배리어 층 전구체를 형성하는 단계, 및 (ii) 상기 배리어 층 전구체를 상기 금속 옥사이드 배리어 층으로 변환하도록 상기 배리어 층 전구체를 산소-함유 대기에 노출시키는 단계를 포함하는, 상호 연결 구조체 형성 방법.
  22. 기판 상에 상호 연결 구조체 또는 상호 연결 구조체의 일부를 형성하기 위한 시스템에 있어서,
    ⒜ 제 1 프로세싱 챔버; 및
    ⒝ 적어도 하나의 프로세서 및 메모리를 갖는 제어기를 포함하고, 상기 적어도 하나의 프로세서 및 상기 메모리는 서로 통신 가능하게 연결되고, 그리고 상기 메모리는 상기 적어도 하나의 프로세서로 하여금,
    (ⅰ) 상기 제 1 프로세싱 챔버 내에 기판을 수용하는 단계로서, 상기 기판은 유전체 재료 내에 형성된 리세스된 피처들을 갖는 상기 유전체 재료를 포함하고, 상호 연결 구조체는 상기 리세스된 피처들 내에 형성되고, 상기 유전체 재료는 상기 리세스된 피처들 내에 노출되는, 상기 기판을 수용하는 단계,
    (ⅱ) 상기 유전체 재료의 상단 표면을 개질하도록 상기 기판을 상기 제 1 프로세싱 챔버 내의 플라즈마에 노출시키는 단계, 및
    (ⅲ) 상기 단계 (ii) 후에, 상기 유전체 재료의 상기 개질된 상단 표면 상에 금속 옥사이드 배리어 층을 형성하는 단계로서, 상기 금속 옥사이드 배리어 층은 적어도 부분적으로, 원자 층 증착 및/또는 화학적 기상 증착을 통해 형성되는, 상기 금속 옥사이드 배리어 층을 형성하는 단계를 유발하도록 제어하기 위한 컴퓨터-실행 가능 인스트럭션들을 저장하는, 상호 연결 구조체 형성 시스템.
  23. 제 22 항에 있어서,
    제 2 프로세싱 챔버를 더 포함하고, 상기 메모리는 상기 적어도 하나의 프로세서로 하여금,
    상기 기판을 상기 제 1 프로세싱 챔버로부터 상기 제 2 프로세싱 챔버로 이송하는 단계, 및
    상기 기판이 상기 제 2 프로세싱 챔버 내에 포지셔닝되는 동안 원자 층 증착 및/또는 화학적 기상 증착을 통해 상기 금속 옥사이드 배리어 층 상에 금속 시드 층 또는 금속 나이트라이드 시드 층 전구체를 형성하는 단계를 유발하도록 제어하기 위한 컴퓨터-실행 가능 인스트럭션들을 저장하는, 상호 연결 구조체 형성 시스템.
  24. 제 23 항에 있어서,
    상기 메모리는 상기 적어도 하나의 프로세서로 하여금,
    상기 금속 시드 층 또는 상기 금속 나이트라이드 시드 층 전구체를 형성하기 전에,
    상기 제 2 프로세싱 챔버 내에서 상기 기판을 환원 가스 또는 환원 플라즈마에 노출시켜, 상기 금속 옥사이드 배리어 층의 상부 표면을 환원시키고 인 시츄 금속 라이너를 형성하는 단계를 유발하도록 제어하기 위한 컴퓨터-실행 가능 인스트럭션들을 저장하고, 상기 금속 시드 층 또는 금속 나이트라이드 시드 층 전구체는 상기 인 시츄 금속 라이너 상부에 형성되는, 상호 연결 구조체 형성 시스템.
  25. 제 24 항에 있어서,
    상기 기판은 상기 기판을 상기 환원 가스 또는 상기 환원 플라즈마에 노출하는 단계와 상기 금속 시드 층 또는 상기 금속 나이트라이드 시드 층 전구체를 형성하는 단계 사이에 대기에 노출되지 않는, 상호 연결 구조체 형성 시스템.
  26. 제 23 항에 있어서,
    상기 메모리는 상기 적어도 하나의 프로세서로 하여금,
    상기 금속 나이트라이드 시드 층 전구체를 상기 금속 시드 층으로 변환하도록 상기 기판을 어닐링 프로세스 또는 플라즈마 처리 프로세스에 노출시키는 단계를 유발하도록 제어하기 위한 컴퓨터-실행 가능 인스트럭션들을 저장하는, 상호 연결 구조체 형성 시스템.
  27. 제 23 항에 있어서,
    상기 제 1 프로세싱 챔버 및 상기 제 2 프로세싱 챔버 중 적어도 하나는 상기 금속 옥사이드 배리어 층 상에 라이너를 증착하도록 구성되고, 상기 라이너는 원자 층 증착 및/또는 화학적 기상 증착을 통해 증착되는, 상호 연결 구조체 형성 시스템.
  28. 제 23 항에 있어서,
    상기 제 1 프로세싱 챔버는 제 1 장치 상에 포지셔닝되고 그리고 상기 제 2 프로세싱 챔버는 제 2 장치 상에 포지셔닝되는, 상호 연결 구조체 형성 시스템.
  29. 제 28 항에 있어서,
    제 3 프로세싱 챔버를 더 포함하고, 상기 메모리는 상기 적어도 하나의 프로세서로 하여금,
    상기 기판이 상기 제 3 프로세싱 챔버 내에 있는 동안 상기 기판을 상기 제 2 프로세싱 챔버로부터 상기 제 3 프로세싱 챔버로 이송하는 단계 및 상기 금속 시드 층 상에 금속을 전기 도금하는 단계를 유발하도록 제어하기 위한 컴퓨터 실행 가능 인스트럭션들을 저장하는, 상호 연결 구조체 형성 시스템.
  30. 제 29 항에 있어서,
    상기 제 2 프로세싱 챔버 및 상기 제 3 프로세싱 챔버 각각은 상기 제 2 장치의 일부인, 상호 연결 구조체 형성 시스템.
  31. 제 29 항 또는 제 30 항에 있어서,
    상기 메모리는 상기 적어도 하나의 프로세서로 하여금,
    상기 기판을 대기에 노출시키지 않고 상기 기판을 상기 제 2 프로세싱 챔버로부터 상기 제 3 프로세싱 챔버로 이송하는 단계를 유발하도록 제어하기 위한 컴퓨터-실행 가능 인스트럭션들을 저장하는, 상호 연결 구조체 형성 시스템.
  32. 제 27 항에 있어서,
    상기 메모리는 상기 적어도 하나의 프로세서로 하여금, 상기 제 1 프로세싱 챔버로부터 상기 제 2 프로세싱 챔버로 상기 기판을 이송하는 동안 상기 기판을 대기에 노출시키는 단계를 유발하도록 제어하기 위한 컴퓨터-실행 가능 인스트럭션들을 저장하는, 상호 연결 구조체 형성 시스템.
  33. 제 22 항에 있어서,
    상기 메모리는 상기 적어도 하나의 프로세서로 하여금, (i) 원소 금속, 금속 카바이드, 및 금속 나이트라이드로 구성된 그룹으로부터 선택된 적어도 하나의 재료를 포함하는 배리어 층 전구체를 형성하는 단계로서, 상기 배리어 층 전구체는 원자 층 증착 및/또는 화학적 기상 증착을 통해 형성되는, 상기 배리어 층 전구체를 형성하는 단계, 및 (ii) 상기 배리어 층 전구체를 상기 금속 옥사이드 배리어 층으로 변환하도록 상기 배리어 층 전구체를 산소-함유 대기에 노출시키는 단계에 의해 상기 금속 옥사이드 배리어 층을 형성하는 단계를 유발하도록 제어하기 위한 컴퓨터-실행 가능 인스트럭션들을 저장하는, 상호 연결 구조체 형성 시스템.
KR1020227046053A 2020-07-01 2021-06-25 금속 옥사이드 확산 배리어들 KR20230030593A (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US202062705518P 2020-07-01 2020-07-01
US62/705,518 2020-07-01
PCT/US2021/039189 WO2022005907A1 (en) 2020-07-01 2021-06-25 Metal oxide diffusion barriers

Publications (1)

Publication Number Publication Date
KR20230030593A true KR20230030593A (ko) 2023-03-06

Family

ID=79315468

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020227046053A KR20230030593A (ko) 2020-07-01 2021-06-25 금속 옥사이드 확산 배리어들

Country Status (5)

Country Link
US (1) US20230260834A1 (ko)
KR (1) KR20230030593A (ko)
CN (1) CN115769364A (ko)
TW (1) TW202217936A (ko)
WO (1) WO2022005907A1 (ko)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
CN114516636B (zh) * 2022-03-11 2024-05-28 电子科技大学长三角研究院(湖州) 一种瞬时高温热冲击碳模板制备过渡金属碳化物纳米阵列的方法

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5417754B2 (ja) * 2008-07-11 2014-02-19 東京エレクトロン株式会社 成膜方法及び処理システム
WO2014013941A1 (ja) * 2012-07-18 2014-01-23 東京エレクトロン株式会社 半導体装置の製造方法
US9190321B2 (en) * 2013-04-08 2015-11-17 International Business Machines Corporation Self-forming embedded diffusion barriers
US9224594B2 (en) * 2013-11-18 2015-12-29 Intermolecular, Inc. Surface preparation with remote plasma
US9245793B2 (en) * 2013-12-19 2016-01-26 Intermolecular, Inc. Plasma treatment of low-K surface to improve barrier deposition

Also Published As

Publication number Publication date
TW202217936A (zh) 2022-05-01
US20230260834A1 (en) 2023-08-17
CN115769364A (zh) 2023-03-07
WO2022005907A1 (en) 2022-01-06

Similar Documents

Publication Publication Date Title
US11978666B2 (en) Void free low stress fill
US10804144B2 (en) Deposition of aluminum oxide etch stop layers
US10731250B2 (en) Depositing ruthenium layers in interconnect metallization
US10199212B2 (en) Selective growth of silicon oxide or silicon nitride on silicon surfaces in the presence of silicon oxide
JP2021523292A (ja) 3d nand構造内にタングステンおよび他の金属を堆積させる方法
US10283404B2 (en) Selective deposition of WCN barrier/adhesion layer for interconnect
US7666474B2 (en) Plasma-enhanced pulsed deposition of metal carbide films
US20220208604A1 (en) Protection of seed layers during electrodeposition of metals in semiconductor device manufacturing
US10438847B2 (en) Manganese barrier and adhesion layers for cobalt
US20230326790A1 (en) Low resistivity contacts and interconnects
US20230260834A1 (en) Metal oxide diffusion barriers
US20230298936A1 (en) Combined self-forming barrier and seed layer by atomic layer deposition
JP2024514605A (ja) モリブデンの堆積
KR20230104071A (ko) 저 저항률 (low resistivity) 콘택트들 및 상호 접속부들
WO2023114106A1 (en) Large grain tungsten growth in features
WO2023204978A1 (en) Conformal copper deposition on thin liner layer

Legal Events

Date Code Title Description
A201 Request for examination