KR20230025244A - Integrated circuit including standard cell and method for designing the same - Google Patents

Integrated circuit including standard cell and method for designing the same Download PDF

Info

Publication number
KR20230025244A
KR20230025244A KR1020210107533A KR20210107533A KR20230025244A KR 20230025244 A KR20230025244 A KR 20230025244A KR 1020210107533 A KR1020210107533 A KR 1020210107533A KR 20210107533 A KR20210107533 A KR 20210107533A KR 20230025244 A KR20230025244 A KR 20230025244A
Authority
KR
South Korea
Prior art keywords
power
metal layer
integrated circuit
cell
standard
Prior art date
Application number
KR1020210107533A
Other languages
Korean (ko)
Inventor
도정호
유지수
유현규
정민재
표유진
Original Assignee
삼성전자주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자주식회사 filed Critical 삼성전자주식회사
Priority to KR1020210107533A priority Critical patent/KR20230025244A/en
Priority to US17/818,080 priority patent/US20230049882A1/en
Publication of KR20230025244A publication Critical patent/KR20230025244A/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/0203Particular design considerations for integrated circuits
    • H01L27/0207Geometrical layout of the components, e.g. computer aided design; custom LSI, semi-custom LSI, standard cell technique
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • H01L23/5286Arrangements of power or ground buses
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/392Floor-planning or layout, e.g. partitioning or placement
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/394Routing
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/398Design verification or optimisation, e.g. using design rule check [DRC], layout versus schematics [LVS] or finite element methods [FEM]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5386Geometry or layout of the interconnection structure
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2119/00Details relating to the type or aim of the analysis or the optimisation
    • G06F2119/06Power analysis or power optimisation

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Theoretical Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Geometry (AREA)
  • General Engineering & Computer Science (AREA)
  • Evolutionary Computation (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Architecture (AREA)
  • Computer Networks & Wireless Communication (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)
  • Semiconductor Integrated Circuits (AREA)

Abstract

An integrated circuit according to an exemplary embodiment of the present disclosure includes: a plurality of standard cells including first and second standard cells disposed adjacent to each other in a first direction; and first to third metal layers sequentially stacked in a vertical direction. Within an area where at least one standard cell of the first standard cell and the second standard cell is disposed, power is provided to the plurality of standard cells, and at least one power segment formed as a pattern of the third metal layer extended in a second direction is disposed.

Description

표준 셀을 포함하는 집적 회로 및 이를 설계하기 위한 방법 {INTEGRATED CIRCUIT INCLUDING STANDARD CELL AND METHOD FOR DESIGNING THE SAME}Integrated circuit containing standard cell and method for designing same {INTEGRATED CIRCUIT INCLUDING STANDARD CELL AND METHOD FOR DESIGNING THE SAME}

본 개시의 기술적 사상은 집적 회로에 관한 것이며, 더욱 상세하게는, 표준 셀을 포함하는 집적 회로, 및 이를 설계하기 위한 방법에 관한 것이다.The technical idea of the present disclosure relates to an integrated circuit, and more particularly, to an integrated circuit including a standard cell, and a method for designing the same.

집적 회로는 표준 셀들을 기반으로 설계될 수 있다. 반도체 제조 공정이 미세화됨에 따라, 표준 셀 내의 패턴들의 사이즈가 감소될 수 있고, 표준 셀의 사이즈도 감소될 수 있다. 이에 따라, 메탈(metal) 자원 활용 효율성, 표준 셀의 크기 등에 영향을 주는 표준 셀 내의 패턴들의 크기 또는 배치가 중요해지고 있다.An integrated circuit can be designed based on standard cells. As a semiconductor manufacturing process is miniaturized, the size of patterns in a standard cell may be reduced, and the size of the standard cell may also be reduced. Accordingly, the size or arrangement of patterns in a standard cell that affects metal resource utilization efficiency, size of a standard cell, and the like is becoming important.

본 개시의 기술적 사상이 해결하려는 기술적 과제는 파워 세그먼트(segment)를 포함함으로써 메탈(metal) 자원을 효율적으로 사용할 수 있는 표준 셀을 포함하는 집적 회로 및 이를 설계하기 위한 방법을 제공하는 데에 있다.The technical problem to be solved by the technical idea of the present disclosure is to provide an integrated circuit including a standard cell capable of efficiently using metal resources by including a power segment and a method for designing the same.

본 발명의 기술적 과제들은 이상에서 언급한 기술적 과제로 제한되지 않으며, 언급되지 않은 또 다른 기술적 과제들은 아래의 기재로부터 통상의 기술자에게 명확하게 이해될 수 있을 것이다.The technical problems of the present invention are not limited to the technical problems mentioned above, and other technical problems not mentioned will be clearly understood by those skilled in the art from the description below.

본 개시의 예시적 실시예에 따른 복수의 표준 셀들을 포함하는 집적 회로는, 제1 방향으로 서로 인접하게 배치되는 제1 및 제2 표준 셀을 포함하는 복수의 표준 셀들, 및 수직 방향으로 차례로 적층되는 제1 내지 제3 메탈 레이어를 포함하고, 상기 제1 표준 셀 및 상기 제2 표준 셀 중 적어도 하나의 표준 셀이 배치되는 영역 내부에, 상기 복수의 표준 셀들에 전력을 제공하고, 제2 방향으로 연장되는 상기 제3 메탈 레이어의 패턴으로서 형성되는 적어도 하나의 파워 세그먼트(power segment)가 배치되는 것을 특징으로 할 수 있다.An integrated circuit including a plurality of standard cells according to an exemplary embodiment of the present disclosure includes a plurality of standard cells including first and second standard cells disposed adjacent to each other in a first direction, and sequentially stacked in a vertical direction. and providing power to the plurality of standard cells within a region where at least one of the first standard cell and the second standard cell is disposed, and providing power to the plurality of standard cells in a second direction. At least one power segment formed as a pattern of the third metal layer extending to may be disposed.

본 개시의 예시적 실시예에 따른 집적 회로를 설계하는 방법은, 복수의 표준 셀들을 배치하는 단계, 복수의 트랙들 상에 상기 복수의 표준 셀들에 전력을 전달하는 패턴인 복수의 파워 라인들을 배치하는 단계, 및 상기 복수의 파워 라인들 중 일부를 상기 복수의 표준 셀들로 신호를 전달하는 시그널 세그먼트(signal segment)로 교체하는 단계를 포함할 수 있다.A method of designing an integrated circuit according to an exemplary embodiment of the present disclosure includes disposing a plurality of standard cells, disposing a plurality of power lines that are patterns for transmitting power to the plurality of standard cells on a plurality of tracks. and replacing some of the plurality of power lines with signal segments transmitting signals to the plurality of standard cells.

본 개시의 예시적 실시예에 따른 복수의 셀들을 포함하는 집적 회로는, 복수의 표준 셀들, 및 제1 방향으로 연장되는 복수의 패턴들이 형성되고, 서로 제2 방향으로 이격되는 복수의 트랙들을 포함하고, 상기 복수의 트랙들 중 제1 트랙은, 전력을 전달하도록 구성되고 상기 제1 트랙의 일부에 형성되는 패턴인 파워 세그먼트(power segment) 및 신호를 전달하도록 구성되고 상기 제1 트랙의 일부에 형성되는 패턴인 시그널 세그먼트(signal segment)를 포함하는 것을 특징으로 할 수 있다.An integrated circuit including a plurality of cells according to an exemplary embodiment of the present disclosure includes a plurality of standard cells and a plurality of tracks formed with a plurality of patterns extending in a first direction and spaced apart from each other in a second direction. And, a first track of the plurality of tracks is configured to transmit power and is configured to transmit a signal and a power segment, which is a pattern formed on a part of the first track, and is formed on a part of the first track. It may be characterized by including a signal segment that is a pattern formed.

본 개시의 예시적 실시예에 따른 표준 셀을 포함하는 집적 회로 및 이를 설계하기 위한 방법에 따르면, 표준 셀의 면적을 감소시킬 수 있다.According to an integrated circuit including a standard cell and a method for designing the integrated circuit according to an exemplary embodiment of the present disclosure, an area of a standard cell may be reduced.

또한, 본 개시의 예시적 실시예에 따른 표준 셀을 포함하는 집적 회로 및 이를 설계하기 위한 방법에 따르면, 표준 셀에 포함된 메탈 자원을 효율적으로 사용할 수 있다.In addition, according to the integrated circuit including a standard cell and a method for designing the integrated circuit according to an exemplary embodiment of the present disclosure, metal resources included in the standard cell can be efficiently used.

또한, 본 개시의 예시적 실시예에 따른 표준 셀을 포함하는 집적 회로 및 이를 설계하기 위한 방법에 따르면, IR 드롭(drop) 특성 및 EM(ElectroMigration) 특성이 향상될 수 있다.Also, according to an integrated circuit including a standard cell and a method for designing the integrated circuit according to an exemplary embodiment of the present disclosure, IR drop characteristics and ElectroMigration (EM) characteristics may be improved.

본 개시의 예시적 실시예들에서 얻을 수 있는 효과는 이상에서 언급한 효과들로 제한되지 아니하며, 언급되지 아니한 다른 효과들은 이하의 기재로부터 본 개시의 예시적 실시예들이 속하는 기술분야에서 통상의 지식을 가진 자에게 명확하게 도출되고 이해될 수 있다. 즉, 본 개시의 예시적 실시예들을 실시함에 따른 의도하지 아니한 효과들 역시 본 개시의 예시적 실시예들로부터 당해 기술분야의 통상의 지식을 가진 자에 의해 도출될 수 있다.Effects obtainable in the exemplary embodiments of the present disclosure are not limited to the effects mentioned above, and other effects not mentioned are common knowledge in the art to which exemplary embodiments of the present disclosure belong from the following description. can be clearly derived and understood by those who have That is, unintended effects according to the implementation of the exemplary embodiments of the present disclosure may also be derived by those skilled in the art from the exemplary embodiments of the present disclosure.

도 1a 내지 도 1d는 본 개시의 예시적 실시예에 따른 집적 회로를 설명하기 위한 도면이다.
도 2은 본 개시의 예시적 실시예에 따른 집적 회로의 레이아웃을 설명하기 위한 도면이다.
도 3는 본 개시의 예시적 실시예에 따른 집적 회로의 레이아웃을 설명하기 위한 도면이다.
도 4a 내지 도 4c는 본 개시의 예시적 실시예에 따른 집적 회로의 레이아웃을 설명하기 위한 도면이다.
도 5a 및 도 5b는 본 개시의 예시적 실시예에 따른 집적 회로의 레이아웃을 설명하기 위한 도면이다.
도 6a 및 도 6b는 본 개시의 예시적 실시예에 따른 집적 회로의 레이아웃을 설명하기 위한 도면이다.
도 7a 및 도 7b는 은 본 개시의 예시적 실시예에 따른 집적 회로의 레이아웃을 설명하기 위한 도면이다.
도 8a 내지 도 8d는 본 개시의 예시적 실시예에 따른 집적 회로의 레이아웃을 설명하기 위한 도면이다.
도 9a 및 도 9b는 본 개시의 예시적 실시예에 따른 집적 회로의 레이아웃을 설명하기 위한 도면이다.
도 10 본 개시의 예시적 실시예에 따른 집적 회로를 설계하기 위한 방법을 나타내는 순서도이다.
도 11a 및 도 11b는 본 개시의 예시적 실시예에 따른 집적 회로의 레이아웃을 설명하기 위한 도면이다.
도 12a 내지 도 12c는 본 개시의 예시적 실시예에 따른 집적 회로의 레이아웃을 설명하기 위한 도면이다.
도 13은 본 개시의 예시적 실시예에 따라 집적 회로를 제조하기 위한 방법을 나타내는 순서도이다.
1A to 1D are diagrams for explaining an integrated circuit according to an exemplary embodiment of the present disclosure.
2 is a diagram for explaining a layout of an integrated circuit according to an exemplary embodiment of the present disclosure.
3 is a diagram for explaining a layout of an integrated circuit according to an exemplary embodiment of the present disclosure.
4A to 4C are views for explaining the layout of an integrated circuit according to an exemplary embodiment of the present disclosure.
5A and 5B are views for explaining the layout of an integrated circuit according to an exemplary embodiment of the present disclosure.
6A and 6B are views for explaining the layout of an integrated circuit according to an exemplary embodiment of the present disclosure.
7A and 7B are diagrams for explaining a layout of an integrated circuit according to an exemplary embodiment of the present disclosure.
8A to 8D are diagrams for explaining the layout of an integrated circuit according to an exemplary embodiment of the present disclosure.
9A and 9B are views for explaining the layout of an integrated circuit according to an exemplary embodiment of the present disclosure.
10 is a flowchart illustrating a method for designing an integrated circuit according to an exemplary embodiment of the present disclosure.
11A and 11B are diagrams for explaining the layout of an integrated circuit according to an exemplary embodiment of the present disclosure.
12A to 12C are views for explaining the layout of an integrated circuit according to an exemplary embodiment of the present disclosure.
13 is a flow chart illustrating a method for fabricating an integrated circuit according to an exemplary embodiment of the present disclosure.

이하, 첨부한 도면을 참조하여 본 개시의 실시예들에 대해 상세히 설명한다.Hereinafter, embodiments of the present disclosure will be described in detail with reference to the accompanying drawings.

도 1a 내지 도 1d는 본 개시의 예시적 실시예에 따른 집적 회로를 설명하기 위한 도면이다. 1A to 1D are diagrams for explaining an integrated circuit according to an exemplary embodiment of the present disclosure.

도 1a는 하나의 칩(chip) 또는 하나의 기능 블록을 구성하는 집적 회로(10)의 일부를 X축 및 Y축으로 이루어진 평면에서 나타내는 평면도이다. 본 명세서에서, X축 방향 및 Y축 방향은 제1 수평 방향 및 제2 수평 방향으로 각각 지칭될 수 있고, Z축 방향은 수직 방향으로 지칭될 수 있다. X축 및 Y축으로 이루어진 평면은 수평면으로 지칭될 수 있고, 다른 구성요소보다 상대적으로 +Z축 방향으로 배치된 구성요소는 다른 구성요소 위에 있는 것으로 지칭될 수 있으며, 다른 구성요소보다 상대적으로 -Z축 방향으로 배치된 구성요소는 다른 구성요소 아래에 있는 것으로 지칭될 수 있다. FIG. 1A is a plan view showing a part of the integrated circuit 10 constituting one chip or one functional block on a plane consisting of an X axis and a Y axis. In this specification, the X-axis direction and the Y-axis direction may be referred to as a first horizontal direction and a second horizontal direction, respectively, and the Z-axis direction may be referred to as a vertical direction. The plane made up of the X and Y axes can be referred to as the horizontal plane, and components arranged in the +Z-axis direction relative to other components can be referred to as being above other components, and relative to other components - Components disposed in the Z-direction may be referred to as being below other components.

도 1a를 참조하면, 집적 회로(10)는 복수의 표준 셀들을 포함할 수 있다. 표준 셀(standard cell)은 집적 회로에 포함되는 레이아웃의 단위로서, 미리 정의된 기능을 수행하도록 설계될 수 있고, 셀(cell)로서 지칭될 수도 있다. 집적 회로(10)는 다수의 다양한 표준 셀들을 포함할 수 있고, 표준 셀들은 복수의 행들(rows)에 따라 정렬되어 배치될 수 있다.Referring to FIG. 1A , the integrated circuit 10 may include a plurality of standard cells. A standard cell is a layout unit included in an integrated circuit, and may be designed to perform a predefined function, and may also be referred to as a cell. The integrated circuit 10 may include a number of various standard cells, and the standard cells may be arranged and arranged according to a plurality of rows.

복수의 표준 셀들은 집적 회로 디자인에 반복적으로 사용된다. 표준 셀들은 제조 기술에 따라 미리 디자인 되어 표준 셀 라이브러리에 저장될 수 있고, 이러한 표준 셀 라이브러리에 저장된 표준 셀들을 디자인 룰에 따라 배치하고 상호 연결함으로써, 집적 회로를 설계할 수 있다. Multiple standard cells are used repeatedly in integrated circuit design. Standard cells may be pre-designed according to a manufacturing technology and stored in a standard cell library, and integrated circuits may be designed by arranging and interconnecting standard cells stored in the standard cell library according to design rules.

예를 들어, 표준 셀들은 인버터, AND 게이트, NAND 게이트, OR 게이트, XOR 게이트 및 NOR 게이트와 같이, 중앙 처리 장치(CPU), 그래픽 처리 장치(GPU), 및 시스템 온 칩(SOC) 디자인과 같이 전자 기기를 위한 디지털 회로 디자인에 자주 사용되는 다양한 기본 회로를 포함할 수 있다. 또는, 예를 들어, 표준 셀들은 플립 플럽(flip-flop) 및 랫치(latch) 등과 같이 회로 블록에 자주 사용되는 다른 회로를 포함할 수도 있다. For example, standard cells are used in central processing unit (CPU), graphics processing unit (GPU), and system-on-chip (SOC) designs, such as inverters, AND gates, NAND gates, OR gates, XOR gates, and NOR gates. It may contain various basic circuits often used in digital circuit design for electronic devices. Or, for example, standard cells may include other circuits often used in circuit blocks, such as flip-flops and latches.

표준 셀들은 필러 셀(filler cell)을 포함할 수 있다. 필러 셀은 기능 셀과 인접하게 배치됨으로써, 기능 셀로 제공되거나 기능 셀로부터 출력되는 신호들의 라우팅을 제공할 수 있다. 또한, 필러 셀은 기능 셀들이 배치되고 난 후 남은 공간을 채우기 위해 사용되는 셀일 수 있다.Standard cells may include filler cells. A pillar cell may be placed adjacent to a functional cell to provide routing of signals provided to or output from the functional cell. Also, the filler cell may be a cell used to fill a space remaining after functional cells are disposed.

표준 셀들은 활성 영역 및 게이트 라인을 포함할 수 있다. 표준 셀에 포함된 활성 영역과 게이트 라인은 트랜지스터를 형성할 수 있다. 예시적인 실시예에서, 게이트 라인은 일함수 금속 함유 레이어 및 갭필 금속막을 포함할 수 있다. 예를 들면, 일함수 금속 함유 레이어는, Ti, W, Ru, Nb, Mo, Hf, Ni, Co, Pt, Yb, Tb, Dy, Er 및 Pd 중 적어도 하나의 금속을 포함할 수 있고, 갭필 금속막은 W 막 또는 Al 막으로 이루어질 수 있다. 예시적인 실시예에서, 게이트 라인은 TiAlC/TiN/W의 적층 구조, TiN/TaN/TiAlC/TiN/W의 적층 구조, 또는 TiN/TaN/TiN/TiAlC/TiN/W의 적층 구조를 포함할 수 있다.Standard cells may include an active region and a gate line. An active region and a gate line included in a standard cell may form a transistor. In an exemplary embodiment, the gate line may include a work function metal-containing layer and a gap-fill metal layer. For example, the work function metal-containing layer may include at least one of Ti, W, Ru, Nb, Mo, Hf, Ni, Co, Pt, Yb, Tb, Dy, Er, and Pd, and gap fill The metal layer may be formed of a W layer or an Al layer. In an exemplary embodiment, the gate line may include a TiAlC/TiN/W stacked structure, a TiN/TaN/TiAlC/TiN/W stacked structure, or a TiN/TaN/TiN/TiAlC/TiN/W stacked structure. there is.

집적 회로(10)는 표준 셀들을 상호 연결하기 위한 배선들이 형성되는 메탈 레이어들을 포함할 수 있다. 예를 들어, 제1 메탈 레이어(M1) 상에 제2 메탈 레이어(M2)가 형성될 수 있다. 예시적인 실시예에서, 제1 메탈 레이어(M1)는 X축 방향으로 연장되는 패턴들을 포함할 수 있고, 제2 메탈 레이어(M2)는 Y축 방향으로 연장되는 패턴들을 포함할 수 있다(uni-direction). 또한, 제2 메탈 레이어(M2) 상에 제3 메탈 레이어가 더 형성될 수 있다. The integrated circuit 10 may include metal layers on which wires for interconnecting standard cells are formed. For example, a second metal layer M2 may be formed on the first metal layer M1. In an exemplary embodiment, the first metal layer M1 may include patterns extending in the X-axis direction, and the second metal layer M2 may include patterns extending in the Y-axis direction (uni- direction). In addition, a third metal layer may be further formed on the second metal layer M2.

메탈 레이어들 각각에 형성된 패턴들은 금속, 도전성 금속 질화물, 금속 실리사이드, 또는 이들의 조합으로 이루어질 수 있다. 본 명세서의 도면들에서, 도해의 편의상 일부 레이어들만이 도시될 수 있으며, 메탈 레이어의 패턴과 하위 패턴 사이 연결을 나타내기 위하여 비아(via)는 메탈 레이어의 패턴 아래에 위치함에도 불구하고 표시될 수 있다. The patterns formed on each of the metal layers may be made of metal, conductive metal nitride, metal silicide, or a combination thereof. In the drawings of this specification, only some layers may be shown for convenience of illustration, and vias may be displayed even though they are located under the pattern of the metal layer to indicate the connection between the pattern of the metal layer and the lower pattern. there is.

복수의 행들 각각의 경계에는 각 표준 셀들에 전압을 공급하는 제1 파워 라인(PL1) 및 제2 파워 라인(PL2)이 형성될 수 있다. 제1 파워 라인(PL1)은 각 표준 셀들로 제1 공급 전압(예를 들어, 전원 전압(VDD))을 제공할 수 있고, 제2 파워 라인(PL2)은 각 표준 셀들로 제2 공급 전압(예를 들어, 접지 전압(VSS))을 제공할 수 있다. 제1 파워 라인(PL1) 및 제2 파워 라인(PL2)은 X축 방향으로 연장되는 도전 패턴으로 형성될 수 있고 Y축 방향으로 서로 교번적으로 배치될 수 있다. A first power line PL1 and a second power line PL2 supplying a voltage to each standard cell may be formed at the boundary of each of the plurality of rows. The first power line PL1 may provide a first supply voltage (eg, power supply voltage VDD) to each standard cell, and the second power line PL2 may provide a second supply voltage (eg, power supply voltage VDD) to each standard cell. For example, a ground voltage VSS) may be provided. The first power line PL1 and the second power line PL2 may be formed as conductive patterns extending in the X-axis direction and may be alternately disposed in the Y-axis direction.

도 1b는 도 1a의 X1-X1'을 따라 자른 단면도이고, 도 1c 및 도 1d는 도 1a의 Y1-Y1' 단면으로 따라 자른 단면도이다. 비록 도 1b, 도 1c, 및 도 1d에 도시되지 아니하였으나, 게이트 라인의 측면에 게이트 스페이서가 형성될 수 있고, 또한, 컨택 및/또는 비아의 표면에 베리어 막이 형성될 수 있다. FIG. 1B is a cross-sectional view taken along the line X1-X1' of FIG. 1A, and FIGS. 1C and 1D are cross-sectional views taken along the line Y1-Y1' of FIG. 1A. Although not shown in FIGS. 1B, 1C, and 1D , gate spacers may be formed on side surfaces of gate lines, and barrier films may be formed on surfaces of contacts and/or vias.

도 1c는 활성 영역에 복수의 핀들이 형성되는 예를 도시한 것이고, 도 1d는 활성 영역에 나노시트가 형성되는 예를 도시한 것이다. 다만 본 개시에 따른 집적 회로에 포함된 표준 셀은 도 1c 및 도 1d에 도시된 바에 한정되지 않는다. 예를 들어, 표준 셀에는 활성 영역 상에 형성되는 나노 와이어가 게이트 라인으로 포위되는 GAA(gate-all-around) FET가 형성될 수도 있고, 활성 영역 상에 복수의 나노 와이어들이 수직적으로 적층되고 복수의 나노 와이어들이 게이트 라인으로 포위되는 vertical GAA FET가 형성될 수도 있다. 예를 들어, 표준 셀에는 활성 영역 상에 복수의 나노 시트들이 적층되고 게이트 라인이 복수의 나노 시트들을 포위하는 MBC(Multi Bridge Channel) FET가 형성될 수도 있다. 또한 예를 들어, 활성 영역에는 NC(negative capacitance) FET가 형성될 수도 있다. 전술한 트랜지스터의 예시 외에도 다양한 트랜지스터들(CFET(complementary FET), NCFET(negative FET), CNT(carbon nanotube) FET, 양극성 접합(bipolar junction) 트랜지스터, 기타 3차원 트랜지스터)이 게이트 라인 및 활성 영역에 형성될 수 있다.FIG. 1C illustrates an example in which a plurality of fins are formed in the active region, and FIG. 1D illustrates an example in which nanosheets are formed in the active region. However, the standard cell included in the integrated circuit according to the present disclosure is not limited to those shown in FIGS. 1C and 1D. For example, a gate-all-around (GAA) FET in which nanowires formed on the active region are surrounded by gate lines may be formed in the standard cell, and a plurality of nanowires are vertically stacked on the active region. A vertical GAA FET may be formed in which nanowires of are surrounded by a gate line. For example, a multi bridge channel (MBC) FET may be formed in a standard cell in which a plurality of nanosheets are stacked on an active region and a gate line surrounds the plurality of nanosheets. Also, for example, a negative capacitance (NC) FET may be formed in the active region. In addition to the above transistor examples, various transistors (CFET (complementary FET), NCFET (negative FET), CNT (carbon nanotube) FET, bipolar junction transistor, and other three-dimensional transistors) are formed on the gate line and active region It can be.

도 1b 및 도 1c를 참조하면, 기판(10')은 실리콘(Si) 또는 저마늄(Ge)과 같은 반도체, 또는 GaAs, AlGaAs, InAs, InGaAs,InSb, GaSb, InGaSb, InP, GaP, InGaP, InN, GaN, InGaN 등과 같은 Ⅲ-Ⅴ족 화합물을 포함할 수 있다. 예시적인 실시예에서, 기판(10')은 실리콘-온-인슐레이터(Silicon-On-Insulator, SOI) 기판 또는 게르마늄-온-인슐레이터(Germanium-On-Insulator, GOI) 기판일 수 있다. 예시적인 실시예에서, 기판(10')은 P형 불순물로 도핑될 수 있다. Referring to FIGS. 1B and 1C, the substrate 10' is a semiconductor such as silicon (Si) or germanium (Ge), or GaAs, AlGaAs, InAs, InGaAs, InSb, GaSb, InGaSb, InP, GaP, InGaP, Group III-V compounds such as InN, GaN, InGaN, and the like may be included. In an exemplary embodiment, the substrate 10' may be a Silicon-On-Insulator (SOI) substrate or a Germanium-On-Insulator (GOI) substrate. In an exemplary embodiment, the substrate 10' may be doped with a P-type impurity.

기판(10')에는 제1 활성 영역(RX1) 및 제2 활성 영역(RX2)이 형성될 수 있다. 예시적인 실시예에서, 제2 활성 영역(RX2)은 P형 불순물로 도핑된 기판(10, P-SUB)에 형성될 수 있고, 제1 활성 영역(RX1)은 기판(10') 내에 형성된 N웰(N-well)에 형성될 수 있다. 제1 활성 영역(RX1)은 게이트 라인(GL2)과 P형 트랜지스터를 형성할 수 있고, 제2 활성 영역(RX2)은 게이트 라인(GL2)과 N형 트랜지스터를 형성할 수 있다.A first active region RX1 and a second active region RX2 may be formed on the substrate 10 ′. In an exemplary embodiment, the second active region RX2 may be formed on the substrate 10 (P-SUB) doped with P-type impurities, and the first active region RX1 may be formed on the substrate 10'. It may be formed in a well (N-well). The first active region RX1 may form a gate line GL2 and a P-type transistor, and the second active region RX2 may form a gate line GL2 and an N-type transistor.

제1 활성 영역(RX1) 및 제2 활성 영역(RX2) 사이에는 분리 트랜치(DT)가 형성될 수 있다. 분리 트랜치(DT) 내부에 절연성 물질(예를 들어, 산화물)이 채워짐으로써, 소자 분리 층(DTI)이 형성될 수 있다. 소자 분리 층(DTI)에 의해 제1 활성 영역(RX1) 및 제2 활성 영역(RX2)이 서로 분리될 수 있다. 제1 파워 라인(PL1) 및 제2 파워 라인(PL2) 하부에도 분리 트랜치(DT)가 형성될 수 있고, 소자 분리 층(DTI)이 형성될 수 있다. An isolation trench DT may be formed between the first active region RX1 and the second active region RX2 . An isolation layer DTI may be formed by filling the isolation trench DT with an insulating material (eg, oxide). The first active region RX1 and the second active region RX2 may be separated from each other by the device isolation layer DTI. An isolation trench DT may be formed under the first power line PL1 and the second power line PL2 , and a device isolation layer DTI may be formed.

복수의 제1 핀들(F1) 및 복수의 제2 핀들(F2)은 X축 방향을 따라 상호 평행하게 연장될 수 있다. 복수의 제1 핀들(F1) 및 복수의 제2 핀들(F2) 각각의 사이에서 소자 절연막(IL, 예를 들어, 산화물)이 형성될 수 있다. 제1 활성 영역(RX1) 및 제2 활성 영역(RX2)에서 복수의 제1 핀들(F1) 및 복수의 제2 핀들(F2)이 소자 절연막(IL) 위로 핀(fin) 형상으로 돌출될 수 있다. 도 1c에서는 3개의 제1 핀들(F1) 및 3개의 제2 핀들(F2)이 형성되는 것으로 도시되었으나, 이에 한정되지 않고, 제1 활성 영역(RX1) 및 제2 활성 영역(RX2)에 형성되는 핀의 수는 다양하게 변형될 수 있다.The plurality of first fins F1 and the plurality of second fins F2 may extend parallel to each other along the X-axis direction. An element insulating layer IL (eg, oxide) may be formed between each of the plurality of first fins F1 and the plurality of second fins F2 . In the first active region RX1 and the second active region RX2, the plurality of first fins F1 and the plurality of second fins F2 may protrude on the device insulating layer IL in a fin shape. . Although it is illustrated in FIG. 1C that three first fins F1 and three second fins F2 are formed, it is not limited thereto, and is formed in the first active region RX1 and the second active region RX2. The number of pins can vary widely.

게이트 절연막(GI) 및 게이트 라인(GL2)은 Y축 방향으로 연장되도록 형성될 수 있다. 게이트 절연막(GI) 및 게이트 라인(GL2)은 복수의 제1 핀들(F1) 및 복수의 제2 핀들(F2) 각각의 상면 및 양 측벽과, 소자 절연막(IL)의 상면과, 분리 절연층(DTI)의 상면을 덮을 수 있다. The gate insulating layer GI and the gate line GL2 may be formed to extend in the Y-axis direction. The gate insulating film GI and the gate line GL2 are formed on the top surface and both sidewalls of each of the plurality of first fins F1 and the plurality of second fins F2, the top surface of the device insulating film IL, and the separation insulating layer ( DTI) can be covered.

복수의 제1 핀들(F1) 및 복수의 제2 핀들(F2) 상에는 제1 내지 제6 층간 절연막(11~16)이 형성될 수 있다. 제1 층간 절연막(11)을 관통하여 소스/드레인 영역과 제1 메탈 레이어(M1)의 패턴을 연결하는 액티브 컨택 및 액티브 비아가 형성될 수 있다. First to sixth interlayer insulating films 11 to 16 may be formed on the plurality of first fins F1 and the plurality of second fins F2 . Active contacts and active vias may be formed through the first interlayer insulating layer 11 to connect the source/drain regions and the pattern of the first metal layer M1 .

게이트 컨택(CB)은 제2 층간 절연막(12)을 관통하여 게이트 라인(GL2)에 연결될 수 있고, 게이트 비아(V02)는 제3 층간 절연막(13)을 관통하여 게이트 컨택(CB)과 제1 라우팅 배선(RT12)을 연결할 수 있다. 제1 라우팅 배선(RT12)은 제1 메탈 레이어(M1)의 패턴으로서 형성될 수 있고, 게이트 비아(V02)는 제1 메탈 레이어(M1)의 하부에서 전기적으로 연결되는 제1 비아(V0)로서 형성될 수 있다. 이에 따라, 제1 라우팅 배선(RT12)은 게이트 비아(V02) 및 게이트 컨택(CB)을 통해서 게이트 라인(GL2)과 전기적으로 연결될 수 있다.The gate contact CB may pass through the second interlayer insulating layer 12 and be connected to the gate line GL2 , and the gate via V02 may pass through the third interlayer insulating layer 13 to connect the gate contact CB to the first interlayer insulating layer 13 . A routing wire (RT12) can be connected. The first routing wire RT12 may be formed as a pattern of the first metal layer M1, and the gate via V02 is a first via V0 electrically connected under the first metal layer M1. can be formed Accordingly, the first routing line RT12 may be electrically connected to the gate line GL2 through the gate via V02 and the gate contact CB.

제1 라우팅 배선(RT12) 및 제2 라우팅 배선(RT2)을 연결하는 제2 비아(V12)는 제5 층간 절연막(15)을 관통하여 형성되는 제2 비아(V1)로서 형성될 수 있다. 제2 라우팅 배선(RT2)는 제1 메탈 레이어(M1)의 상위 레이어인 제2 메탈 레이어(M2)의 패턴으로서 형성될 수 있다. The second via V12 connecting the first routing wire RT12 and the second routing wire RT2 may be formed as a second via V1 penetrating the fifth interlayer insulating layer 15 . The second routing wire RT2 may be formed as a pattern of the second metal layer M2, which is an upper layer of the first metal layer M1.

도 1d를 참조하면, 예시적인 실시예에서, 제1 활성 영역(RX1) 및 제2 활성 영역(RX2) 각각의 상부에는 활성 영역인 나노시트(Nanosheet)가 형성될 수 있다. 제1 활성 영역(RX1) 상에는 제1 나노시트 스택(NS1)이 형성될 수 있고, 제2 활성 영역(RX2) 상에는 제2 나노시트 스택(NS2)이 형성될 수 있다. 제1 나노시트 스택(NS1) 및 제2 나노시트 스택(NS2) 각각은 X축 방향으로 연장될 수 있다. Referring to FIG. 1D , in an exemplary embodiment, a nanosheet as an active region may be formed on each of the first active region RX1 and the second active region RX2 . A first nanosheet stack NS1 may be formed on the first active region RX1 , and a second nanosheet stack NS2 may be formed on the second active region RX2 . Each of the first nanosheet stack NS1 and the second nanosheet stack NS2 may extend in the X-axis direction.

제1 나노시트 스택(NS1) 및 제2 나노시트 스택(NS2)은 트랜지스터의 채널로 기능할 수 있다. 예를 들어, 제1 나노시트 스택(NS1)은 N형 불순물로 도핑될 수 있고, P형 트랜지스터를 형성할 수 있다. 반면, 제2 나노시트 스택(NS2)은 P형 불순물로 도핑될 수 있고, N형 트랜지스터를 형성할 수 있다. 예시적인 실시예에서, 제1 나노시트 스택(NS1) 및 제2 나노시트 스택(NS2)은 Si, Ge, 또는 SiGe로 이루어질 수 있다. 예시적인 실시예에서, 제1 나노시트 스택(NS1) 및 제2 나노시트 스택(NS2)은 InGaAs, InAs, GaSb, InSb, 또는 이들의 조합으로 이루어질 수 있다.The first nanosheet stack NS1 and the second nanosheet stack NS2 may function as a channel of a transistor. For example, the first nanosheet stack NS1 may be doped with N-type impurities and form a P-type transistor. On the other hand, the second nanosheet stack NS2 may be doped with P-type impurities and form an N-type transistor. In an exemplary embodiment, the first nanosheet stack NS1 and the second nanosheet stack NS2 may be made of Si, Ge, or SiGe. In an exemplary embodiment, the first nanosheet stack NS1 and the second nanosheet stack NS2 may be made of InGaAs, InAs, GaSb, InSb, or a combination thereof.

제1 나노시트 스택(NS1) 및 제2 나노시트 스택(NS2) 각각은 제1 핀들(F1) 및 제2 핀들(F2)의 상면 위에서 상호 수직 방향(Z축 방향)으로 오버랩되어 있는 복수의 나노시트들(NS11~NS13, NS21~NS23)을 포함할 수 있다. 본 예에서, 제1 나노시트 스택(NS1) 및 제2 나노시트 스택(NS2) 각각은 3 개의 나노시트들로 이루어지는 경우를 예시하였으나, 본 발명의 기술적 사상은 예시한 바에 한정되지 않는다. 예를 들면, 제 제1 나노시트 스택(NS1) 및 제2 나노시트 스택(NS2) 각각은 적어도 2 개의 나노시트들을 포함할 수 있으며, 나노시트의 개수는 특별히 제한되지 않는다.Each of the first nanosheet stack NS1 and the second nanosheet stack NS2 includes a plurality of nanoparticles overlapping each other in a mutually perpendicular direction (Z-axis direction) on the upper surfaces of the first fins F1 and the second fins F2. Sheets NS11 to NS13 and NS21 to NS23 may be included. In this example, each of the first nanosheet stack NS1 and the second nanosheet stack NS2 is composed of three nanosheets, but the technical concept of the present invention is not limited to the examples. For example, each of the first nanosheet stack NS1 and the second nanosheet stack NS2 may include at least two nanosheets, and the number of nanosheets is not particularly limited.

게이트 라인(GL2)은 제1 핀(F1) 및 제2 핀(F2) 상에서 제1 나노시트 스택(NS1) 및 제2 나노시트 스택(NS2)을 덮으면서 복수의 나노시트들(NS11~NS13, NS21~NS23) 각각을 포위할 수 있다. 복수의 나노시트들(NS11~NS13, NS21~NS23)는 게이트 라인(GL2)으로 포위되는 GAA(gate-all-around) 구조를 가질 수 있다. 제1 나노시트 스택(NS1) 및 제2 나노시트 스택(NS2)과 게이트 라인(GL2)과의 사이에는 게이트 절연막(GI)이 개재될 수 있다.The gate line GL2 covers the first nanosheet stack NS1 and the second nanosheet stack NS2 on the first fin F1 and the second fin F2 and covers the plurality of nanosheets NS11 to NS13, NS21 ~ NS23) can surround each. The plurality of nanosheets NS11 to NS13 and NS21 to NS23 may have a gate-all-around (GAA) structure surrounded by the gate line GL2. A gate insulating layer GI may be interposed between the first nanosheet stack NS1 and the second nanosheet stack NS2 and the gate line GL2.

도 2는 본 개시의 예시적 실시예에 따른 집적 회로의 레이아웃을 설명하기 위한 도면이다.2 is a diagram for explaining the layout of an integrated circuit according to an exemplary embodiment of the present disclosure.

도 2를 참조하면, 본 개시의 예시적 실시예에 따른 집적 회로는 복수의 표준 셀들을 포함할 수 있다. 예를 들어, 집적 회로(20)는 제1 표준 셀(201) 및 제2 표준 셀(202)을 포함할 수 있다. 제1 표준 셀(201)의 셀 하이트(cell height) 및 제2 표준 셀(2020)의 셀 하이트는 서로 상이할 수 있다. Referring to FIG. 2 , an integrated circuit according to an exemplary embodiment of the present disclosure may include a plurality of standard cells. For example, the integrated circuit 20 may include a first standard cell 201 and a second standard cell 202 . The cell height of the first standard cell 201 and the cell height of the second standard cell 2020 may be different from each other.

또한, 집적 회로(20)는 복수의 메탈 레이어를 포함할 수 있으며, 도 2에는 그 중 일부인 제2 메탈 레이어(M2) 및 제3 메탈 레이어(M3)가 도시되어 있다.Also, the integrated circuit 20 may include a plurality of metal layers, and FIG. 2 illustrates a second metal layer M2 and a third metal layer M3, which are some of them.

집적 회로(20)는 복수의 메탈 레이어들 마다 각각 복수의 트랙들을 포함할 수 있고, 복수의 트랙들을 따라 일정한 방향으로 연장되는 패턴들을 포함할 수 있다. 예를 들어, 집적 회로(20)는 제2 메탈 레이어(M2)에서 Y축 방향으로 연장되는 패턴들(210)을 포함할 수 있고, 제3 메탈 레이어(M3)에서 X축 방향으로 연장되는 패턴들(L1 내지 L5.5 및 L1' 내지 L3.5')을 포함할 수 있다.The integrated circuit 20 may include a plurality of tracks for each of a plurality of metal layers, and may include patterns extending in a predetermined direction along the plurality of tracks. For example, the integrated circuit 20 may include patterns 210 extending in the Y-axis direction from the second metal layer M2 and patterns extending in the X-axis direction from the third metal layer M3. (L1 to L5.5 and L1' to L3.5').

복수의 트랙들 상에는 전력을 전달하는 패턴인 파워 라인(power line) 및 신호를 전달하는 패턴인 시그널 라인(signal line) 중 적어도 하나가 배치될 수 있다. 예를 들어, 제3 메탈 레이어(M3)에서 제1 표준 셀(201)은 시그널 라인들(L1 내지 L5)을 포함할 수 있다. 또한, 제3 메탈 레이어(M3)에서 제2 표준 셀(202)은 시그널 라인들(L1' 내지 L3')을 포함할 수 있다. At least one of a power line that is a pattern for transmitting power and a signal line that is a pattern for transmitting a signal may be disposed on the plurality of tracks. For example, the first standard cell 201 in the third metal layer M3 may include signal lines L1 to L5. Also, the second standard cell 202 in the third metal layer M3 may include signal lines L1' to L3'.

집적 회로(20)는 제1 방향(예를 들어, Y축 방향)으로 서로 인접하게 배치되는 제1 및 제2 표준 셀(201, 202)을 포함하는 복수의 표준 셀들, 및 수직 방향으로 차례로 적층되는 제1 내지 제3 메탈 레이어(M1 내지 M3)를 포함할 수 있다. 또한, 제1 표준 셀(201) 및 제2 표준 셀(202) 중 적어도 하나의 표준 셀이 배치되는 영역 내부에, 복수의 표준 셀들에 전력을 제공하고, 제2 방향(예를 들어, X축 방향)으로 연장되는 제3 메탈 레이어(M3)의 패턴으로서 형성되는 적어도 하나의 파워 세그먼트(power segment)가 배치될 수 있다. 파워 라인은 파워 세그먼트보다 제2 방향(예를 들어, X축 방향)으로 길게 연장된 패턴을 나타낼 수 있다. 복수의 트랙들의 패턴들의 제2 방향의 폭은 서로 상이할 수 있다. 구체적으로, 복수의 트랙들 중 제2 트랙들의 패턴들의 제2 방향의 폭은, 제1 트랙의 패턴들의 제2 방향 폭과 서로 상이할 수 있다.The integrated circuit 20 includes a plurality of standard cells including first and second standard cells 201 and 202 disposed adjacent to each other in a first direction (eg, a Y-axis direction), and sequentially stacked in a vertical direction. The first to third metal layers M1 to M3 may be included. In addition, power is provided to a plurality of standard cells in an area where at least one standard cell of the first standard cell 201 and the second standard cell 202 is disposed, and power is provided in a second direction (eg, X-axis). direction), at least one power segment formed as a pattern of the third metal layer M3 may be disposed. The power line may represent a pattern extending longer in the second direction (eg, the X-axis direction) than the power segment. Widths of the patterns of the plurality of tracks in the second direction may be different from each other. Specifically, widths of patterns of second tracks among the plurality of tracks in the second direction may be different from widths of patterns of first tracks in the second direction.

집적 회로(20)는 상기 제3 메탈 레이어(M3)에서, 복수의 표준 셀들에 전력을 제공하고 트랙의 일부에 형성되는 패턴인 파워 세그먼트(221, 223, 232, 241, 243) 및 신호를 전달하고 트랙의 일부에 형성되는 패턴인 시그널 세그먼트(signal segment)(222, 231, 233, 242)를 포함할 수 있다. 시그널 라인은 시그널 세그먼트보다 제2 방향(예를 들어, X축 방향)으로 길게 연장된 패턴을 나타낼 수 있다. In the third metal layer M3, the integrated circuit 20 provides power to a plurality of standard cells and transmits power segments 221, 223, 232, 241, and 243, which are patterns formed on a part of a track, and signals. and may include signal segments 222, 231, 233, and 242, which are patterns formed on a part of the track. The signal line may represent a pattern extending longer in the second direction (eg, the X-axis direction) than the signal segment.

복수의 트랙들 중 적어도 하나의 트랙에는 파워 세그먼트 및 시그널 세그먼트가 모두 형성될 수 있다. 예를 들어, 하나의 트랙에 파워 세그먼트들(221, 223) 및 시그널 세그먼트(222)가 배치될 수 있고, 다른 하나의 트랙에 파워 세그먼트(232) 및 시그널 세그먼트들(231, 233)가 배치될 수 있고, 또 다른 하나의 트랙에 파워 세그먼트들(241, 243) 및 시그널 세그먼트(242)가 배치될 수 있다. 집적 회로(20)는 파워 세그먼트 및 시그널 세그먼트가 모두 배치되는 트랙을 포함하므로, 공간을 효율적으로 사용할 수 있으며, 따라서, 파워 세그먼트 또는 시그널 세그먼트를 포함하지 않는 경우보다 집적 회로의 면적이 감소될 수 있다. Both a power segment and a signal segment may be formed in at least one of the plurality of tracks. For example, the power segments 221 and 223 and the signal segment 222 may be disposed on one track, and the power segment 232 and signal segments 231 and 233 may be disposed on the other track. and the power segments 241 and 243 and the signal segment 242 may be arranged on another track. Since the integrated circuit 20 includes a track on which both the power segment and the signal segment are arranged, space can be efficiently used, and therefore, the area of the integrated circuit can be reduced compared to the case where neither the power segment nor the signal segment is included. .

하나의 트랙에 파워 라인 또는 시그널 라인만 형성되어 있는 경우, 해당 트랙은 파워 트랙 또는 시그널 트랙으로 지칭될 수 있다. 또한, 하나의 트랙에 파워 세그먼트 또는 시그널 세그먼트가 형성된 경우, 해당 트랙은 파워-시그널 트랙으로 지칭될 수 있다. 예를 들어, 표준 셀 내부의 트랙에 순서를 붙여 지칭하는 경우, 제1 표준 셀(201)이 배치되는 영역에는 시그널 트랙들(L1 내지 L5) 및 파워-시그널 트랙(L5.5)이 배치될 수 있다. 또한, 제2 표준 셀(202)이 배치되는 영역에는 시그널 트랙(L1' 내지 L3') 및 파워-시그널 트랙(L3.5')이 배치될 수 있다. 복수의 셀들이 배치되는 영역에 형성되는 트랙들의 개수는 상술한 바에 제한되지 않으며, 트랙들의 개수는 다양할 수 있다.When only power lines or signal lines are formed in one track, the corresponding track may be referred to as a power track or a signal track. Also, when a power segment or a signal segment is formed in one track, the corresponding track may be referred to as a power-signal track. For example, when referring to tracks inside a standard cell in order, the signal tracks L1 to L5 and the power-signal track L5.5 are arranged in the area where the first standard cell 201 is arranged. can In addition, the signal tracks L1' to L3' and the power-signal track L3.5' may be disposed in the area where the second standard cell 202 is disposed. The number of tracks formed in the area where the plurality of cells are arranged is not limited as described above, and the number of tracks may vary.

집적 회로에 포함된 시그널 라인의 피치(pitch)(Y축 방향으로의 폭), 파워 라인의 피치, 시그널 세그먼트의 피치, 및/또는 파워 세그먼트의 피치는 동일할 수 있으며, 서로 상이할 수도 있다. 예를 들어, 도 2에 도시된 바와 같이, 파워 세그먼트(221, 223, 232, 241, 243)의 피치 및 시그널 세그먼트(222, 231, 233, 242)의 피치는 시그널 라인들의 피치보다 클 수 있으며, 다만, 이에 제한되는 것은 아니다.A pitch (width in the Y-axis direction) of a signal line included in an integrated circuit, a pitch of a power line, a pitch of a signal segment, and/or a pitch of a power segment may be the same or different from each other. For example, as shown in FIG. 2, the pitch of the power segments 221, 223, 232, 241, 243 and the pitch of the signal segments 222, 231, 233, 242 may be greater than the pitch of the signal lines, , However, it is not limited thereto.

도 3는 본 개시의 예시적 실시예에 따른 집적 회로의 레이아웃을 설명하기 위한 도면이다.3 is a diagram for explaining a layout of an integrated circuit according to an exemplary embodiment of the present disclosure.

도 3를 참조하면, 본 개시의 예시적 실시예에 따른 집적 회로(30)는 제1 표준 셀(301) 및 제2 표준 셀(302)을 포함할 수 있다. 집적 회로(30)는 제2 메탈 레이어(M2)에서 연장되는 패턴들(310) 및 제3 메탈 레이어(M3)에서 연장되는 패턴들을 포함할 수 있다. Referring to FIG. 3 , an integrated circuit 30 according to an exemplary embodiment of the present disclosure may include a first standard cell 301 and a second standard cell 302 . The integrated circuit 30 may include patterns 310 extending from the second metal layer M2 and patterns extending from the third metal layer M3.

파워 세그먼트 및 시그널 세그먼트를 포함하는 파워-시그널 트랙(L5.5)은 제1 표준 셀(301) 및 제2 표준 셀(302) 중 적어도 하나의 표준 셀이 배치되는 영역 내부에 형성될 수 있다. 예를 들어, 도 2의 집적 회로(20)에서는 제1 표준 셀(201) 및 제2 표준 셀(202)의 경계에 파워 세그먼트가 배치된 것과 달리, 도 3의 집적 회로는 제1 표준 셀(301) 및 제2 표준 셀(302)의 경계가 아닌 제1 표준 셀(301)이 배치되는 영역 내부에 제3 메탈 레이어(M3)의 패턴으로서 형성되는 파워 세그먼트(332) 및 시그널 세그먼트(331, 333)를 포함할 수 있다. 표준 셀 내부에 파워 세그먼트(332)의 피치(Y축 방향으로의 폭) 및 시그널 세그먼트(331, 333)의 피치(Y축 방향으로의 폭)는 파워 라인의 피치보다 작을 수 있으며, 다만, 이에 제한되는 것은 아니다.A power-signal track L5.5 including a power segment and a signal segment may be formed inside a region where at least one standard cell of the first standard cell 301 and the second standard cell 302 is disposed. For example, unlike the power segment disposed at the boundary between the first standard cell 201 and the second standard cell 202 in the integrated circuit 20 of FIG. 2 , the integrated circuit of FIG. 3 has the first standard cell ( 301) and the second standard cell 302, the power segment 332 and the signal segment 331 formed as a pattern of the third metal layer M3 inside the region where the first standard cell 301 is disposed, not at the boundary. 333) may be included. Inside the standard cell, the pitch (width in the Y-axis direction) of the power segment 332 and the pitch (width in the Y-axis direction) of the signal segments 331 and 333 may be smaller than the pitch of the power line. It is not limited.

따라서, 본 개시에 따른 집적 회로(30)는, 제3 메탈 레이어(M3)의 파워-시그널 트랙(L.5.5)에 형성되고 제1 표준 셀(301)이 배치되는 영역의 내부에 형성되는 파워 세그먼트(332) 및 시그널 세그먼트(331, 333)를 포함함으로써, 복수의 표준 셀들을 서로 전기적으로 연결하는 라우팅을 위한 넓은 공간을 확보할 수 있다. 따라서, 표준 셀의 경계에 파워 세그먼트가 형성되어 있을 때 보다 많은 개수의 시그널 라인, 파워 라인, 시그널 세그먼트 및/또는 파워 세그먼트를 포함할 수 있다.Accordingly, in the integrated circuit 30 according to the present disclosure, power is formed on the power-signal track L.5.5 of the third metal layer M3 and is formed inside the region where the first standard cell 301 is disposed. By including the segment 332 and the signal segments 331 and 333, it is possible to secure a wide space for routing electrically connecting a plurality of standard cells to each other. Accordingly, when a power segment is formed at the boundary of a standard cell, a larger number of signal lines, power lines, signal segments, and/or power segments may be included.

도 4a 내지 도 4c는 본 개시의 예시적 실시예에 따른 집적 회로의 레이아웃을 설명하기 위한 도면이다.4A to 4C are views for explaining the layout of an integrated circuit according to an exemplary embodiment of the present disclosure.

도 4a를 참조하면, 본 개시의 예시적 실시예에 따른 집적 회로(40)는 복수 개의 표준 셀들(401 내지 404)을 포함할 수 있다. 표준 셀들(401 내지 404)은 제2 메탈 레이어(M2)에서 연장되는 패턴들 및 제3 메탈 레이어(M3)에서 연장되는 패턴들을 포함할 수 있다. 또한, 집적 회로(40)는 복수의 파워 세그먼트들 및 복수의 시그널 세그먼트들을 포함할 수 있다. 복수의 파워 세그먼트들에는 각각 VDD 전압 또는 그라운드(GND) 전압이 인가될 수 있다.Referring to FIG. 4A , an integrated circuit 40 according to an exemplary embodiment of the present disclosure may include a plurality of standard cells 401 to 404 . The standard cells 401 to 404 may include patterns extending from the second metal layer M2 and patterns extending from the third metal layer M3. Also, the integrated circuit 40 may include a plurality of power segments and a plurality of signal segments. A VDD voltage or a ground (GND) voltage may be applied to each of the plurality of power segments.

표준 셀들(401 내지 404)은 다양한 셀 하이트를 가질 수 있다. 예를 들어, 표준 셀(401, 403)은 제1 높이의 셀 하이트를 가질 수 있고, 표준 셀(402, 404)은 제2 높이의 셀 하이트를 가질 수 있다. 또한, 집적 회로(40)는 9:13(117:169)과 같이 소정의 비율을 갖는 서로 다른 2개의 셀 하이트들로 구성된 표준 셀들을 포함할 수 있다. 다만, 셀 하이트는 상술한 바에 제한되지 않으며, 다양할 수 있다.Standard cells 401-404 may have various cell heights. For example, the standard cells 401 and 403 may have a cell height of a first height, and the standard cells 402 and 404 may have a cell height of a second height. Also, the integrated circuit 40 may include standard cells composed of two different cell heights having a predetermined ratio, such as 9:13 (117:169). However, the cell height is not limited to the above and may vary.

집적 회로(40)에서 표준 셀들은 소정의 규칙을 가지고 배치될 수 있다. 예를 들어, 도 4a에 도시된 바와 같이, 집적 회로에 포함된 표준 셀의 하이트가 제1 높이 및 제2 높이로 두 가지일 때, 제1 높이의 셀 하이트를 갖는 표준 셀(401, 403)과 제2 높이의 셀 하이트를 갖는 표준 셀(402, 404)이 서로 번갈아가며 배치될 수 있다. 또한, 도 4a에 도시되진 않았으나, 집적 회로에는 제1 높이의 셀 하이트를 갖는 표준 셀 2개가 Y축 방향으로 연속하여 배치된 후, 인접하여 제2 높이의 셀 하이트를 갖는 표준 셀 2개가 Y축 방향으로 연속하여 배치되는 방식으로 배치될 수 있다. 또한, 집적 회로에는 서로 다른 셀 하이트를 갖는 표준 셀들이 소정의 비율을 갖고 배치될 수 있다. 예를 들어, 집적 회로에는 제1 높이의 셀 하이트를 갖는 표준 셀 및 제2 높이의 셀 하이트를 갖는 표준 셀의 배치가 2:2, 1:3 등과 같은 비율을 갖도록 Y축 방향으로 차례로 배치될 수 있다. 다만, 표준 셀의 배치는 상술한 바에 제한되지 않는다.Standard cells in the integrated circuit 40 may be arranged according to a predetermined rule. For example, as shown in FIG. 4A , when standard cells included in an integrated circuit have two heights, a first height and a second height, standard cells 401 and 403 having cell heights of the first height and the standard cells 402 and 404 having cell heights of the second height may be alternately arranged. In addition, although not shown in FIG. 4A, in the integrated circuit, two standard cells having a cell height of a first height are continuously arranged in the Y-axis direction, and then two standard cells having a cell height of a second height are adjacent to each other along the Y-axis. It can be arranged in such a way that it is arranged continuously in the direction. Also, standard cells having different cell heights may be arranged in a predetermined ratio in the integrated circuit. For example, in an integrated circuit, standard cells having a cell height of a first height and standard cells having a cell height of a second height may be sequentially arranged in the Y-axis direction to have a ratio of 2:2, 1:3, and the like. can However, the arrangement of standard cells is not limited to the above.

도 4b를 참조하면, 본 개시의 예시적 실시예에 따른 집적 회로(40)는 제1 메탈 레이어(M1)에서 연장되는 패턴들을 포함할 수 있다. 표준 셀들(401 내지 404) 각각이 배치되는 영역 내부에 형성되는 제1 메탈 레이어(M1)의 트랙들의 수는 표준 셀들(401 내지 404) 각각의 셀 하이트에 따라 달라질 수 있다.Referring to FIG. 4B , the integrated circuit 40 according to the exemplary embodiment of the present disclosure may include patterns extending from the first metal layer M1 . The number of tracks of the first metal layer M1 formed in the area where each of the standard cells 401 to 404 is disposed may vary according to the cell height of each of the standard cells 401 to 404 .

제1 메탈 레이어(M1)에서 패턴들이 연장되는 방향은 제3 메탈 레이어(M3)에서 패턴들이 연장되는 방향과 동일할 수 있고, 제2 메탈 레이어(M2)에서 패턴들이 연장되는 방향과 수직인 방향일 수 있다. 예를 들어, 제1 메탈 레이어(M1) 및 제3 메탈 레이어(M3)에서 패턴들은 X축 방향으로 연장될 수 있고, 제2 메탈 레이어(M2)에서 패턴들은 Y축 방향으로 연장될 수 있다.A direction in which patterns extend from the first metal layer M1 may be the same as a direction in which patterns extend from the third metal layer M3, and a direction perpendicular to a direction in which patterns extend from the second metal layer M2. can be For example, patterns in the first metal layer M1 and the third metal layer M3 may extend in the X-axis direction, and patterns in the second metal layer M2 may extend in the Y-axis direction.

제1 메탈 레이어(M1)에서 파워 라인들(421 내지 425)은 제3 메탈 레이어(M3)에 포함된 파워 라인 및/또는 파워 세그먼트와 연결될 수 있다.The power lines 421 to 425 in the first metal layer M1 may be connected to power lines and/or power segments included in the third metal layer M3.

제1 메탈 레이어(M1)에서의 파워 라인들은 각각 제3 메탈 레이어(M3)에서의 파워 라인 및/또는 파워 세그먼트는 메탈 레이어들이 적층되는 방향(예를 들어, Z축 방향)으로 얼라인 되지 않을 수 있다.The power lines in the first metal layer M1 and/or the power lines and/or power segments in the third metal layer M3 may not be aligned in the direction in which the metal layers are stacked (eg, the Z-axis direction). can

도 4c는 도 4a에 도시된 집적 회로를 단면(411, 412)을 따라 자른 단면도이다.FIG. 4C is a cross-sectional view of the integrated circuit shown in FIG. 4A taken along sections 411 and 412 .

도 4c를 참조하면, 본 개시의 예시적 실시예에 따른 집적 회로(40)의 복수의 메탈 레이어들의 패턴들은 비아(via)(V1, V2, V3)들로 연결될 수 있으며, 도 4a에 도시되진 않았으나, 집적 회로(40)는 복수의 메탈 레이어를 포함할 수 있다. 도 4c를 예로 들면, 표준 셀(404)은 제1 메탈 레이어(M1) 내지 제4 메탈 레이어(M4)에 형성된 패턴들을 포함할 수 있다.Referring to FIG. 4C , patterns of a plurality of metal layers of the integrated circuit 40 according to an exemplary embodiment of the present disclosure may be connected by vias V1, V2, and V3, which are not shown in FIG. 4A. However, the integrated circuit 40 may include a plurality of metal layers. Referring to FIG. 4C as an example, the standard cell 404 may include patterns formed on the first metal layer M1 to the fourth metal layer M4.

비아(V1)은 제1 메탈 레이어(M1)에 배치된 패턴과 제2 메탈 레이어(M2)에 배치된 패턴들의 사이를 연결할 수 있고, 비아(V2)은 제2 메탈 레이어(M2)에 배치된 패턴과 제3 메탈 레이어(M3)에 배치된 패턴들의 사이를 연결할 수 있고, 비아(V3)은 제3 메탈 레이어(M3)에 배치된 패턴과 제4 메탈 레이어(M4)에 배치된 패턴들의 사이를 연결할 수 있다. 비아들(V1 내지 V3)을 통해 파워 세그먼트 또는 파워 라인이 하부의 표준 셀로 전력을 제공할 수 있다.The via V1 may connect between patterns disposed on the first metal layer M1 and patterns disposed on the second metal layer M2, and the via V2 may be disposed on the second metal layer M2. A connection may be made between the pattern and the patterns disposed on the third metal layer M3, and the via V3 may be formed between the pattern disposed on the third metal layer M3 and the patterns disposed on the fourth metal layer M4. can be connected. A power segment or power line may provide power to a lower standard cell through vias V1 to V3.

도 5a 및 도 5b는 본 개시의 예시적 실시예에 따른 집적 회로의 레이아웃을 설명하기 위한 도면이다. 5A and 5B are views for explaining the layout of an integrated circuit according to an exemplary embodiment of the present disclosure.

도 5b는 도 5a에 도시된 집적 회로를 단면(511, 512)을 따라 자른 단면도이다. 도 5a 및 도 5b를 참조하면, 본 개시의 예시적 실시예에 따른 집적 회로(50)는 복수의 표준 셀들(501 내지 504)를 포함할 수 있다. 집적 회로(50)는 제2 메탈 레이어(M2)에서 연장되는 패턴들 및 제3 메탈 레이어(M3)에서 연장되는 패턴들을 포함할 수 있다. 또한, 집적 회로(50)는 복수의 파워 세그먼트들 및 복수의 시그널 세그먼트들을 포함할 수 있다. 복수의 파워 세그먼트들에는 각각 VDD 전압 또는 그라운드(GND) 전압이 인가될 수 있다.FIG. 5B is a cross-sectional view of the integrated circuit shown in FIG. 5A taken along sections 511 and 512 . Referring to FIGS. 5A and 5B , an integrated circuit 50 according to an exemplary embodiment of the present disclosure may include a plurality of standard cells 501 to 504 . The integrated circuit 50 may include patterns extending from the second metal layer M2 and patterns extending from the third metal layer M3. Also, the integrated circuit 50 may include a plurality of power segments and a plurality of signal segments. A VDD voltage or a ground (GND) voltage may be applied to each of the plurality of power segments.

표준 셀들(502, 503)은 예시적으로 제1 높이의 셀 하이트를 가지며, 표준 셀들(501, 504)은 예시적으로 제2 높이의 셀 하이트를 가질 수 있다. 예를 들어, 제1 높이는 제2 높이보다 작을 수 있다.The standard cells 502 and 503 may illustratively have a cell height of a first height, and the standard cells 501 and 504 may illustratively have a cell height of a second height. For example, the first height may be smaller than the second height.

셀 하이트가 상대적으로 큰 표준 셀들(501, 504)의 면적은 표준 셀들(502, 503)보다 크며, 이에 따라, 표준 셀들(501, 504) 내부에 형성된 패턴들의 개수가 표준 셀들(502, 503) 내부에 형성된 패턴들의 개수보다 많을 수 있다. 셀 하이트가 상대적으로 큰 표준 셀들(501, 504)에 요구되는 전력량이 셀 하이트가 상대적으로 작은 표준 셀들(502, 503)에 요구되는 전력량보다 클 수 있다. 요구되는 전력량이 큼에 따라 표준 셀(504)은 파워 세그먼트로부터 전력을 제공받는 것으로 전력을 충당하기 부족할 수 있다. 따라서, 표준 셀(504) 상에는 파워 라인(550)이 형성될 수 있고, 파워 라인(550)으로부터 전력을 제공받을 수 있다. 또한, 파워 라인(550)의 피치(551)는 셀 하이트가 상대적으로 작은 표준 셀(502, 503)에 포함된 파워 세그먼트들(예를 들어, 540)의 피치(예를 들어, 541)보다 클 수 있다.The area of the standard cells 501 and 504 having a relatively large cell height is larger than that of the standard cells 502 and 503, and accordingly, the number of patterns formed inside the standard cells 501 and 504 is greater than that of the standard cells 502 and 503. It may be more than the number of patterns formed inside. The amount of power required for the standard cells 501 and 504 having relatively high cell heights may be greater than the amount of power required for the standard cells 502 and 503 having relatively small cell heights. Depending on the amount of power required, the standard cell 504 may be insufficient to cover power by receiving power from the power segment. Accordingly, a power line 550 may be formed on the standard cell 504 and power may be provided from the power line 550 . In addition, the pitch 551 of the power line 550 is greater than the pitch (eg, 541) of the power segments (eg, 540) included in the standard cells 502 and 503 having relatively small cell heights. can

또한, 표준 셀(501)은 표준 셀(504)만큼 큰 전력량을 요구하는 셀이 아닐 수 있으며, 따라서, 표준 셀(501) 상에 파워 세그먼트(520)가 배치될 수 있고, 파워 세그먼트(520)로부터 전력을 제공받을 수 있다. 또한, 파워 세그먼트(520)의 피치(521)의 크기는 표준 셀(501)에 요구되는 전력량에 비례할 수 있으며, 따라서, 상대적으로 적은 전력량이 요구될 수 있는 표준 셀(502, 503)에 포함된 파워 세그먼트들의 피치보다 클 수 있다.In addition, the standard cell 501 may not be a cell requiring as much power as the standard cell 504, and therefore, the power segment 520 may be disposed on the standard cell 501, and the power segment 520 power can be obtained from In addition, the size of the pitch 521 of the power segment 520 may be proportional to the amount of power required for the standard cell 501, and thus included in the standard cells 502 and 503, which may require a relatively small amount of power. may be greater than the pitch of the power segments.

집적 회로의 복수의 메탈 레이어들의 패턴들은 비아(V2, V3)들로 연결될 수 있다.Patterns of the plurality of metal layers of the integrated circuit may be connected through vias V2 and V3.

도 6a 및 도 6b는 본 개시의 예시적 실시예에 따른 집적 회로의 레이아웃을 설명하기 위한 도면이다. 6A and 6B are views for explaining the layout of an integrated circuit according to an exemplary embodiment of the present disclosure.

도 6b는 도 6a에 도시된 집적 회로(60)를 단면(611, 612, 613)을 따라 자른 단면도이다. 도 6a를 참조하면, 본 개시의 예시적 실시예에 따른 집적 회로(60)는 복수의 표준 셀들(601 내지 604)를 포함할 수 있다. 복수의 표준 셀들(601 내지 604)이 배치되는 영역에는 제2 메탈 레이어(M2)에서 연장되는 패턴들 및 제3 메탈 레이어(M3)에서 연장되는 패턴들이 포함될 수 있다. 또한, 집적 회로(60)는 복수의 파워 세그먼트들 및 복수의 시그널 세그먼트들을 포함할 수 있다. 복수의 파워 세그먼트들에는 각각 VDD 전압 또는 그라운드(GND) 전압이 인가될 수 있다.FIG. 6B is a cross-sectional view of the integrated circuit 60 shown in FIG. 6A taken along sections 611, 612, and 613. Referring to FIG. Referring to FIG. 6A , an integrated circuit 60 according to an exemplary embodiment of the present disclosure may include a plurality of standard cells 601 to 604 . An area where the plurality of standard cells 601 to 604 are disposed may include patterns extending from the second metal layer M2 and patterns extending from the third metal layer M3. Also, the integrated circuit 60 may include a plurality of power segments and a plurality of signal segments. A VDD voltage or a ground (GND) voltage may be applied to each of the plurality of power segments.

복수의 표준 셀들(601 내지 604)이 배치되는 영역에는 파워 세그먼트가 포함될 수 있다. 파워 세그먼트는 표준 셀 경계에 위치할 수도 있고, 표준 셀 내부에 위치할 수도 있다. 예를 들어, 파워 세그먼트(621, 622)는 표준 셀이 배치되는 영역 내부에 위치하고 있으며, 파워 세그먼트(631, 632, 641, 642)는 표준 셀의 경계 상에 위치하고 있다.A power segment may be included in an area where the plurality of standard cells 601 to 604 are disposed. The power segment may be located on the standard cell boundary or inside the standard cell. For example, the power segments 621 and 622 are located inside the area where the standard cells are arranged, and the power segments 631, 632, 641 and 642 are located on the boundaries of the standard cells.

파워 세그먼트(621, 622)와 같이 표준 셀 경계 상에 위치하는 것이 아닌 표준 셀 경계를 기준으로 각각 다른 표준 셀이 배치되는 영역에 포함되는 경우, 파워 세그먼트(621, 622)는 각각 서로 다른 제2 메탈 레이어(M2)의 패턴과 연결될 수 있다. 다시 말해, 표준 셀(603)이 배치되는 영역은 표준 셀(603)과의 셀 경계에 인접하여 배치된 파워 세그먼트(621)를 포함할 수 있고, 표준 셀(602)이 배치되는 영역은 셀 경계에 인접하여 배치된 파워 세그먼트(622)를 포함할 수 있고, 파워 세그먼트(621) 및 파워 세그먼트(622)는 각각 제2 메탈 레이어(M2)에 형성된 서로 다른 패턴에 연결될 수 있다.When the power segments 621 and 622 are not located on the standard cell boundary but are included in an area where different standard cells are arranged based on the standard cell boundary, the power segments 621 and 622 are respectively different from each other. It may be connected to the pattern of the metal layer M2. In other words, the area where the standard cell 603 is disposed may include the power segment 621 disposed adjacent to the cell boundary with the standard cell 603, and the area where the standard cell 602 is disposed may include the cell boundary. It may include a power segment 622 disposed adjacent to, and the power segment 621 and the power segment 622 may be connected to different patterns formed on the second metal layer M2, respectively.

또한, 표준 셀 경계에 위치한 파워 세그먼트가 있는 경우, 해당 파워 세그먼트는 제2 메탈 레이어(M2)에서 동일한 패턴과 연결된 또 다른 파워 세그먼트와 인접하여 배치될 수 있다.In addition, when there is a power segment located on the standard cell boundary, the corresponding power segment may be disposed adjacent to another power segment connected to the same pattern in the second metal layer M2.

본 개시의 예시적 실시예에 따른 집적 회로(60)의 복수의 메탈 레이어들의 패턴들은 비아(V2, V3)들로 연결될 수 있으며, 파워 세그먼트는 비아(V2, V3)를 통해 표준 셀들로 전력을 제공할 수 있다. Patterns of a plurality of metal layers of the integrated circuit 60 according to an exemplary embodiment of the present disclosure may be connected through vias V2 and V3, and the power segment supplies power to standard cells through the vias V2 and V3. can provide

도 7a 내지 도 7b는 은 본 개시의 예시적 실시예에 따른 집적 회로의 레이아웃을 설명하기 위한 도면이다.7A to 7B are diagrams for explaining a layout of an integrated circuit according to an exemplary embodiment of the present disclosure.

도 7a를 참조하면, 본 개시의 예시적 실시예에 따른 집적 회로(70)는 복수의 표준 셀들(701 내지 704)를 포함할 수 있다. 표준 셀들(701 내지 704)은 제2 메탈 레이어(M2)에서 연장되는 패턴들 및 제3 메탈 레이어(M3)에서 연장되는 패턴들을 포함할 수 있다. 또한, 집적 회로(70)는 복수의 파워 세그먼트들 및 복수의 시그널 세그먼트들을 포함할 수 있다. 복수의 파워 세그먼트들에는 각각 VDD 전압 또는 그라운드(GND) 전압이 인가될 수 있다.Referring to FIG. 7A , an integrated circuit 70 according to an exemplary embodiment of the present disclosure may include a plurality of standard cells 701 to 704 . The standard cells 701 to 704 may include patterns extending from the second metal layer M2 and patterns extending from the third metal layer M3. Also, the integrated circuit 70 may include a plurality of power segments and a plurality of signal segments. A VDD voltage or a ground (GND) voltage may be applied to each of the plurality of power segments.

집적 회로(70)는 표준 셀(703, 704)와 같이 파워 세그먼트가 요구되지 않는 경우, 파워 세그먼트를 포함하지 않을 수 있다.The integrated circuit 70 may not include a power segment if a power segment is not required, such as in the standard cells 703 and 704.

도 7b를 참조하면, 본 개시의 예시적 실시예에 따른 집적 회로의 복수의 메탈 레이어들의 패턴들은 슈퍼 비아들(super via)로 연결될 수 있다. Referring to FIG. 7B , patterns of a plurality of metal layers of an integrated circuit according to an exemplary embodiment of the present disclosure may be connected through super vias.

슈퍼 비아는 인접하지 않은 메탈 레이어에 포함된 패턴들을 연결할 수 있는 비아일 수 있다. 예를 들어, 슈퍼 비아는 제2 메탈 레이어(M2)에 포함된 패턴과 제4 메탈 레이어(M4)에 포함된 패턴을 연결할 수 있는 비아일 수 있으며, 다만, 이에 제한 되는 것은 아니다.Super vias may be vias capable of connecting patterns included in non-adjacent metal layers. For example, the super via may be a via capable of connecting a pattern included in the second metal layer M2 and a pattern included in the fourth metal layer M4, but is not limited thereto.

도 8a 내지 도 8d는 본 개시의 예시적 실시예에 따른 집적 회로의 레이아웃을 설명하기 위한 도면이다. 8A to 8D are diagrams for explaining the layout of an integrated circuit according to an exemplary embodiment of the present disclosure.

도 8a를 참조하면, 본 개시의 예시적 실시예에 따른 집적 회로(80)는 복수의 표준 셀들(801 내지 804)를 포함할 수 있고, 제3 메탈 레이어(M3)에서 연장되는 패턴들을 포함할 수 있다. 또한, 집적 회로(80)는 복수의 파워 세그먼트들 및 복수의 시그널 세그먼트들을 포함할 수 있다. 복수의 파워 세그먼트들에는 각각 VDD 전압 또는 그라운드(GND) 전압이 인가될 수 있다.Referring to FIG. 8A , an integrated circuit 80 according to an exemplary embodiment of the present disclosure may include a plurality of standard cells 801 to 804 and may include patterns extending from the third metal layer M3. can Also, the integrated circuit 80 may include a plurality of power segments and a plurality of signal segments. A VDD voltage or a ground (GND) voltage may be applied to each of the plurality of power segments.

집적 회로는, 표준 셀(801, 802)의 셀 경계 상에 파워 라인이 배치되도록 구성될 수 있다. 이와 달리, 집적 회로(80)는, 표준 셀(803, 804)의 셀 경계 상이 아닌, 표준 셀(803, 804) 각각이 배치되는 영역의 내부에 배치되는 파워 라인을 포함할 수 있고, 표준 셀(804)은 표준 셀(802)보다 라우팅을 위한 공간 추가로 확보할 수 있다.The integrated circuit may be configured such that power lines are placed on cell boundaries of standard cells 801 and 802 . Alternatively, the integrated circuit 80 may include a power line disposed inside a region in which each of the standard cells 803 and 804 are disposed, rather than on a cell boundary of the standard cells 803 and 804, and 804 can secure more space for routing than the standard cell 802.

도 8b를 참조하면, 집적 회로는, 표준 셀(811, 812)와 같이 셀 경계 마다 파워 라인이 위치되도록 구성될 수 있다. 이와 달리, 표준 셀(813, 814)처럼 파워 라인의 피치 크기를 줄이고, 파워 라인들 중 일부를 없앰으로써 표준 셀(813, 814)에 공간이 확보될 수 있으며, 이에 따라, 표준 셀(813, 814)은 표준 셀(811, 812)보다 많은 시그널 라인을 포함할 수 있다.Referring to FIG. 8B , the integrated circuit may be configured such that a power line is positioned at each cell boundary, such as in standard cells 811 and 812 . Unlike the standard cells 813 and 814, space can be secured in the standard cells 813 and 814 by reducing the pitch size of the power lines and eliminating some of the power lines. Accordingly, the standard cells 813 and 814 814) may include more signal lines than the standard cells 811 and 812.

도 8c 및 도 8d를 참조하면, 표준 셀들(851 내지 854, 및 861 내지 864)은 셀 하이트에 따라 다양한 방식으로 배치될 수 있다.Referring to FIGS. 8C and 8D , standard cells 851 to 854 and 861 to 864 may be arranged in various ways according to cell height.

예를 들어, 표준 셀들은 표준 셀들에 요구되는 전력량에 기초하여 배치가 달라질 수 있다. 셀 하이트가 큰 표준 셀들이 더 많은 전력량을 요구할 수 있으며, 따라서, 더 많은 파워 라인들이 셀 하이트가 큰 표준 셀들에 포함하도록 배치될 수 있다.For example, the arrangement of standard cells may vary based on the amount of power required for the standard cells. Standard cells with a large cell height may require a larger amount of power, and thus more power lines may be arranged to include standard cells with a larger cell height.

도 9a 내지 도 9b는 본 개시의 예시적 실시예에 따른 집적 회로의 레이아웃을 설명하기 위한 도면이다.9A and 9B are diagrams for explaining the layout of an integrated circuit according to an exemplary embodiment of the present disclosure.

도 9a를 참조하면, 본 개시의 예시적 실시예에 따른 집적 회로는 복수의 표준 셀들을 포함할 수 있고, 복수의 표준 셀들은 제1 메탈 레이어(M1)의 파워 라인 및 제3 메탈 레이어(M3)의 파워 라인 또는 파워 세그먼트를 통해 전력을 제공받을 수 있다.Referring to FIG. 9A , an integrated circuit according to an exemplary embodiment of the present disclosure may include a plurality of standard cells, and the plurality of standard cells are a power line of a first metal layer M1 and a third metal layer M3. ) may be supplied with power through a power line or power segment.

제1 메탈 레이어(M1)의 파워 라인들 중 일부는 제3 메탈 레이어(M3)의 파워 라인들/파워 세그먼트들과 얼라인(align)되지 않을 수 있다. 예를 들어, 제1 메탈 레이어(M1)의 파워 라인들(921, 923)의 상에는 제3 메탈 레이어(M3)의 파워 라인/파워 세그먼트가 배치되지 않을 수 있고, 즉, 수직 방향으로 제3 메탈 레이어(M3)의 파워 라인/파워 세그먼트와 얼라인되지 않을 수 있다. Some of the power lines of the first metal layer M1 may not be aligned with power lines/power segments of the third metal layer M3. For example, the power line/power segment of the third metal layer M3 may not be disposed on the power lines 921 and 923 of the first metal layer M1, that is, the third metal layer may be formed in a vertical direction. It may not be aligned with the power line/power segment of layer M3.

따라서, 제3 메탈 레이어(M3)의 파워 라인(912)은 제1 메탈 레이어(M1)의 파워 라인(921, 923)과 연결될 수 있으며, 또한, 제3 메탈 레이어(M3)의 파워 라인(911, 913)은 제1 메탈 레이어(M1)의 파워 라인(922)과도 연결될 수 있다.Accordingly, the power line 912 of the third metal layer M3 may be connected to the power lines 921 and 923 of the first metal layer M1, and also the power line 911 of the third metal layer M3. , 913) may also be connected to the power line 922 of the first metal layer M1.

도 9b를 참조하면, 제1 메탈 레이어(M1)의 파워 라인들 중 일부는 제3 메탈 레이어(M3)의 파워 라인들/파워 세그먼트들과 얼라인되지 않을 수 있다. 제3 메탈 레이어(M3)의 파워 라인(931, 933)은 제1 메탈 레이어(M1)의 파워 라인(942)과 연결될 수 있으며, 제3 메탈 레이어(M3)의 파워 라인(933, 935)은 제1 메탈 레이어(M1)의 파워 라인(944)과 연결될 수 있고, 제3 메탈 레이어(M3)의 파워 라인(932)은 제1 메탈 레이어(M1)의 파워 라인(941, 943)과 연결될 있고, 제3 메탈 레이어(M3)의 파워 라인(934)은 제1 메탈 레이어(M1)의 파워 라인(943, 945)과 연결될 수 있으며, 다만, 이에 제한되는 것은 아니다.Referring to FIG. 9B , some of the power lines of the first metal layer M1 may not be aligned with power lines/power segments of the third metal layer M3. The power lines 931 and 933 of the third metal layer M3 may be connected to the power line 942 of the first metal layer M1, and the power lines 933 and 935 of the third metal layer M3 may be It may be connected to the power line 944 of the first metal layer M1, and the power line 932 of the third metal layer M3 may be connected to the power lines 941 and 943 of the first metal layer M1. , The power line 934 of the third metal layer M3 may be connected to the power lines 943 and 945 of the first metal layer M1, but is not limited thereto.

도 10 본 개시의 예시적 실시예에 따른 집적 회로를 설계하기 위한 방법을 나타내는 순서도이다.10 is a flowchart illustrating a method for designing an integrated circuit according to an exemplary embodiment of the present disclosure.

도 10을 참조하면, 단계 S1010에서, 본 개시의 예시적 실시예에 따른 집적 회로를 설계하기 위한 방법은, 복수의 셀들을 배치하는 단계를 포함할 수 있다.Referring to FIG. 10 , in step S1010 , the method for designing an integrated circuit according to an exemplary embodiment of the present disclosure may include arranging a plurality of cells.

단계 S1020에서, 본 개시의 예시적 실시예에 따른 집적 회로를 설계하기 위한 방법은, 복수의 트랙들 상에 복수의 표준 셀들에 전력을 전달하는 패턴인 복수의 파워 라인들을 배치하는 단계를 포함할 수 있다..In step S1020, the method for designing an integrated circuit according to an exemplary embodiment of the present disclosure may include disposing a plurality of power lines in a pattern for delivering power to a plurality of standard cells on a plurality of tracks. can..

단계 S1030에서, 본 개시의 예시적 실시예에 따른 집적 회로를 설계하기 위한 방법은, 복수의 파워 라인들 중 일부를 복수의 표준 셀들로 신호를 전달하는 시그널 세그먼트로 교체하는 단계를 포함할 수 있다. 시그널 세그먼트로 교체할 부분은, 교체할 파워 라인이 배치된 셀에 요구되는 전력량에 기초하여 결정될 수 있다. 예를 들어, 셀에 요구되는 전력량이 적은 경우, 파워 라인 전체가 필요하지 않을 수 있으며, 이에 따라 파워 라인 중 일부가 시그널 세그먼트로 교체되도록 결정될 수 있다.In step S1030, the method for designing an integrated circuit according to an exemplary embodiment of the present disclosure may include replacing some of a plurality of power lines with a signal segment that transmits a signal to a plurality of standard cells. . A portion to be replaced with a signal segment may be determined based on an amount of power required for a cell in which a power line to be replaced is disposed. For example, when the amount of power required for a cell is small, the entire power line may not be needed, and accordingly, a decision may be made to replace some of the power lines with signal segments.

또한, 집적 회로를 설계하기 위한 방법은, 배치된 시그널 라인 중 신호 전달에 사용되지 않는 부분인 더미 시그널 세그먼트(dummy signal segment)를 복수의 표준 셀들에 전력을 전달하고 트랙의 일부에 형성되는 패턴인 파워 세그먼트로 교체하여 배치하는 단계를 더 포함할 수 있다. 불필요할 수 있는 부분인 더미 시그널 세그먼트를 파워 세그먼트로 교체함으로써, 표준 셀이 배치되는 영역의 공간이 효율적으로 사용될 수 있다.In addition, a method for designing an integrated circuit is a pattern formed on a part of a track that transfers power to a plurality of standard cells through a dummy signal segment, which is a part not used for signal transmission among the arranged signal lines. A step of replacing and arranging the power segment may be further included. By replacing a potentially unnecessary dummy signal segment with a power segment, space in an area where standard cells are arranged can be efficiently used.

또한, 집적 회로를 설계하기 위한 방법은, 더미 시그널 세그먼트와 인접하게 배치된 파워 라인에 접하도록 파워 세그먼트를 배치하는 단계를 포함할 수 있다. 구체적으로, 더미 시그널 세그먼트와 인접하여 배치된 파워 세그먼트와 파워 라인이 있을 때, 파워 세그먼트의 패턴을 연장함으로써 파워 라인에 접하도록 할 수 있다. 이에 따라, 집적 회로에 포함된 금속 자원을 효율적으로 사용할 수 있다. 또한, 기존에 배치된 파워 라인의 금속을 연장시킴으로써 더미 시그널 라인 상에 파워 세그먼트를 배치할 수 있다.Additionally, a method for designing an integrated circuit may include positioning a power segment to abut a power line disposed adjacent to a dummy signal segment. Specifically, when there is a power segment and a power line disposed adjacent to the dummy signal segment, the pattern of the power segment may be extended so as to be in contact with the power line. Accordingly, metal resources included in the integrated circuit can be efficiently used. In addition, a power segment may be disposed on a dummy signal line by extending a metal of an existing power line.

또한, 집적 회로를 설계하기 위한 방법은, 서로 다른 트랙에 배치된 파워 세그먼트들이 인접하여 배치된 경우, 인접한 파워 세그먼트들을 하나의 파워 세그먼트로 병합하는 단계를 더 포함할 수 있다.Further, the method for designing an integrated circuit may further include merging adjacent power segments into one power segment when power segments disposed on different tracks are adjacently disposed.

또한, 집적 회로를 설계하기 위한 방법은, 복수의 셀들의 내부에 파워 세그먼트를 배치하는 단계를 포함할 수 있다.Also, a method for designing an integrated circuit may include placing a power segment inside a plurality of cells.

또한, 집적 회로를 설계하기 위한 방법은, 배치된 시그널 라인 중 신호 전달에 사용되지 않는 부분인 더미 시그널 세그먼트를 제거하는 단계를 포함할 수 있다.Also, a method for designing an integrated circuit may include removing a dummy signal segment, which is a portion of an arranged signal line that is not used for signal transmission.

또한, 집적 회로를 설계하기 위한 방법은, 더미 시그널 세그먼트가 배치된 메탈 레이어의 하위 메탈 레이어와 더미 시그널 세그먼트가 배치된 메탈 레이어의 상위 메탈 레이어를 연결하는 비아를 하위 메탈 레이어에 형성하는 단계를 포함할 수 있다.In addition, the method for designing the integrated circuit includes forming vias in the lower metal layer that connect a lower metal layer of the metal layer on which the dummy signal segments are disposed and an upper metal layer of the metal layer on which the dummy signal segments are disposed. can do.

도 11a 내지 도 11b는 본 개시의 예시적 실시예에 따른 집적 회로의 레이아웃을 설명하기 위한 도면이다. 11A and 11B are diagrams for explaining the layout of an integrated circuit according to an exemplary embodiment of the present disclosure.

도 11b는 도 11a에 도시된 집적 회로(110)를 단면(1111, 1112)을 따라 자른 단면도이다.FIG. 11B is a cross-sectional view of the integrated circuit 110 shown in FIG. 11A taken along sections 1111 and 1112 .

도 11a를 참조하면, 본 개시의 예시적 실시예에 따른 집적 회로(110)는 복수의 표준 셀들(1101 내지 1104)를 포함할 수 있다.Referring to FIG. 11A , an integrated circuit 110 according to an exemplary embodiment of the present disclosure may include a plurality of standard cells 1101 to 1104 .

도 5a 및 도 11a를 참조하면, 본 개시의 예시적 실시예에 따른 집적 회로(110)를 설계하기 위한 방법은 도 4a의 표준 셀들(401 내지 404)에서 더미 시그널 세그먼트를 결정할 수 있다. 예를 들어, 도 4a의 축(411, 412)에 형성된 시그널 세그먼트가 더미 시그널 세그먼트로 결정될 수 있다. 집적 회로를 설계하기 위한 방법은 더미 시그널 세그먼트는 표준 셀 상에 불필요한 부분일 수 있으므로, 더미 시그널 세그먼트 상에 파워 세그먼트가 배치되도록 할 수 있다. 구체적으로, 도 4a에 존재하던 파워 세그먼트의 패턴을, 도 11a의 파워 세그먼트(1121, 1122)와 같이 X축 방향으로 연장시킴으로써 더미 시그널 세그먼트 대신 파워 라인 또는 연장된 파워 세그먼트가 형성될 수 있다.Referring to FIGS. 5A and 11A , a method for designing an integrated circuit 110 according to an exemplary embodiment of the present disclosure may determine dummy signal segments in standard cells 401 to 404 of FIG. 4A . For example, signal segments formed on axes 411 and 412 of FIG. 4A may be determined as dummy signal segments. A method for designing an integrated circuit may allow a power segment to be placed on a dummy signal segment since a dummy signal segment may be an unnecessary part on a standard cell. Specifically, a power line or an extended power segment may be formed instead of a dummy signal segment by extending the power segment pattern of FIG. 4A in the X-axis direction like the power segments 1121 and 1122 of FIG. 11A.

또한, 파워 세그먼트(1121, 1122)가 형성됨에 따라, 제2 메탈 레이어(M2)에 패턴들(1131, 1132, 1133, 1134)이 추가로 형성될 수 있다. 패턴들(1131, 1132, 1133, 1134)이 형성됨으로써 저항 감소하여 IR 드롭(drop) 특성 향상 및 EM(ElectroMigration) 특성 향상될 수 있다.Also, as the power segments 1121 and 1122 are formed, patterns 1131 , 1132 , 1133 and 1134 may be additionally formed on the second metal layer M2 . By forming the patterns 1131 , 1132 , 1133 , and 1134 , resistance may be reduced, and IR drop characteristics and EM (electromigration) characteristics may be improved.

본 개시의 예시적 실시예에 따른 집적 회로(110)로의 복수의 메탈 레이어들의 패턴들은 비아(V2, V3)들로 연결될 수 있다.Patterns of a plurality of metal layers of the integrated circuit 110 according to an exemplary embodiment of the present disclosure may be connected through vias V2 and V3.

도 12a 내지 도 12c는 본 개시의 예시적 실시예에 따른 집적 회로의 레이아웃을 설명하기 위한 도면이다. 도 12c는 도 12a에 도시된 집적 회로(120)를 단면(1211, 1212, 1213)을 따라 자른 단면도이다.12A to 12C are views for explaining the layout of an integrated circuit according to an exemplary embodiment of the present disclosure. FIG. 12C is a cross-sectional view of the integrated circuit 120 shown in FIG. 12A taken along sections 1211, 1212, and 1213. Referring to FIG.

도 12a를 참조하면, 본 개시의 예시적 실시예에 따른 집적 회로(120)는 복수의 표준 셀들(1301 내지 1304)을 포함할 수 있다. 복수의 표준 셀들(1202, 1203, 1204)은 표준 셀 내부에 파워 세그먼트를 포함할 수 있다. 파워 세그먼트들은 파워 세그먼트(1220, 1221, 1223)와 같이 셀 경계와 인접하여 배치될 수 있으며, 파워 세그먼트(1222, 1224)와 같이 셀 경계와 인접하지 않으면서 표준 셀 내부에 포함되도록 배치될 수 있다.Referring to FIG. 12A , an integrated circuit 120 according to an exemplary embodiment of the present disclosure may include a plurality of standard cells 1301 to 1304 . The plurality of standard cells 1202, 1203, and 1204 may include a power segment inside the standard cell. The power segments may be arranged adjacent to the cell boundary, such as the power segments 1220, 1221, and 1223, and may be arranged to be included inside the standard cell without being adjacent to the cell boundary, such as the power segments 1222 and 1224. .

(셀 경계에서 표준 셀(1302)에 가깝도록 형성된 파워-시그널 트랙에 형성된 파워 세그먼트(1320), 표준 셀(1303)에 가깝도록 형성된 파워-시그널 트랙에 형성된 파워 세그먼트(1321))(A power segment 1320 formed on a power-signal track formed close to the standard cell 1302 at the cell boundary, and a power segment 1321 formed on a power-signal track formed close to the standard cell 1303)

도 12a 및 도 12b를 참조하면, 본 개시의 예시적 실시예에 따른 집적 회로를 설계하기 위한 방법은, 도 12a의 셀 경계를 기준으로 서로 인접하여 배치된 파워 세그먼트들(1220, 1221)을 도 12b의 파워 세그먼트(1230)과 같이 병합할 수 있다. 이 때, 파워 세그먼트(1230)를 연결하는 비아(V2)는 긴 바(bar) 형상으로 형성되어 하나의 비아로 두 개의 트랙 상에 형성된 파워 세그먼트(1230)을 연결할 수 있다. 다만, 비아의 형상은 상술한 바에 제한되는 것은 아니며, 네모 형상 등 다양한 형상으로 형성될 수 있다. 또한, 비아는 두 개 이상의 트랙 상에 형성된 파워 세그먼트를 연결할 수 있도록 형성될 수도 있다.Referring to FIGS. 12A and 12B , a method for designing an integrated circuit according to an exemplary embodiment of the present disclosure includes power segments 1220 and 1221 disposed adjacent to each other based on a cell boundary of FIG. 12A. It can be merged like the power segment 1230 of 12b. In this case, the via V2 connecting the power segments 1230 is formed in a long bar shape, and the power segments 1230 formed on the two tracks can be connected with one via. However, the shape of the via is not limited to the above, and may be formed in various shapes such as a square shape. Also, vias may be formed to connect power segments formed on two or more tracks.

도 12c를 참조하면, 본 개시의 예시적 실시예에 따른 집적 회로의 복수의 메탈 레이어들의 패턴들은 비아(V2, V3)들로 연결될 수 있다.Referring to FIG. 12C , patterns of a plurality of metal layers of the integrated circuit according to the exemplary embodiment of the present disclosure may be connected through vias V2 and V3.

도 13은 본 개시의 예시적 실시예에 따라 집적 회로를 제조하기 위한 방법을 나타내는 순서도이다.13 is a flow chart illustrating a method for fabricating an integrated circuit according to an exemplary embodiment of the present disclosure.

도 13을 참조하면, 표준 셀 라이브러리(D10)는 표준 셀들에 관한 정보, 예를 들어 기능 정보, 특성 정보, 레이아웃 정보 등을 포함할 수 있다. 표준 셀 라이브러리(D10)는 표준 셀의 레이아웃을 정의하는 데이터(DC)를 포함할 수 있다. 데이터(DC)는 동일한 기능을 수행하고, 레이아웃이 상이한 표준 셀들의 구조를 정의하는 데이터를 포함할 수 있다. 데이터(DC)는 도 1 내지 도 12c에서 설명된 표준 셀들의 구조를 정의하는 데이터를 포함할 수 있다. Referring to FIG. 13 , the standard cell library D10 may include information about standard cells, for example, function information, characteristic information, and layout information. The standard cell library D10 may include data DC defining the layout of standard cells. The data DC may include data defining structures of standard cells that perform the same function and have different layouts. The data DC may include data defining structures of the standard cells described with reference to FIGS. 1 to 12C.

S10 단계 및 S20 단계는, 집적 회로(IC)를 설계하는 단계로서, RTL 데이터(D11)로부터 레이아웃 데이터(D30)를 생성할 수 있다. 집적 회로(IC)는 도 1의 집적 회로(10)일 수 있다. S10 단계에서, RTL 데이터(D11)로부터 네트리스트 데이터(D20)를 생성하는 논리 합성 동작이 수행될 수 있다. 예를 들어, 반도체 설계 툴(예를 들어, 논리 합성 모듈)은 VHDL(VHSIC Hardware Description Language) 및 Verilog와 같은 HDL(Hardware Description Language)로서 작성된 RTL 데이터(D11)로부터 표준 셀 라이브러리(D10)를 참조하여 논리 합성을 수행함으로써, 비트스트림(bitstream) 또는 네트리스트를 포함하는 네트리스트 데이터(D20)를 생성할 수 있다. 표준 셀 라이브러리(D10)는 동일한 기능을 수행하고, 레이아웃이 상이한 표준 셀들의 구조를 정의하는 데이터(DC)를 포함할 수 있고, 논리 합성 과정에서 그러한 정보를 참조하여 표준 셀들이 집적 회로(IC)에 포함될 수 있다.Steps S10 and S20 are steps of designing an integrated circuit (IC), and layout data D30 may be generated from RTL data D11. The integrated circuit (IC) may be the integrated circuit 10 of FIG. 1 . In step S10, a logic synthesis operation may be performed to generate netlist data D20 from RTL data D11. For example, a semiconductor design tool (eg, a logic synthesis module) refers to a standard cell library D10 from RTL data D11 written as VHDL (VHSIC Hardware Description Language) and HDL (Hardware Description Language) such as Verilog. By performing logic synthesis by doing so, it is possible to generate netlist data D20 including a bitstream or netlist. The standard cell library D10 performs the same function and may include data (DC) defining the structure of standard cells having different layouts. can be included in

S20 단계에서, 네트리스트 데이터(D20)로부터 레이아웃 데이터(D30)를 생성하는 배치 및 라우팅(Place & Routing, P&R) 동작이 수행될 수 있다. 레이아웃 데이터(D30)는, 예를 들어 GDSII와 같은 포맷을 가질 수 있고, 표준 셀들 및 상호연결들의 기하학적 정보를 포함할 수 있다.In step S20, a Place & Routing (P&R) operation for generating layout data D30 from netlist data D20 may be performed. Layout data D30 may have a format such as, for example, GDSII, and may include geometric information of standard cells and interconnections.

예를 들어, 반도체 설계 툴(예를 들어, P&R 모듈)은 네트리스트 데이터(D20)로부터 표준 셀 라이브러리(D10)를 참조하여 복수의 표준 셀들을 배치할 수 있다. 반도체 설계 툴은 데이터(DC)를 참조하여, 네트리스트(D103)에 의해서 정의된 표준 셀의 레이아웃들 중 하나를 선택할 수 있고, 표준 셀의 선택된 레이아웃을 배치할 수 있다.For example, a semiconductor design tool (eg, a P&R module) may arrange a plurality of standard cells from the netlist data D20 by referring to the standard cell library D10. The semiconductor design tool may select one of the standard cell layouts defined by the netlist D103 by referring to the data DC, and may arrange the selected standard cell layout.

단계 S20에서, 상호 연결(interconnection)들을 생성하는 동작이 더 수행될 수 있다. 상호 연결은 표준 셀의 출력 핀 및 입력 핀을 전기적으로 연결할 수 있고, 예를 들어 적어도 하나의 비아 및 적어도 하나의 메탈 레이어에 형성되는 전도성 패턴을 포함할 수 있다. In step S20, an operation of generating interconnections may be further performed. The interconnection may electrically connect the output pin and the input pin of the standard cell, and may include, for example, a conductive pattern formed on at least one via and at least one metal layer.

S30 단계에서, OPC(Optical Proximity Correction)가 수행될 수 있다. OPC는 집적 회로(IC)를 제조하기 위한 반도체 공정에 포함되는 포토리소그래피(photolithography)에서 빛의 특성에 기인하는 굴절 등의 왜곡 현상을 보정함으로써 원하는 모양의 패턴을 형성하기 위한 작업을 지칭할 수 있고, 레이아웃 데이터(D30)에 OPC가 적용됨으로써 마스크상의 패턴이 결정될 수 있다. 예시적인 실시예에서, 집적 회로(IC)의 레이아웃은 단계 S30에서 제한적으로 변형될 수 있고, 단계 S30에서 집적 회로(IC)의 제한적으로 변형하는 것은 집적 회로(IC)의 구조를 최적화하기 위한 후처리로서, 디자인 폴리싱(design polishing)으로 지칭될 수 있다.In step S30, Optical Proximity Correction (OPC) may be performed. OPC may refer to an operation to form a pattern of a desired shape by correcting distortion phenomena such as refraction caused by the characteristics of light in photolithography included in a semiconductor process for manufacturing an integrated circuit (IC). , the pattern on the mask may be determined by applying OPC to the layout data D30. In an exemplary embodiment, the layout of the integrated circuit (IC) may be limitedly modified in step S30, and the limited modification of the integrated circuit (IC) in step S30 may be performed after optimizing the structure of the integrated circuit (IC). As a treatment, it may be referred to as design polishing.

S40 단계에서, 마스크를 제작(manufacturing)하는 동작이 수행될 수 있다. 예를 들어, 레이아웃 데이터(D30)에 OPC를 적용함에 따라 복수의 층들에 형성된 패턴들을 형성하기 위하여 마스크상의 패턴들이 정의될 수 있고, 복수의 층들 각각의 패턴들을 형성하기 위한 적어도 하나의 마스크(또는, 포토마스크)가 제작될 수 있다.In step S40, an operation of manufacturing a mask may be performed. For example, as OPC is applied to the layout data D30, patterns on a mask may be defined to form patterns formed on a plurality of layers, and at least one mask (or , photomask) can be fabricated.

S50 단계에서, 집적 회로(IC)를 제조(fabricating)하는 동작이 수행될 수 있다. 예를 들어, 단계 S40에서 제작된 적어도 하나의 마스크를 사용하여 복수의 층들이 패터닝됨으로써 집적 회로(IC)가 제조될 수 있다. 단계 S50은 단계들(S51, S53, S55)을 포함할 수 있다.In step S50, an operation of fabricating an integrated circuit (IC) may be performed. For example, an integrated circuit (IC) may be fabricated by patterning a plurality of layers using at least one mask fabricated in step S40. Step S50 may include steps S51, S53, and S55.

S51 단계에서, FEOL(front-end-of-line) 공정이 수행될 수 있다. FEOL은 집적 회로(IC)의 제조 과정에서 개별 소자들, 예를 들어, 트랜지스터, 캐패시터, 저항 등을 기판에 형성하는 과정을 지칭할 수 있다. 예를 들어, FEOL은 웨이퍼를 평탄화(planarization)하고 세정(cleaning)하는 단계, 트랜치를 형성하는 단계, 웰을 형성하는 단계, 게이트 라인을 형성하는 단계, 소스 및 드레인 영역을 형성하는 단계 등을 포함할 수 있다.In step S51, a front-end-of-line (FEOL) process may be performed. FEOL may refer to a process of forming individual elements, eg, transistors, capacitors, resistors, and the like, on a substrate during the manufacturing process of an integrated circuit (IC). For example, FEOL includes planarization and cleaning of the wafer, forming trenches, forming wells, forming gate lines, forming source and drain regions, etc. can do.

S53 단계에서, MOL(middle-of-line) 공정이 수행될 수 있다. FEOL 공정을 통해 생성된 개별 소자들을 표준 셀 내에서 연결하기 위한 연결 부재를 형성하는 과정을 지칭할 수 있다. 예를 들어, MOL공정은 활성 영역 상에 액티브 콘택을 형성하는 단계, 게이트 라인 상에 게이트 콘택을 형성하는 단계, 액티브 콘택 및 게이트 라인 상에 비아를 형성하는 단계 등을 포함할 수 있다.In step S53, a middle-of-line (MOL) process may be performed. It may refer to a process of forming a connecting member for connecting individual devices produced through the FEOL process in a standard cell. For example, the MOL process may include forming an active contact on an active region, forming a gate contact on a gate line, forming a via on the active contact and the gate line, and the like.

S55 단계에서, BEOL(back-end-of-line) 공정이 수행될 수 있다. BEOL은 집적 회로(IC)의 제조 과정에서 개별 소자들, 예를 들어 트랜지스터, 캐패시터, 저항 등을 상호연결하는 과정을 지칭할 수 있다. 예를 들어, BEOL은 게이트, 소스 및 드레인 영역을 실리사이드화(silicidation)하는 단계, 유전체를 부가하는 단계, 평탄화 단계, 홀을 형성하는 단계, 메탈 레이어들을 형성하는 단계, 메탈 레이어들 사이에 비아를 형성하는 단계, 패시베이션(passivation)층을 형성하는 단계 등을 포함할 수 있다. 그 다음에, 집적 회로(IC)는 반도체 패키지에 패키징될 수 있고, 다양한 어플리케이션들의 부품으로서 사용될 수 있다.In step S55, a back-end-of-line (BEOL) process may be performed. BEOL may refer to a process of interconnecting individual elements, eg, transistors, capacitors, resistors, and the like, in the manufacturing process of an integrated circuit (IC). For example, BEOL includes silicidation of gate, source and drain regions, adding dielectric, planarization, forming holes, forming metal layers, and forming vias between metal layers. A step of forming, a step of forming a passivation layer, and the like may be included. The integrated circuit (IC) can then be packaged in a semiconductor package and used as a component in various applications.

이상에서와 같이 도면과 명세서에서 예시적인 실시예들이 개시되었다. 본 명세서에서 특정한 용어를 사용하여 실시예들을 설명되었으나, 이는 단지 본 개시의 기술적 사상을 설명하기 위한 목적에서 사용된 것이지 의미 한정이나 특허청구범위에 기재된 본 개시의 범위를 제한하기 위하여 사용된 것은 아니다. 그러므로 본 기술분야의 통상의 지식을 가진 자라면 이로부터 다양한 변형 및 균등한 타 실시예가 가능하다는 점을 이해할 것이다. 따라서, 본 개시의 진정한 기술적 보호범위는 첨부된 특허청구범위의 기술적 사상에 의해 정해져야 할 것이다.As above, exemplary embodiments have been disclosed in the drawings and specifications. Although the embodiments have been described using specific terms in this specification, they are only used for the purpose of explaining the technical idea of the present disclosure, and are not used to limit the scope of the present disclosure described in the claims. . Therefore, those of ordinary skill in the art will understand that various modifications and equivalent other embodiments are possible therefrom. Therefore, the true technical scope of protection of the present disclosure should be determined by the technical spirit of the appended claims.

Claims (10)

제1 방향으로 서로 인접하게 배치되는 제1 및 제2 표준 셀을 포함하는 복수의 표준 셀들; 및
수직 방향으로 차례로 적층되는 제1 내지 제3 메탈 레이어를 포함하고,
상기 제1 표준 셀 및 상기 제2 표준 셀 중 적어도 하나의 표준 셀이 배치되는 영역 내부에, 상기 복수의 표준 셀들에 전력을 제공하고, 제2 방향으로 연장되는 상기 제3 메탈 레이어의 패턴으로서 형성되는 적어도 하나의 파워 세그먼트(power segment)가 배치되는 것을 특징으로 하는 집적 회로.
a plurality of standard cells including first and second standard cells disposed adjacent to each other in a first direction; and
Including first to third metal layers sequentially stacked in a vertical direction,
Formed as a pattern of the third metal layer extending in a second direction and providing power to the plurality of standard cells within a region where at least one of the first standard cell and the second standard cell is disposed An integrated circuit, characterized in that at least one power segment (power segment) is disposed.
제1항에 있어서,
상기 제1 표준 셀 및 상기 제2 표준 셀의 셀 경계에 배치된 상기 파워 세그먼트를 더 포함하는 것을 특징으로 하는 집적 회로.
According to claim 1,
and the power segment disposed at a cell boundary of the first standard cell and the second standard cell.
제1항에 있어서,
상기 제1 표준 셀의 상기 제1 방향으로의 셀 하이트는 상기 제2 표준 셀의 상기 제1 방향으로의 셀 하이트보다 크고,
상기 제1 표준 셀의 셀 경계에는, 상기 복수의 표준 셀들에 전력을 제공하고 상기 제2 방향으로 연장되는 상기 제3 메탈 레이어의 패턴으로서 형성되는 파워 라인이 형성되고,
상기 파워 라인은 상기 적어도 하나의 파워 세그먼트보다 상기 제2 방향으로 더 길게 연장되는 것을 특징으로 하는 집적 회로.
According to claim 1,
The cell height of the first standard cell in the first direction is greater than the cell height of the second standard cell in the first direction;
A power line formed as a pattern of the third metal layer that supplies power to the plurality of standard cells and extends in the second direction is formed at a cell boundary of the first standard cell;
wherein the power line extends longer in the second direction than the at least one power segment.
제1항에 있어서,
상기 적어도 하나의 파워 세그먼트는 제1 파워 세그먼트 및 제2 파워 세그먼트를 포함하고,
상기 제1 파워 세그먼트는 상기 제1 표준 셀이 배치되는 영역에서 상기 제2 표준 셀과의 셀 경계에 인접하여 배치되고,
상기 제2 파워 세그먼트는 상기 제2 표준 셀이 배치되는 영역에서 상기 셀 경계에 인접하여 배치되고,
상기 제1 파워 세그먼트 및 상기 제2 파워 세그먼트는 각각 상기 제2 메탈 레이어에 형성된 서로 다른 패턴에 연결된 것을 특징으로 하는 집적 회로.
According to claim 1,
The at least one power segment includes a first power segment and a second power segment,
The first power segment is disposed adjacent to a cell boundary with the second standard cell in an area where the first standard cell is disposed;
The second power segment is disposed adjacent to the cell boundary in an area where the second standard cell is disposed;
The first power segment and the second power segment are each connected to different patterns formed on the second metal layer.
제1항에 있어서,
상기 제3 메탈 레이어 보다 상위 메탈 레이어인 제4 메탈 레이어에 포함된 패턴과 상기 제2 메탈 레이어에 포함된 패턴을 연결하는 비아를 더 포함하는 것을 특징으로 하는 집적 회로.
According to claim 1,
The integrated circuit further comprises a via connecting a pattern included in a fourth metal layer, which is a higher metal layer than the third metal layer, and a pattern included in the second metal layer.
제1항에 있어서,
동일한 메탈 레이어에 포함된 두 개 이상의 트랙을 연결하는 비아를 더 포함하는 것을 특징으로 하는 집적 회로.
According to claim 1,
An integrated circuit further comprising vias connecting two or more tracks included in the same metal layer.
제1항에 있어서,
상기 제1 메탈 레이어 및 상기 제3 메탈 레이어 각각은, 상기 복수의 표준 셀들로 전력을 전달하는 파워 라인들을 포함하고,
상기 제3 메탈 레이어에 포함된 파워 라인들 중 일부는 제1 메탈 레이어의 파워 라인과 메탈 레이어들이 적층되는 방향으로 얼라인(align)되지 않은 것을 특징으로 하는 집적 회로.
According to claim 1,
Each of the first metal layer and the third metal layer includes power lines transmitting power to the plurality of standard cells,
Some of the power lines included in the third metal layer are not aligned in a direction in which the power lines of the first metal layer and the metal layers are stacked.
집적 회로를 설계하는 방법에 있어서,
복수의 표준 셀들을 배치하는 단계;
복수의 트랙들 상에 상기 복수의 표준 셀들에 전력을 전달하는 패턴인 복수의 파워 라인들을 배치하는 단계; 및
상기 복수의 파워 라인들 중 일부를 상기 복수의 표준 셀들로 신호를 전달하는 시그널 세그먼트(signal segment)로 교체하는 단계를 포함하는 방법.
In the method of designing an integrated circuit,
arranging a plurality of standard cells;
arranging a plurality of power lines in a pattern for delivering power to the plurality of standard cells on a plurality of tracks; and
and replacing some of the plurality of power lines with a signal segment carrying a signal to the plurality of standard cells.
제8항에 있어서,
상기 교체하는 단계는,
상기 교체할 파워 라인이 배치된 셀에 요구되는 전력량에 기초하여, 상기 일부를 결정하는 단계를 포함하는 것을 특징으로 하는 방법.
According to claim 8,
The replacement step is
and determining the part based on an amount of power required for a cell in which the power line to be replaced is disposed.
제8항에 있어서,
상기 복수의 표준 셀들로 신호를 전달하는 시그널 라인들을 배치하는 단계;
상기 복수의 시그널 라인들 중 신호 전달에 사용되지 않는 부분인 더미 시그널 세그먼트(dummy signal segment)를, 상기 복수의 표준 셀들에 전력을 전달하고 트랙의 일부에 형성되는 패턴인 파워 세그먼트(power segment)로 교체하여 배치하는 단계를 더 포함하는 것을 특징으로 하는 방법.
According to claim 8,
arranging signal lines for transmitting signals to the plurality of standard cells;
A dummy signal segment, which is a portion of the plurality of signal lines not used for signal transmission, is converted into a power segment, which is a pattern formed on a part of a track and transferring power to the plurality of standard cells. The method further comprising the step of replacing and disposing.
KR1020210107533A 2021-08-13 2021-08-13 Integrated circuit including standard cell and method for designing the same KR20230025244A (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
KR1020210107533A KR20230025244A (en) 2021-08-13 2021-08-13 Integrated circuit including standard cell and method for designing the same
US17/818,080 US20230049882A1 (en) 2021-08-13 2022-08-08 Integrated circuit including standard cell and method of designing the integrated circuit

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020210107533A KR20230025244A (en) 2021-08-13 2021-08-13 Integrated circuit including standard cell and method for designing the same

Publications (1)

Publication Number Publication Date
KR20230025244A true KR20230025244A (en) 2023-02-21

Family

ID=85176383

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020210107533A KR20230025244A (en) 2021-08-13 2021-08-13 Integrated circuit including standard cell and method for designing the same

Country Status (2)

Country Link
US (1) US20230049882A1 (en)
KR (1) KR20230025244A (en)

Also Published As

Publication number Publication date
US20230049882A1 (en) 2023-02-16

Similar Documents

Publication Publication Date Title
KR102167956B1 (en) Semiconductor device including standard cells
US6525350B1 (en) Semiconductor integrated circuit basic cell semiconductor integrated circuit using the same
CN109564893B (en) Semiconductor chip
CN107464802B (en) Integrated circuit and standard cell library
US11727184B2 (en) Integrated circuit including cells of different heights and method of designing the integrated circuit
US11626516B2 (en) Integrated circuitry including vertical channel structure and layout method of the same
US10043571B1 (en) SRAM structure
KR20210041737A (en) Semiconductor device, layout design method for the same and method for fabricating the same
CN113412537B (en) Semiconductor integrated circuit device with a plurality of semiconductor chips
US10366954B1 (en) Structure and method for flexible power staple insertion
US20220189944A1 (en) Semiconductor devices having improved layout designs, and methods of designing and fabricating the same
US20230077532A1 (en) Standard cell and integrated circuit including the same
KR20210070892A (en) Semiconductor device and method for manufacturing the same
US20220253283A1 (en) Adder cell and integrated circuit including the same
US20220367439A1 (en) Integrated circuit including standard cell and method of designing the same
KR20230025244A (en) Integrated circuit including standard cell and method for designing the same
KR20230155275A (en) Semiconductor device
KR20210138995A (en) Integrated circuit including standard cells, and method for fabricating the same
US10319709B2 (en) Integrated circuits with standard cell
KR20210069804A (en) Semiconductor device
US20230290767A1 (en) Semiconductor devices
US11756949B2 (en) Integrated circuit including asymmetric decoupling cell and method of designing the same
US20230142050A1 (en) Integrated circuit and method of manufacturing the same
US20240128159A1 (en) Integrated circuit including standard cell with a metal layer having a pattern and method of manufacturing the same
US20240055433A1 (en) Semiconductor structure with backside power mesh and method of forming the same