KR20230011896A - Method for Cleaning Semiconductor Substrate - Google Patents

Method for Cleaning Semiconductor Substrate Download PDF

Info

Publication number
KR20230011896A
KR20230011896A KR1020220087219A KR20220087219A KR20230011896A KR 20230011896 A KR20230011896 A KR 20230011896A KR 1020220087219 A KR1020220087219 A KR 1020220087219A KR 20220087219 A KR20220087219 A KR 20220087219A KR 20230011896 A KR20230011896 A KR 20230011896A
Authority
KR
South Korea
Prior art keywords
gas
cleaning
semiconductor substrate
temperature
chamber
Prior art date
Application number
KR1020220087219A
Other languages
Korean (ko)
Inventor
김형준
김병국
박왕준
이남천
Original Assignee
주식회사 비아트론
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 주식회사 비아트론 filed Critical 주식회사 비아트론
Publication of KR20230011896A publication Critical patent/KR20230011896A/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • H01L21/02054Cleaning before device manufacture, i.e. Begin-Of-Line process combining dry and wet cleaning steps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture

Abstract

A method of cleaning a semiconductor substrate is disclosed. The method includes: a wet cleaning step of wet cleaning the surface of the semiconductor substrate; a drying step of drying the semiconductor substrate; and a dry cleaning step of dry cleaning the semiconductor substrate. In the dry cleaning step, a cleaning gas including HF gas and a reactive gas including at least one passivation gas selected from H_2O gas and D_2O are supplied to the surface of the substrate.

Description

반도체 기판 세정 방법{Method for Cleaning Semiconductor Substrate}Semiconductor substrate cleaning method {Method for Cleaning Semiconductor Substrate}

본 발명은 반도체 소자 제조 공정에 적용되는 Si과 Si 기반의 에피텍시 공정을 위한 반도체 기판 세정 방법에 관한 것이다.The present invention relates to a method for cleaning a semiconductor substrate for a Si and Si-based epitaxial process applied to a semiconductor device manufacturing process.

반도체 소자가 미세화되고 3차원화 됨에 따라 Si 혹은 Si기반의 소재를 단결정으로 성장시키는 에피텍시 공정의 적용이 증가되고 있다. Si기반의 소재로 대표적인 것이 실리콘과 게르마늄의 화합물 (예:Si:P, SiGex, SiGe:B, SiGe:C)등이다. 이러한 에피텍시는 MOSFET소자의 소스/드레인, Gate-All- Around의 다층 채널, elevated source/drain, SiGe channel 등으로 그 적용분야가 급속히 증가되고 있다. As semiconductor devices become miniaturized and three-dimensional, the application of an epitaxial process for growing Si or Si-based materials into single crystals is increasing. Typical Si-based materials are compounds of silicon and germanium (eg Si:P, SiGex, SiGe:B, SiGe:C). Such epitaxy is rapidly increasing its application fields, such as source/drain of MOSFET devices, gate-all-around multi-layer channels, elevated source/drain, and SiGe channels.

이러한 응용분야에서 Si 혹은 Si 기반 단결정 에피텍시 성장의 최고 허용온도는 낮아지고 있으며, 소자에 적용 가능한 양질의 에피텍시의 막을 저온에서 구현하는 것이 중요하다. In these application fields, the maximum permissible temperature for Si or Si-based single crystal epitaxial growth is getting lower, and it is important to realize a high-quality epitaxial film applicable to devices at low temperatures.

저온에서 에피텍시 성장을 하는데 있어, 가장 중요한 것은 에피텍시 성장 전에 Si wafer 기판의 표면 오염을 제거하는 것이다. Si wafer의 표면 오염은 양질의 에피텍시 성장을 방해하기 때문에 고품위의 Si, SiGex 소재막을 얻기 위해서는 원자단위에서 clean하고 오염물이 없는 기판 표면을 에피텍시 증착 전에 준비하는 것이 필요하다. In epitaxial growth at low temperature, the most important thing is to remove surface contamination of the Si wafer substrate before epitaxial growth. Contamination on the surface of the Si wafer hinders epitaxial growth, so it is necessary to prepare a clean and contaminant-free substrate surface at the atomic level before epitaxial deposition in order to obtain high-quality Si and SiGex material films.

일반적으로 Si이나 Si기반 소재의 에피텍시 성장을 위해 Si과 Si기반 소재 기판에 표면을 세정하는 방법으로 wet-cleaning방법이 사용되고 있으며, 대표적은 HF-last 세정 방법이다. In general, a wet-cleaning method is used as a method of cleaning the surface of Si and Si-based material substrates for epitaxial growth of Si or Si-based materials, and the representative HF-last cleaning method is used.

HF-last 세정은 유기물과 금속 오염을 세정하는 RCA 공정후 DI water에 10:1~1000:1로 희석된 HF 용액에 Si wafer를 1~5분 정도 담구는 방법이다. 이러한 HF/H2O 용액은, Si 표면의 oxide와 탄화물을 제거하고 표면을 수소원자로 passivation시키는 것으로 알려져 있다. HF-last 세정방법은 현재 Si, Si기반 소재의 에피텍시 공정에 표준적으로 사용되고 있다.HF-last cleaning is a method of immersing a Si wafer in an HF solution diluted 10:1 to 1000:1 in DI water for 1 to 5 minutes after the RCA process to clean organic and metal contamination. This HF/H2O solution is known to remove oxides and carbides on the Si surface and passivate the surface with hydrogen atoms. The HF-last cleaning method is currently being used as a standard for the epitaxial process of Si and Si-based materials.

Wet 공정을 이용한 HF-last공정은 RCA 세정 후 대략 1:100으로 DI water에 희석된 HF 용액에 Si wafer를 1~10분 정도 담근 후 H2O 세정과 IPA 혹은 spin drying 공정을 거치게 된다. 일반적으로 Wet HF-last 공정은 주로 wet cleaning(습식세정) 장비에서 이루어지면 대기 중에서 이루어진다. In the HF-last process using the wet process, after RCA cleaning, the Si wafer is immersed in an HF solution diluted in DI water at a ratio of approximately 1:100 for 1 to 10 minutes, followed by H2O cleaning and IPA or spin drying processes. In general, the wet HF-last process is performed in the air when it is mainly performed in wet cleaning equipment.

Wet 공정을 이용한 HF-last 공정은 Si의 모든 표면 원자를 수소로 passivation 시키지는 못하는 것으로 알려져 있다. 일부 Si 표면은 대기 중의 노출이나 세정액에서 나오는 탄소나 산소 잔류물에 의해 오염되 있는 것으로 알려져 있다. It is known that the HF-last process using the wet process cannot passivate all surface atoms of Si with hydrogen. Some Si surfaces are known to be contaminated by carbon or oxygen residues from atmospheric exposure or cleaning solutions.

HF-last 세정 기술의 또 다른 문제점은 HF-last 세정에 의한 수소 passivation된 Si 표면은 세정 후 Si wafer를 에피텍시 장비로 이송하는 시간이나 에피텍시 공정을 시작하기 위해 대기하는 시간 동안 대기 중에 노출이 불가피하다. 이러한 대기 노출에 의해 passivation 정도 (즉 passivation surface coverage)는 급격하게 떨어지고 재 오염이 일어나는 문제가 있다.  실제 HF-last 세정 후 N2 분위기에서 보관하더라도 Si 표면의 재 오염은 완전히 막을 수 없는 것으로 알려져 있다. 따라서 HF-last 공정과 에피텍시 증착 시점간의 대기 시간(Queue time)을 일정한 시간 내로 제한하는 방법이 반도체 생산 공정에 적용되고 있다. Another problem with the HF-last cleaning technology is that the Si surface subjected to hydrogen passivation by HF-last cleaning is in the air during the time of transferring the Si wafer to the epitaxy equipment after cleaning or waiting for the start of the epitaxy process. exposure is inevitable. There is a problem in that the degree of passivation (ie, passivation surface coverage) rapidly decreases due to such atmospheric exposure and re-contamination occurs. It is known that re-contamination of the Si surface cannot be completely prevented even if it is stored in a N2 atmosphere after actual HF-last cleaning. Therefore, a method of limiting the queue time between the HF-last process and the epitaxial deposition point within a certain time is applied to the semiconductor production process.

그러나, passivation 표면의 재 오염은 Queue time 이전에도 상당히 진행되는 문제가 있으며, 양산 공정 중에 Queue time을 일정하게 유지하도록 Si wafer의 물류 이동을 관리하는 데도 많은 어려움이 따른다. However, re-contamination of the passivation surface is a problem that proceeds considerably before the queue time, and it is difficult to manage the logistics movement of Si wafers to keep the queue time constant during the mass production process.

일반적으로, HF-last 처리 후의 Si wafer에 남아 있는 Si 표면의 탄소나 산소 오염을 완전히 제거하기 위해서는 에피텍시 챔버에서 증착을 시작하기 전에 800℃ 이상의 고온의 수소 분위기에서 1~5분 정도 열처리하여 탄소와 산소를 제거하는 베이킹 공정이 필요하게 된다. In general, in order to completely remove carbon or oxygen contamination on the Si surface remaining on the Si wafer after HF-last treatment, heat treatment is performed for 1 to 5 minutes in a hydrogen atmosphere at a temperature of 800 ° C or higher before starting deposition in the epitaxy chamber. A baking process to remove carbon and oxygen is required.

MOSFET소자 적용이 가능한 SiGe channel 의 SiGe:B elevated Source/Drain, SOI fully depleted SiGe MOSFET , high-K metal gate등과 같은 일부 적용 공정에서 800도의 고온 베이킹 공정은 SiGe의 relaxation이나 하부 소자 구성 소재의 열화를 초래하기 때문에 베이킹 공정 온도를 낮추는 것이 필요하다.In some application processes, such as SiGe:B elevated Source/Drain of SiGe channel applicable to MOSFET devices, SOI fully depleted SiGe MOSFET, and high-K metal gate, the high-temperature baking process at 800 degrees prevents relaxation of SiGe or deterioration of the lower device component material. Therefore, it is necessary to lower the baking process temperature.

현재 이러한 문제를 해결하는 방법으로 에피텍시 장비 시스템의 한 구성품으로 에피텍시 챔버와 진공으로 연결된 pre-clean 챔버를 구성하고, pre-clean 챔버에서 cleaning을 진행한 후, 진공이나 N2등의 비활성 분위기에서 대기 중의 노출 없이 웨이퍼를 이송시켜 에피텍시 공정 챔버로 장입하는 cluster(매엽식) 방식의 장비가 사용되고 있다.Currently, as a way to solve this problem, a pre-clean chamber connected to the epitaxy chamber and vacuum is configured as a component of the epitaxy equipment system, and after cleaning in the pre-clean chamber, vacuum or N2 inert A cluster (sheet-wafer) type of equipment that transfers wafers and loads them into an epitaxy process chamber without exposure to the atmosphere is used.

현재 매엽식 (Cluster 방식) 에피텍시 생산 장비이 건식 세정에서,  NF4, NH3, SF6 등의 가스를 이용한 리모트 플라즈마(remote plasma) cleaning 방법이 (예: Applied Materies SiCoNiTM, ASM의 PreviumTM) 적용되고 있다. 그러나 이러한 기술은 750~650oC의 저온 베이킹 공정에서 에피텍시가 가능하나, 막질이나 에피텍시 결함은 완전히 제거하지 못하며, 생산에 적합한 양질의 에피텍시 막을 성장시키기 위해서는 이러한 pre-cleaning 공정에서 불구하고 800oC의 고온 베이킹 공정이 아직도 적용되고 있다. In the current dry cleaning of sheet type (cluster method) epitaxy production equipment, remote plasma cleaning methods using gases such as NF4, NH3, SF6 (e.g. Applied Materies SiCoNi TM , ASM's Previum TM ) are applied. there is. However, although these technologies are capable of epitaxy in a low-temperature baking process of 750 to 650oC, they do not completely remove film quality or epitaxy defects. and a high-temperature baking process of 800oC is still being applied.

상기 기술의 또 다른 문제점은 플라즈마를 이용하므로 하부 Si 기판에 손상을 줄 수 있으며 반도체 소자의 성능에 나쁜 영향을 줄 수 있다. Another problem of the above technique is that since plasma is used, the lower Si substrate may be damaged and the performance of the semiconductor device may be adversely affected.

본 발명은 에피텍시 전에 반도체 표면에 오염된 탄소, 산소 등의 오염물을 제거하는 세정 방법에 관한 것으로, 기존의 상용화 장비에 사용되는 플라즈마 방식 없이 cleaning을 수행하면서도, 에피텍시 성장의 온도를 700℃ 미만으로 낮추면서도 우수한 에피텍시 막질이 가능하도록 하는 기술이다.The present invention relates to a cleaning method for removing contaminants such as carbon and oxygen from a semiconductor surface prior to epitaxy. It is a technology that enables excellent epitaxial film quality while lowering it to less than ° C.

본 기술의 또 다른 목적은 매엽식 cluster방식으로 구성된 에피텍시 시스템의 한 구성 챔버로 조합하여 세정후 대기 분위기에 노출되지 않고 에피텍시 챔버에 장입되도록 하는 데 있다.Another object of the present technology is to combine them into one component chamber of an epitaxy system configured in a single-wafer cluster method so that they can be charged into the epitaxy chamber without being exposed to the atmospheric atmosphere after cleaning.

본 발명의 일 실시예에 따른 반도체 기판 세정 방법은 반도체 기판의 표면을 습식 세정하는 습식 세정 단계와, 상기 반도체 기판을 건조하는 건조 단계 및 상기 반도체 기판을 건식 세정하는 건식 세정 단계를 포함하며, 상기 건식 세정 단계는 HF 가스를 포함하는 세정 가스와, H2O 및 D2O에서 선택되는 적어도 하나의 패시베이션 가스를 포함하는 반응 가스를 상기 반도체 기판의 표면으로 공급하여 진행되는 것을 특징으로 한다.A semiconductor substrate cleaning method according to an embodiment of the present invention includes a wet cleaning step of wet cleaning a surface of a semiconductor substrate, a drying step of drying the semiconductor substrate, and a dry cleaning step of dry cleaning the semiconductor substrate, wherein the The dry cleaning step is performed by supplying a cleaning gas including HF gas and a reaction gas including at least one passivation gas selected from H2O and D2O to the surface of the semiconductor substrate.

또한, 상기 건식 세정 단계는 N2, H2, Ar 및 He에서 선택되는 적어도 하나의 이송 가스를 더 공급할 수 있다.In the dry cleaning step, at least one transfer gas selected from N2, H2, Ar, and He may be further supplied.

또한, 상기 세정 가스는 분압이 1 ~ 20Torr이며, 상기 패시베이션 가스는 분압이 1 ~ 20Torr이며, 세정 가스와 패시베이션 가스의 액상과 기상의 상태도에서 기상이 존재하는 영역의 분압으로 공급될 수 있다.In addition, the cleaning gas has a partial pressure of 1 to 20 Torr, and the passivation gas has a partial pressure of 1 to 20 Torr.

또한, 상기 건식 세정 단계는 상기 HF가스에 상기 H2O 가스 또는 상기 D2O 가스를 혼합하여 주입하거나 순차적으로 주입하며, 가스압을 0.005 ~ 600 Torr로 조절할 수 있다.In the dry cleaning step, the H 2 O gas or the D 2 O gas may be mixed and injected or sequentially injected into the HF gas, and the gas pressure may be adjusted to 0.005 to 600 Torr.

또한, 상기 건식 세정 단계는 상기 반도체 기판을 30 ~ 120℃의 온도로 가열하며, 상기 반응 가스는 상기 반도체 기판의 온도와 ±10℃인 범위의 온도로 상기 반도체 기판의 표면에 공급될 수 있다.In the dry cleaning step, the semiconductor substrate may be heated to a temperature of 30 to 120° C., and the reaction gas may be supplied to the surface of the semiconductor substrate at a temperature within a range of ±10° C. from the temperature of the semiconductor substrate.

또한, 상기 습식 세정 단계는 RCA 세정 또는 HF-dipping 세정으로 진행될 수 있다.In addition, the wet cleaning step may be performed by RCA cleaning or HF-dipping cleaning.

또한, 상기 습식 세정 단계는 상기 반도체 기판의 표면에 화학적 산화막을 형성할 수 있다.In addition, the wet cleaning step may form a chemical oxide film on the surface of the semiconductor substrate.

본 발명의 반도체 기판 세정 방법은 반도체 기판의 표면을 Deuterium으로 passivation 하면 승온시의 재오염을 최소화 할 수 있다.In the semiconductor substrate cleaning method of the present invention, if the surface of the semiconductor substrate is passivated with Deuterium, re-contamination during temperature rise can be minimized.

또한, 본 발명의 반도체 기판 세정 방법은 HF/D2O 증기 처리를 기본으로 하고, 에피텍시를 위한 온도 상승 구간에서 Si 소스 가스의 주입, 승온 속도의 증가를 병행하여 진행할 수 있다.In addition, the semiconductor substrate cleaning method of the present invention is based on HF/D 2 O vapor treatment, and may simultaneously inject Si source gas and increase the temperature increase rate in the temperature increase section for epitaxy.

또한, 본 발명의 반도체 기판 세정 방법은 기존 에피텍시 뿐 아니라 박막 증착전 원자전으로 깨끗하고 불순물 오염이 없는 Si표면의 처리가 요구되는 High-K dielectric(예: HfO2, ZrO2, Si3N4, 등)의 증착에도 적용될 수 있다.In addition, the semiconductor substrate cleaning method of the present invention is applied to high-K dielectrics (e.g., HfO 2 , ZrO 2 , Si 3 N 4 , etc.) can also be applied to the deposition.

도 1은 본 발명의 일 실시예에 따른 반도체 기판 세정 장치의 구성도이다.
도 2는 H2O와 HF분자의 혼합비에 따른 액상과 기상의 상태도이다.
도 3은 H2O와 HF분자의 혼합비에 따른 온도와 식각률에 대한 그래프이다.
도 4는 반도체 기판 세정 방법에 따른 실리콘과 산소에 대한 결합 에너지와 relative intensity의 그래프이다.
도 5는 반도체 기판 세정 방법에 따른 불소와 카본에 대한 결합 에너지와 relative intensity의 그래프이다.
도 6은 반도체 기판 세정 방법에 따른 카본에 대한 결합 에너지와 relative counts의 그래프이다.
도 7은 반도체 기판 세정 방법에 따른 카본에 대한 결합 에너지와 relative counts의 그래프이다.
도 8은 반도체 기판 처리후에 반도체 기판의 깊이에 따른 산소와 탄소의 농도 변화를 나타내는 그래프이다.
도 9는 반도체 기판과 에피텍시 막 사이의 계면에서 오염도 측정을 위한 파장과 흡수율에 대한 그래프이다.
도 10는 반도체 기판과 에피텍시 막 사이의 계면에서 오염도 측정을 위한 결합 에너지와 intensity에 대한 그래프이다.
1 is a configuration diagram of a semiconductor substrate cleaning apparatus according to an embodiment of the present invention.
Figure 2 is a phase diagram of the liquid phase and the gas phase according to the mixing ratio of H 2 O and HF molecules.
3 is a graph of temperature and etching rate according to the mixing ratio of H 2 O and HF molecules.
4 is a graph of binding energy and relative intensity for silicon and oxygen according to a semiconductor substrate cleaning method.
5 is a graph of binding energy and relative intensity for fluorine and carbon according to a semiconductor substrate cleaning method.
6 is a graph of binding energy and relative counts for carbon according to a semiconductor substrate cleaning method.
7 is a graph of binding energy and relative counts for carbon according to a semiconductor substrate cleaning method.
8 is a graph showing changes in the concentration of oxygen and carbon according to the depth of the semiconductor substrate after processing the semiconductor substrate.
9 is a graph of wavelength and absorptance for measuring contamination at an interface between a semiconductor substrate and an epitaxial film.
10 is a graph of binding energy and intensity for measuring contamination at an interface between a semiconductor substrate and an epitaxial film.

이하, 첨부된 도면을 참조하여 본 발명의 바람직한 실시예에 따른 반도체 기판 세정 방법에 대하여 상세히 설명하기로 한다.Hereinafter, a semiconductor substrate cleaning method according to a preferred embodiment of the present invention will be described in detail with reference to the accompanying drawings.

도 1은 본 발명의 일 실시예에 따른 반도체 기판 세정 장치의 구성도이다. 도 2는 H2O와 HF분자의 혼합비에 따른 액상과 기상의 상태도이다. 도 3은 H2O와 HF분자의 혼합비에 따른 온도와 식각률에 대한 그래프이다. 도 4는 반도체 기판 세정 방법에 따른 실리콘과 산소에 대한 결합 에너지와 relative intensity의 그래프이다. 도 5는 반도체 기판 세정 방법에 따른 불소와 카본에 대한 결합 에너지와 relative intensity의 그래프이다. 도 6은 반도체 기판 세정 방법에 따른 카본에 대한 결합 에너지와 relative counts의 그래프이다. 도 7은 반도체 기판 세정 방법에 따른 카본에 대한 결합 에너지와 relative counts의 그래프이다. 도 8은 반도체 기판 처리후에 반도체 기판의 깊이에 따른 산소와 탄소의 농도 변화를 나타내는 그래프이다. 도 9는 반도체 기판과 에피텍시 막 사이의 계면에서 오염도 측정을 위한 파장과 흡수율에 대한 그래프이다. 도 10는 반도체 기판과 에피텍시 막 사이의 계면에서 오염도 측정을 위한 결합 에너지와 intensity에 대한 그래프이다.1 is a configuration diagram of a semiconductor substrate cleaning apparatus according to an embodiment of the present invention. Figure 2 is a phase diagram of the liquid phase and the gas phase according to the mixing ratio of H 2 O and HF molecules. 3 is a graph of temperature and etching rate according to the mixing ratio of H 2 O and HF molecules. 4 is a graph of binding energy and relative intensity for silicon and oxygen according to a semiconductor substrate cleaning method. 5 is a graph of binding energy and relative intensity for fluorine and carbon according to a semiconductor substrate cleaning method. 6 is a graph of binding energy and relative counts for carbon according to a semiconductor substrate cleaning method. 7 is a graph of binding energy and relative counts for carbon according to a semiconductor substrate cleaning method. 8 is a graph showing changes in the concentration of oxygen and carbon according to the depth of the semiconductor substrate after processing the semiconductor substrate. 9 is a graph of wavelength and absorptance for measuring contamination at an interface between a semiconductor substrate and an epitaxial film. 10 is a graph of binding energy and intensity for measuring contamination at an interface between a semiconductor substrate and an epitaxial film.

먼저, 본 발명의 일 실시예에 따른 반도체 기판 세정 방법에 대하여 설명한다.First, a semiconductor substrate cleaning method according to an embodiment of the present invention will be described.

본 발명의 일 실시예에 따른 반도체 기판 세정 방법은 습식 세정 단계와 건조 단계 및 건식 세정 단계를 포함할 수 있다. 상기 건식 세정 단계는 HF 가스를 포함하는 세정 가스와, H2O 가스 및 D2O 가스에서 선택되는 적어도 하나의 패시베이션 가스를 포함하는 반응 가스를 상기 반도체 기판의 표면으로 공급하여 진행될 수 있다. 이때, 상기 건식 세정 단계는 N2, H2, Ar 및 He에서 선택되는 적어도 하나의 이송 가스를 더 공급할 수 있다. 즉, 상기 반응 가스는 이송 가스를 더 포함할 수 있다.A semiconductor substrate cleaning method according to an embodiment of the present invention may include a wet cleaning step, a drying step, and a dry cleaning step. The dry cleaning step may be performed by supplying a cleaning gas including HF gas and a reactive gas including at least one passivation gas selected from H 2 O gas and D 2 O gas to the surface of the semiconductor substrate. At this time, in the dry cleaning step, at least one transfer gas selected from N2, H2, Ar, and He may be further supplied. That is, the reaction gas may further include a transfer gas.

또한, 상기 세정 가스는 분압이 1 ~ 20Torr이며, 패시베이션 가스는 분압이 1 ~ 20Torr로 조절될 수 있다. 또한, 상기 세정 가스와 패시베이션 가스의 액상과 기상의 상태도에서 기상이 존재하는 영역의 분압으로 공급될 수 있다. 또한, 상기 건식 세정 단계는 HF가스에 H2O 가스 또는 D2O 가스를 혼합하여 주입하거나 순차적으로 주입하며, 가스압을 0.005 ~ 600 Torr로 조절하여 공급할 수 있다. In addition, the partial pressure of the cleaning gas may be 1 to 20 Torr, and the partial pressure of the passivation gas may be adjusted to 1 to 20 Torr. In addition, the cleaning gas and the passivation gas may be supplied at partial pressures in a region where a gas phase exists in a phase diagram of a liquid phase and a gas phase. In the dry cleaning step, HF gas may be mixed with H 2 O gas or D 2 O gas and injected or sequentially injected, and the gas pressure may be adjusted to 0.005 to 600 Torr.

또한, 상기 건식 세정 단계는 반도체 기판을 30 ~ 120℃의 온도로 가열하며, 반응 가스는 반도체 기판의 온도와 ±10℃인 범위의 온도로 반도체 기판의 표면에 공급될 수 있다. In the dry cleaning step, the semiconductor substrate is heated to a temperature of 30 to 120° C., and the reaction gas may be supplied to the surface of the semiconductor substrate at a temperature within a range of ±10° C. from the temperature of the semiconductor substrate.

또한, 상기 습식 세정 단계는 RCA 세정 또는 HF-dipping 세정으로 진행될 수 있다. 따라서, 상기 상기 습식 세정 단계는 상기 반도체 기판의 표면에 화학적 산화막을 형성할 수 있다.In addition, the wet cleaning step may be performed by RCA cleaning or HF-dipping cleaning. Thus, the wet cleaning step may form a chemical oxide film on the surface of the semiconductor substrate.

이하에서 본 발명의 일 실시예에 따른 반도체 기판 세정 방법의 구체적인 실시예에 대하여 설명한다.Hereinafter, a specific embodiment of a semiconductor substrate cleaning method according to an embodiment of the present invention will be described.

본 발명에서의 건식세정(dry cleaning) 챔버는 진공 챔버로 구성되고, cluster형의 에피텍시 장비의 이송 챔버 (transfer chamber)와 연결되어 있다. 본 건식세정 장치는 전부 혹은 일부가 석영으로 구성된 챔버 본체와 본체에 장입된 Si wafer를 가열하는 가열 장치와 챔버 벽을 가열하는 가열 장치, 본체내로 가스를 주입하거나 배출하는 장치, 배출부와 진공 펌프 사이에 배치되어 가스압을 조절하는 트로틀 밸브로 구성되어 있다.The dry cleaning chamber in the present invention is composed of a vacuum chamber and is connected to a transfer chamber of a cluster-type epitaxy device. This dry cleaning device consists of a chamber body composed entirely or partially of quartz, a heating device for heating Si wafers loaded into the body, a heating device for heating the chamber wall, a device for injecting or discharging gas into the body, a discharge unit and a vacuum pump. It is composed of a throttle valve placed between the gas pressure and regulating the gas pressure.

Si wafer의 가열은 Si wafer의 하부에 위치한 가열판에 의해 이루어지며, 가열온도 30 ~ 120℃ 범위로 한다. 챔버 벽의 가열은 챔버를 둘러싸는 별도의 가열 장치에 의해 이루어지며, 가열온도는 챔버벽의 온도가 Si wafer의 가열온도와 비교하여 그 차이가 20℃ 이내로 유지하도록 한다. The heating of the Si wafer is performed by the heating plate located at the bottom of the Si wafer, and the heating temperature is in the range of 30 ~ 120℃. The heating of the chamber wall is performed by a separate heating device surrounding the chamber, and the heating temperature is such that the temperature of the chamber wall is maintained within 20 ° C compared to the heating temperature of the Si wafer.

챔버에 주입되는 반응 가스로는 HF 가스를 사용하며, HF 가스의 세정력과 표면 passivation을 높이기 위해 H2O 가스 또는/및 D2O 가스를 주입한다. 이 때 carrier 가스로는 N2, Ar, He등의 불활성 가스나 H2를 사용할 수 있다. 경우에 따라서는 IPA나 Methanol등을 혼합하여 주입할 수 있다. 건식 세정 챔버벽에서의 condensation을 방지해야 한다. 세정 챔버는 진공 펌프와 연결하여 진공과 저압에서 공정이 진행되도록 구성한다. 반응 가스는 HF 가스와 H2O 가스나 D2O 가스를 사용하고 carrier 가스로 N2나 H2를 사용한다.HF gas is used as a reaction gas injected into the chamber, and H 2 O gas or/and D 2 O gas is injected to increase the cleaning power and surface passivation of the HF gas. At this time, an inert gas such as N 2 , Ar, He, or H 2 may be used as the carrier gas. In some cases, IPA or methanol can be mixed and injected. Condensation on the walls of the dry scrubbing chamber must be prevented. The cleaning chamber is connected to a vacuum pump so that the process proceeds under vacuum and low pressure. HF gas, H 2 O gas or D 2 O gas is used as the reactive gas, and N 2 or H 2 is used as the carrier gas.

반응 가스의 가스압은 진공 펌프와 챔버 사이에 위치한 트로틀 밸브를 사용하여 조절되며, 가스압은 0.1 ~ 600Torr에서 사용할 수 있으나, 좋게는 20 ~ 300Torr 정도가 적당하다. The gas pressure of the reaction gas is controlled using a throttle valve located between the vacuum pump and the chamber, and the gas pressure can be used in the range of 0.1 to 600 Torr, but preferably about 20 to 300 Torr.

반응 가스의 주입은 wafer에 수직 방향에서 show head를 통해 주입될 수 있고, wafer의 수평방향으로 라미나 유동이 흐르도록 설계할 수 있다. 주입되는 가스의 분압은 개별 가스의 유량에 의해 제어된다. H2O 가스(혹은 D2O 가스)의 분압은 1~10Torr 정도가 좋으며, HF 가스의 분압은 1 ~ 20Torr 정도가 적당하다. 모든 가스가 흐르는 가스 라인과 반도체 기판, 챔버 벽 등은 응축을 막기 위해 반도체 기판의 온도와 동일하거나, ±10℃ 이내로 유지되어야 한다.The reaction gas can be injected through the show head in the vertical direction to the wafer, and it can be designed so that the lamina flow flows in the horizontal direction of the wafer. The partial pressure of the injected gas is controlled by the flow rate of the individual gas. The partial pressure of H 2 O gas (or D 2 O gas) is preferably about 1 to 10 Torr, and the partial pressure of HF gas is about 1 to 20 Torr. Gas lines through which all gases flow, semiconductor substrates, chamber walls, etc. must be maintained at the same temperature as the semiconductor substrate or within ±10°C to prevent condensation.

가스의 분압과 공정 온도는 H2O 가스와 HF 가스의 혼합비에서 액상과 기상의 상태도에서 기상이 존재하는 영역(Non-condensation 영역)을 선택하여야 한다. 만약 액상이 존재하는 영역(condensation 영역)의 공정 조건에서는 Si wafer와 챔버 내벽에 H2O 가스와 HF 가스가 응축(condensation)되어 정밀한 공정 제어가 어렵고, 응축된 액상을 기화시키고 진공을 만들기 위해서는 긴 시간이 필요하게 되어, cluster 장비와의 공정 호환성이 떨어지게 된다. 또한 응축 영역에서는 Si 표면에 SiO2와 HF가 결합된 혼합물이 분말 입자로 표면에 존재하게 되어 이의 제거를 위해서는 물세정이 별도로 필요하게 되는 문제가 있다.For the gas partial pressure and process temperature, the region (non-condensation region) in which the gas phase exists in the phase diagram of the liquid phase and the gas phase at the mixing ratio of H 2 O gas and HF gas must be selected. If the process conditions in the area where the liquid phase exists (condensation area), H 2 O gas and HF gas are condensed on the Si wafer and the inner wall of the chamber, making it difficult to precisely control the process, and it takes a long time to vaporize the condensed liquid phase and create a vacuum. Time is required, and process compatibility with cluster equipment is poor. In addition, in the condensation region, a mixture of SiO 2 and HF is present on the surface of the Si surface in the form of powder particles, so that separate water washing is required to remove it.

본 발명은 세정액을 이용한 습식 세정과 연동하여 사용되어야 한다. 따라서 본 발명의 건식 세정 전에 별도의 세정 장비에서 습식 세정을 수행하여 속, 유기물, 표면 산화막, 탄소 오염등을 1차적으로 제거하고, 후속의 건식 세정 효과가 극대화될 수 있는 표면 상태를 만든다. 본 가스 세정 전의 습식 세정으로는 반도체 생산에서 보편적으로 사용하는 RCA 세정, HF-dipping 세정, 혹은 이를 조합한 세정으로 이루어 질 수 있다. 상기 RCA 세정은 반도체 제조 공정에서 사용되는 일반적인 세정 공정일 수 있다. 상기 RCA 세정은 SC-1 세정과 SC-2 세정을 포함할 수 있다. 상기 SC-1 세정은 과산화수소(H202)의 강한 산화 작용을 이용한 세정으로 웨이퍼 표면에 존재하는 파티클 및 유기 물질 그리고 일부 Au, Ag, Cu 또는 Ni과 같은 금속들을 산화시켜 제거하거나 산화된 오염물들을 NH4OH로 식각하여 박리시키는 공정이다. 또한, 상기 SC-2 세정은 SC-1 세정에서 제거되지 못한 금속 불순물 (중금속 및 알칼리 금속)을 과산화수소로 먼저 산화시킨 후 이를 염산(HCl)로 제거하는 공정이다.The present invention should be used in conjunction with wet cleaning using a cleaning liquid. Therefore, prior to the dry cleaning of the present invention, wet cleaning is performed in a separate cleaning equipment to primarily remove insides, organic substances, surface oxide films, carbon contamination, etc., and to create a surface state in which the subsequent dry cleaning effect can be maximized. Wet cleaning before this gas cleaning can be performed by RCA cleaning commonly used in semiconductor production, HF-dipping cleaning, or a combination thereof. The RCA cleaning may be a general cleaning process used in a semiconductor manufacturing process. The RCA cleaning may include SC-1 cleaning and SC-2 cleaning. The SC-1 cleaning is cleaning using the strong oxidizing action of hydrogen peroxide (H 2 O 2 ) to oxidize particles and organic substances present on the wafer surface and some metals such as Au, Ag, Cu or Ni to remove or oxidized contaminants It is a process of etching and exfoliating them with NH 4 OH. In addition, the SC-2 cleaning is a process in which metal impurities (heavy metals and alkali metals) not removed in the SC-1 cleaning are first oxidized with hydrogen peroxide and then removed with hydrochloric acid (HCl).

본 발명의 건식 세정 효과를 극대화하기 위해서는 습식 세정 후 Si표면에 화학적 산화막(Chemical oxide)이 생성되어 있는 것이 바람직하다. 예를 들어 HF-dipping + RCA 세정 혹은 RCA 세정을 하여, 습식 세정 후에 Si 표면에 10~100Å의 화학적 산화막을 만드는 것이 바람직하다. 여기서, 상기 화학적 산화막은 반도체 기판의 표면에 세정 과정에서 생성되는 SiO2 산화막일 수 있다. 이를 위해 RCA 세정 중에 H2O2 세정액의 처리 시간을 조절하거나, RCA 세정 후에 H2O2 세정 처리를 별도로 하는 것도 도움이 된다. 화학적 산화막의 형성은 습식 세정 후 에피텍시 장비의 건식 세정 챔버로 장입대기 전의 대기 노출에서 탄소의 재오염을 방지할 수 있다. 또한 본 발명의 건식 세정에서 일어나는 산화막의 식각에 의해 오염된 화학적 산화막의 제거와 함께 탄소 오염을 효율적으로 제거할 수 있다.In order to maximize the dry cleaning effect of the present invention, it is preferable that a chemical oxide film is formed on the Si surface after wet cleaning. For example, it is preferable to perform HF-dipping + RCA cleaning or RCA cleaning to form a chemical oxide film of 10 to 100 Å on the Si surface after wet cleaning. Here, the chemical oxide film may be an SiO 2 oxide film generated during a cleaning process on the surface of the semiconductor substrate. To this end, it is also helpful to adjust the treatment time of the H 2 O 2 cleaning solution during RCA cleaning or separately perform H 2 O 2 cleaning treatment after RCA cleaning. The formation of a chemical oxide film can prevent re-contamination of carbon when exposed to the air before loading into the dry cleaning chamber of the epitaxial equipment after wet cleaning. In addition, carbon contamination can be efficiently removed along with the removal of the chemical oxide film contaminated by the etching of the oxide film that occurs in the dry cleaning of the present invention.

습식 세정된 Si 웨이퍼는 에피텍시 장비에 구성된 건식 세정 챔버로 이송되어 건식 세정을 수행한다. 본 발명의 건식 세정의 작동은 습식 세정에서 생성된 화학적 산화막과 오염물을 건식 식각을 통해 제거하고 Si 표면을 수소로 passivation하는 것이다. 본 발명의 건식 세정은 non-condensation 영역에서 일어나며, condensation 영역에서 일어나는 반응 기구와는 차이가 있다. 일반적으로 condensation 영역에서의 반응은 Si 표면에 액상의 HF/H2O 화합물이 도포되는 것으로 HF/H2O 용액을 이용한 산화막 식각 메카니즘으로 생각할 수 있다. 즉, 4HF(l) + SiO2(s) -> SiF4(g) + 2H2O(l) 혹은 6HF(l) + SiO2(s) -> 2H2O(l) + H2SiF6(l) 등의 반응을 갖는다. The wet-cleaned Si wafer is transferred to a dry-cleaning chamber configured in epitaxy equipment, and dry-cleaning is performed. The operation of the dry cleaning of the present invention is to remove the chemical oxide film and contaminants generated in the wet cleaning through dry etching and to passivate the Si surface with hydrogen. The dry cleaning of the present invention occurs in a non-condensation region, and is different from the reaction mechanism that occurs in a condensation region. In general, the reaction in the condensation region is that a liquid HF/H 2 O compound is applied to the Si surface, and it can be considered as an oxide film etching mechanism using a HF/H 2 O solution. That is, 4HF(l) + SiO 2 (s) -> SiF 4 (g) + 2H 2 O(l) or 6HF(l) + SiO 2 (s) -> 2H 2 O(l) + H 2 SiF 6 (l) and the like.

상기에 언급한 바와 같이 본 발명의 공정 조건은 non-condensation 영역이며, 이 영역에서는 가스 분자의 흡착과 탈착이 평형 상태를 이루게 된다. 이 때 2HF(ad)+H2O(ad)->HF2-(ad)+H3O+(ad)으로 나타낼 수 있으며, 식각 속도 rate=kθHF 2θHF로 표시할 수 있다. 본 수식에서 보는 바와 같이 HF가스에서 H2O가스의 혼합은 SiO2의 식각속도를 증가시킨다. HF와 SiO2의 식각 반응은 물이나 hydroxyl 그룹 분자의 촉매 작용에 의해 속도가 증가될 수 있다고 보고된다. 이러한 반응에 의해 SiO2 식각후에 노출되는 Si 표면은 H이나 F에 의해 passivation된다. H이나 F의 passivation 율은 공정 온도, H2O, HF의 분압비 등의 공정 조건에 따라 변하게 된다.As mentioned above, the process condition of the present invention is a non-condensation region, and in this region, adsorption and desorption of gas molecules are in equilibrium. In this case, it can be expressed as 2HF(ad)+H 2 O(ad)->HF 2 -(ad)+H 3 O+(ad), and can be expressed as etch rate = kθ HF 2 θ HF . As shown in this formula, the mixing of H2O gas in HF gas increases the etching rate of SiO 2 . It is reported that the etching rate of HF and SiO 2 can be increased by the catalytic action of water or hydroxyl group molecules. By this reaction, the Si surface exposed after SiO 2 etching is passivated by H or F. The passivation rate of H or F varies depending on process conditions such as process temperature, partial pressure ratio of H 2 O and HF.

본 발명의 일부는 에피텍시 성장을 위한 건식세정 공정으로 H2O 가스(light water)대신에 D2O 가스(heavy water)를 사용하는 것이다. D2O 가스의 D(Deuterim)은 H(hydrogen)의 동위 원소로 hydrogen과 비슷한 화학적 특성을 갖고 있으나, Si 표면의 흡착 결합력이 수소에 비해 50배 이상 높은 것으로 알려져 있다. 따라서 HF/D2O 가스를 이용한 세정을 이용하여, Si 표면의 passivation 정도를 높힐 수 있다. Part of the present invention is to use D 2 O gas (heavy water) instead of H 2 O gas (light water) in the dry clean process for epitaxial growth. D (Deuterim) of D 2 O gas is an isotope of H (hydrogen) and has chemical properties similar to hydrogen, but it is known that the adsorption bonding force of the Si surface is more than 50 times higher than that of hydrogen. Therefore, the degree of passivation of the Si surface can be increased by using cleaning using HF/D 2 O gas.

D2O가스를 이용한 건식 세정의 또 다른 장점은 또한 Si표면과의 흡착 결합 에너지가 높아, 400 ~ 600℃에서 일어나는 수소의 탈착을 50℃이상 높힐 수 있다는 것이다. 에피텍시 성장을 위해 장입된 Si wafer를 에피텍시 성장 온도까지 가열하여야 한다.  이러한 가열 중에 표면에 흡착된 수소 원자가 탈착되며, 탈착된 Si 표면 자리에는 챔버와 가스에서 나오는 잔류 산소와 탄소의 재오염이 일어날 수 있다. 따라서 Si 표면을 Deuterium으로 passivation 하면 승온시의 재오염을 최소화 할 수 있는 이점이 있다.  Another advantage of dry cleaning using D 2 O gas is that it has a high adsorption binding energy with the Si surface, so that hydrogen desorption at 400 to 600 ° C can be increased by more than 50 ° C. For epitaxial growth, the loaded Si wafer must be heated to the epitaxial growth temperature. During this heating, hydrogen atoms adsorbed on the surface are desorbed, and re-contamination of residual oxygen and carbon from the chamber and gas may occur at the site of the desorbed Si surface. Therefore, passivation of the Si surface with Deuterium has the advantage of minimizing re-contamination when the temperature is raised.

[실시예 1][Example 1]

본 발명의 실시예로 Si 기판을 HF/H2O 가스를 이용하여 건식 세정후 에 Si 에피텍시 공정을 진행한 세부적 과정과 결과를 예시하였다. Si wafer는 (100) P type wafer이고 비저항은 1Ω-cm의 polished wafer이다. HF/H2O cleaning의 전처리 공정으로 RCA cleaning과 Spin drying을 실시하였다. RCA 공정 후의 화학적 산화막의 두께는 2nm 정도이다. As an example of the present invention, the detailed process and results of performing the Si epitaxy process after dry cleaning the Si substrate using HF/H 2 O gas are illustrated. The Si wafer is a (100) P type wafer and a polished wafer with a resistivity of 1Ω-cm. RCA cleaning and spin drying were performed as a pretreatment process for HF/H 2 O cleaning. The thickness of the chemical oxide film after the RCA process is about 2 nm.

에피텍시 장비는 로드락 챔버와 언로드락 챔버, transfer 챔버, 에피텍시 공정 챔버로 구성되며, 로드락 챔버에 wafer를 장입하고 진공 펌핑후 N2가스로 refill한다. 20Torr로 refill된 로드락 챔버에서 게이트 밸브를 열어 wafer를 20 Torr N2 분위기로 유지되는 transfer 챔버를 통해 cleaning 챔버로 장입시킨다. cleaning 챔버는 wafer의 장입을 위해 게이트 밸브를 열 경우 transfer chamber와 동일한 N2 압력으로 유지시킨다.Epitaxial equipment consists of a load lock chamber, an unload lock chamber, a transfer chamber, and an epitaxial process chamber. Wafers are loaded into the load lock chamber, vacuum pumped, and refilled with N 2 gas. In the load lock chamber refilled to 20 Torr, the gate valve is opened, and wafers are loaded into the cleaning chamber through the transfer chamber maintained in a 20 Torr N 2 atmosphere. The cleaning chamber maintains the same N2 pressure as the transfer chamber when the gate valve is opened for wafer loading.

cleaning 챔버의 벽은 석영으로 구성되어 있으며, 챔버 벽의 온도는 HF/H2O 공정이 wafer 가열 온도인 70℃를 유지한다. 챔버 벽을 70℃로 유지하기 위해 챔버는 단열재와 가열 히터가 배치되어 있다. 장입된 wafer는 lift pin위에 놓여져 있을 수 있고, 별도의 가열 지지판을 구성할 수 있다. wafer의 온도가 챔버벽의 온도까지 상승하도록 1 ~ 10분 정도 대기한다. wafer의 온도가 챔버벽의 온도와 동일해 지면, H2O가스를 주입한 후 이어서 HF 가스를 주입한다. HF가스와 H2O가스의 분압비는 주입 유량에 의해 제어되며, 실시예에서는 총공정 압력은 20Torr에서 HF 가스의 분압은 4Torr, H2O 가스의 분압은 2 Torr를 사용하였다. 세정 시간은 1분에서 5분 정도 사용하였다.The wall of the cleaning chamber is made of quartz, and the temperature of the chamber wall is maintained at 70℃, which is the wafer heating temperature in the HF/H 2 O process. In order to keep the chamber walls at 70°C, the chamber is equipped with insulation and heating heaters. The loaded wafer can be placed on a lift pin, and a separate heating support plate can be configured. Wait for 1 to 10 minutes for the temperature of the wafer to rise to the temperature of the chamber wall. When the temperature of the wafer becomes the same as the temperature of the chamber wall, H 2 O gas is injected, followed by HF gas. The partial pressure ratio of HF gas and H 2 O gas is controlled by the injection flow rate. In the embodiment, the total process pressure was 20 Torr, the partial pressure of HF gas was 4 Torr, and the partial pressure of H 2 O gas was 2 Torr. Washing time ranged from 1 minute to 5 minutes.

세정이 끝나면 가스의 주입을 멈추고 N2 퍼징을 2분간 진행한 후 진공펌프와 연결된 트로틀 밸브를 열어 진공으로 펌핑한다. 이때 10-3Torr의 진공으로 만들기 위해 5분 정도가 필요하며, 진공 후 N2를 주입하고 트로틀 밸브를 조정하여 transfer가 가능한 20Torr로 만든다. 이후 게이트 밸브를 열어 wafer를 transfer 챔버로 배출한 후, 유사한 방식을 이용하여 에피텍시 챔버로 장입한다.After cleaning is finished, gas injection is stopped, N2 purging is performed for 2 minutes, and then the throttle valve connected to the vacuum pump is opened and vacuum is pumped. At this time, it takes about 5 minutes to make a vacuum of 10 -3 Torr, and after vacuuming, inject N 2 and adjust the throttle valve to make it 20 Torr that can be transferred. Afterwards, the gate valve is opened to discharge the wafer into the transfer chamber, and then it is loaded into the epitaxial chamber using a similar method.

에피텍시 챔버는 H2 분위기로 만들어져 있으며, wafer의 장입시의 온도는 300℃ 미만으로 유지한다. H2 총압력은 80Torr이며 20 ~ 40 slm을 흘린다. 장입된 wafer는 급속 가열을 통해 600℃로 3초 이내에 급속도로 가열한 후, 가열 온도에 도달하면 SiH4가스를 100sccm 주입하였다. 이 때 승온 도달후 SiH4 가스가 주입되기 전까지의 시간은 가급적 최소화하는 것이 좋으며 통산 1분 이내여야 한다. 5분 정도의 에피텍시 성장으로 에피텍시 막의 두께는 ~100nm 이었다. The epitaxy chamber is made of an H 2 atmosphere, and the temperature at the time of wafer loading is maintained below 300℃. H 2 The total pressure is 80 Torr and 20 ~ 40 slm flows. The loaded wafer was rapidly heated to 600 ° C within 3 seconds through rapid heating, and when the heating temperature was reached, 100 sccm of SiH 4 gas was injected. At this time, the time from when the temperature is raised until the SiH 4 gas is injected is preferably minimized as much as possible and should be less than 1 minute in total. The thickness of the epitaxial film was ~100 nm with epitaxial growth of about 5 minutes.

에피텍시 막은 매우 우수하여 에피텍시 막의 결함은 거의 관찰되지 않았다. 기존의 800℃보다 베이킹 공정이 없는 600℃의 저온에서도 우수한 에피텍시 막의 성장이 가능함을 관찰할 수 있었다. HF/H2O 가스세정의 세정 효과를 직접적으로 관찰하기 위해 Si wafer기판의 초기 표면과 에피텍시 막 사이 계면의 오염도를 SIMS를 이용하여 측정하였다. 그림에서 보는 바와 같이 산소의 오염은 SIMS 감지 범위, 1×1011 /cm2 보다 낮았으며, 탄소 오염도는 1×1012 /cm2 이하로 측정되었다. The epitaxial film was so good that few defects of the epitaxial film were observed. It was observed that an excellent epitaxial film can be grown even at a lower temperature of 600 ° C without a baking process than the conventional 800 ° C. In order to directly observe the cleaning effect of HF/H 2 O gas cleaning, the degree of contamination of the interface between the initial surface of the Si wafer substrate and the epitaxial film was measured using SIMS. As shown in the figure, oxygen contamination was lower than the SIMS detection range, 1×10 11 /cm 2 , and carbon contamination was measured below 1×10 12 /cm 2 .

[실시예 2][Example 2]

본 발명의 실시예로 Si 기판을 HF/D2O 가스를 이용하여 건식 세정후 에 Si 에피텍시 공정을 진행한 세부적 과정과 결과를 예시하였다. Si wafer는 (100) P type wafer이고 비저항은 1Ω-cm의 polished wafer이다. HF/D2O cleaning의 전처리 공정으로 RCA cleaning과 Spin drying을 실시하였다. RCA 공정 후의 화학적 산화막의 두께는 2nm 정도이다. As an example of the present invention, the detailed process and results of performing the Si epitaxy process after dry cleaning the Si substrate using HF/D 2 O gas are illustrated. The Si wafer is a (100) P type wafer and a polished wafer with a resistivity of 1Ω-cm. RCA cleaning and spin drying were performed as a pretreatment process for HF/D 2 O cleaning. The thickness of the chemical oxide film after the RCA process is about 2 nm.

에피텍시 장비는 로드락 챔버와 언로드락 챔버, transfer 챔버, 에피텍시 공정 챔버로 구성되며, 로드락 챔버에 wafer를 장입하고 진공 펌핑후 N2가스로 refill한다. 20Torr로 refill된 로드락 챔버에서 게이트 밸브를 열어 wafer를 20 Torr N2 분위기로 유지되는 transfer 챔버를 통해 cleaning 챔버로 장입시킨다. cleaning 챔버는 wafer의 장입을 위해 게이트 밸브를 열 경우 transfer chamber와 동일한 N2 압력으로 유지시킨다. Epitaxial equipment consists of a load lock chamber, an unload lock chamber, a transfer chamber, and an epitaxial process chamber. Wafers are loaded into the load lock chamber, vacuum pumped, and refilled with N 2 gas. In the load lock chamber refilled to 20 Torr, the gate valve is opened, and wafers are loaded into the cleaning chamber through the transfer chamber maintained in a 20 Torr N 2 atmosphere. The cleaning chamber maintains the same N 2 pressure as the transfer chamber when the gate valve is opened for wafer loading.

cleaning 챔버의 벽은 석영으로 구성되어 있으며, 챔버 벽의 온도는 HF/H2O 공정이 wafer 가열 온도인 70℃를 유지한다. 챔버 벽을 70℃로 유지하기 위해 챔버는 단열재와 가열 히터가 배치되어 있다. 장입된 wafer는 lift pin위에 놓여져 있을 수 있고, 별도의 가열 지지판을 구성할 수 있다. wafer의 온도가 챔버벽의 온도까지 상승하도록 1 ~ 10분 정도 대기한다. wafer의 온도가 챔버벽의 온도와 동일해 지면, H2O 가스를 주입한 후 이어서 HF 가스를 주입한다. HF 가스와 D2O 가스의 분압비는 주입 유량에 의해 제어되며, 실시예에서는 총공정 압력은 20Torr에서 HF 가스의 분압은 4Torr, H2O 가스의 분압은 2 Torr를 사용하였다. 세정 시간은 1분에서 5분 정도 사용하였다.The wall of the cleaning chamber is made of quartz, and the temperature of the chamber wall is maintained at 70℃, which is the wafer heating temperature in the HF/H 2 O process. In order to keep the chamber walls at 70°C, the chamber is equipped with insulation and heating heaters. The loaded wafer can be placed on a lift pin, and a separate heating support plate can be configured. Wait for 1 to 10 minutes for the temperature of the wafer to rise to the temperature of the chamber wall. When the temperature of the wafer becomes the same as the temperature of the chamber wall, H 2 O gas is injected, followed by HF gas. The partial pressure ratio of the HF gas and the D 2 O gas is controlled by the injection flow rate. In the embodiment, the total process pressure was 20 Torr, the partial pressure of the HF gas was 4 Torr, and the partial pressure of the H 2 O gas was 2 Torr. Washing time ranged from 1 minute to 5 minutes.

세정이 끝나면 가스의 주입을 멈추고 N2 퍼징을 2분간 진행한 후 진공펌프와 연결된 트로틀 밸브를 열어 진공으로 펌핑한다. 이때 10-3Torr의 진공으로 만들기 위해 5분 정도가 필요하며, 진공 후 N2를 주입하고 트로틀 밸브를 조정하여 transfer가 가능한 20Torr로 만든다. 이후 게이트 밸브를 열어 wafer를 transfer 챔버로 배출한 후, 유사한 방식을 이용하여 에피텍시 챔버로 장입한다.After cleaning is finished, gas injection is stopped, N2 purging is performed for 2 minutes, and then the throttle valve connected to the vacuum pump is opened and vacuum is pumped. At this time, it takes about 5 minutes to make a vacuum of 10 -3 Torr, and after vacuuming, inject N2 and adjust the throttle valve to make it 20 Torr that can be transferred. Afterwards, the gate valve is opened to discharge the wafer into the transfer chamber, and then it is loaded into the epitaxial chamber using a similar method.

에피텍시 챔버는 H2 분위기로 만들어져 있으며, wafer의 장입시의 온도는 300도 미만으로 유지한다. H2 총압력은 80Torr이며 20 ~ 40 slm을 흘린다. 장입된 wafer는 급속 가열을 통해 600℃로 3초 이내에 급속도로 가열한 후, 가열 온도에 도달하면 SiH4가스를 100sccm 주입하였다. 이 때 승온 도달후 SiH4 가스가 주입되기 전까지의 시간은 가급적 최소화하는 것이 좋으며 통산 1분 이내여야 한다. 5분 정도의 에피텍시 성장으로 에피텍시 막의 두께는 ~100nm 이었다. The epitaxy chamber is made of H 2 atmosphere, and the temperature at the time of wafer loading is maintained below 300 degrees. H 2 The total pressure is 80 Torr and 20 ~ 40 slm flows. The loaded wafer was rapidly heated to 600 ° C within 3 seconds through rapid heating, and when the heating temperature was reached, 100 sccm of SiH 4 gas was injected. At this time, the time from when the temperature is raised until the SiH 4 gas is injected is preferably minimized as much as possible and should be less than 1 minute in total. The thickness of the epitaxial film was ~100 nm with epitaxial growth of about 5 minutes.

에피텍시 막은 매우 우수하여 에피텍시 막의 결함은 거의 관찰되지 않았다. 기존의 800℃보다 베이킹 공정이 없는 600℃의 저온에서도 우수한 에피텍시 막의 성장이 가능함을 관찰할 수 있었다. HF/D2O 가스세정의 세정 효과를 직접적으로 관찰하기 위해 Si wafer기판의 초기 표면과 에피텍시 막 사이 계면의 오염도를 SIMS를 이용하여 측정하였다. 그림에서 보는 바와 같이 산소의 오염은 SIMS 감지 한계보다 낮았으며, 탄소 오염도는 1×1012 /cm2 이하로 측정되었다. The epitaxial film was so good that few defects of the epitaxial film were observed. It was observed that an excellent epitaxial film can be grown even at a lower temperature of 600 ° C without a baking process than the conventional 800 ° C. In order to directly observe the cleaning effect of HF/D2O gas cleaning, the degree of contamination of the interface between the initial surface of the Si wafer substrate and the epitaxial film was measured using SIMS. As shown in the figure, the contamination of oxygen was lower than the SIMS detection limit, and the degree of carbon contamination was measured to be less than 1×10 12 /cm 2 .

본 발명에서는 H2O 대신에 D2O를 사용하여 그 효과를 더욱 향상 시킬 수 있다. D2O 가스(Deuterium oxide, 중수)은 H2O 가스(Hydrogen Oxide, 경수)의 동위 원소로 수소에 비해 원자질량이 큰 수소 동위 원소이다. Si 표면에 중수소 원자는 일반 수소 원자에 비해 더 강하게 흡착되는 것으로 보고되고 있다. 따라서 흡착된 중수소 원자는 대기 중에 노출되도록 재산화 속도는 수소 흡착의 재산화 속도에 비해 매우 느린 것으로 알려져 있다. In the present invention, the effect can be further improved by using D 2 O instead of H 2 O. D 2 O gas (Deuterium oxide, heavy water) is an isotope of H 2 O gas (Hydrogen Oxide, hard water) and is an isotope of hydrogen with a larger atomic mass than hydrogen. It has been reported that deuterium atoms are more strongly adsorbed on the Si surface than ordinary hydrogen atoms. Therefore, it is known that the reoxidation rate is very slow compared to the reoxidation rate of hydrogen adsorption so that the adsorbed deuterium atoms are exposed to the atmosphere.

따라서, HF/H2O에서 일어나는 수소 passivation에 비해 더 높은 passivation율과 안정된 passivation이 가능하다. 이러한 중수소원자의 높은 흡착 결합에너지는 에피텍시의 승온 과정에서 일어나는 탈착과 재오염을 줄일 수 있다. 그러나 passivation 흡착 D원자도 온도가 380℃ 이상 올라가게 되면 탈착이 시작되며, 따라서 완전한 재오염 방지를 위해서는 두 가지 방법이 병행되어야 한다. Therefore, a higher passivation rate and stable passivation are possible compared to hydrogen passivation occurring in HF/H 2 O. The high adsorption binding energy of these deuterium atoms can reduce desorption and recontamination during the temperature rise process of epitaxy. However, the passivation adsorbed D atoms also begin desorption when the temperature rises above 380 ℃, so two methods must be combined to completely prevent recontamination.

첫째는 수소 분위기의 압력을 20Torr 이상 높여 온도에서의 평형 표면 coverage를 크게 해야 한다. 둘째 승온 속도를 높여 50/C이상으로 높혀서, 승온 과정에서의 탈착 반응의 진행 정도를 줄여야 한다. 셋째, 에피텍시 챔버의 장입시에 wafer의 온도는 350℃, 더 바람직하게는 300℃ 미만으로 유지되어야 한다.First, the equilibrium surface coverage at temperature must be increased by increasing the pressure of the hydrogen atmosphere by more than 20 Torr. Second, the rate of temperature increase should be increased to 50/C or more to reduce the progress of the desorption reaction during the temperature increase process. Third, when loading the epitaxial chamber, the temperature of the wafer should be maintained below 350°C, more preferably below 300°C.

재오염을 방지하는 추가적인 방법으로 Si 증착가스(SiH2Cl2, SiH4, Si2H6, Si3H8등)등의 증착 가스를 승온 중에 주입하여 수소가 탈착된 Si 표면 원자의 미결합 사이트에 Si이 흡착되도록 한다.As an additional method to prevent re-contamination, a deposition gas such as Si deposition gas (SiH 2 Cl 2 , SiH 4 , Si 2 H 6 , Si 3 H 8 , etc.) is injected while the temperature is raised to prevent unbonded Si surface atoms from which hydrogen is desorbed. Si is adsorbed on the site.

이상에서 설명한 것은 본 발명에 따른 반도체 기판 세정 방법을 실시하기 위한 하나의 실시예에 불과한 것으로서, 본 발명은 상기한 실시예에 한정되지 않고, 이하의 특허청구범위에서 청구하는 바와 같이 본 발명의 요지를 벗어남이 없이 당해 발명이 속하는 분야에서 통상의 지식을 가진 자라면 누구든지 다양한 변경 실시가 가능한 범위까지 본 발명의 기술적 정신이 있다고 할 것이다.What has been described above is only one embodiment for carrying out the semiconductor substrate cleaning method according to the present invention, and the present invention is not limited to the above-described embodiment, and as claimed in the following claims, the subject matter of the present invention Anyone with ordinary knowledge in the field to which the present invention pertains without departing from the technical spirit of the present invention to the extent that various changes can be made.

Claims (7)

반도체 기판의 표면을 습식 세정하는 습식 세정 단계와,
상기 반도체 기판을 건조하는 건조 단계 및
상기 반도체 기판을 건식 세정하는 건식 세정 단계를 포함하며,
상기 건식 세정 단계는
HF 가스를 포함하는 세정 가스와, H2O 가스 및 D2O가스에서 선택되는 적어도 하나의 패시베이션 가스를 포함하는 반응 가스를 상기 반도체 기판의 표면으로 공급하여 진행되는 것을 특징으로 하는 반도체 기판 세정 방법.
A wet cleaning step of wet cleaning the surface of the semiconductor substrate;
A drying step of drying the semiconductor substrate; and
A dry cleaning step of dry cleaning the semiconductor substrate,
The dry cleaning step is
A semiconductor substrate cleaning method comprising supplying a cleaning gas containing HF gas and a reaction gas containing at least one passivation gas selected from H 2 O gas and D 2 O gas to the surface of the semiconductor substrate. .
제 1 항에 있어서,
상기 건식 세정 단계는 N2, H2, Ar 및 He에서 선택되는 적어도 하나의 이송 가스를 더 공급하는 것을 특징으로 하는 반도체 기판 세정 방법.
According to claim 1,
In the dry cleaning step, at least one transfer gas selected from N 2 , H 2 , Ar and He is further supplied.
제 1 항에 있어서,
상기 세정 가스는 분압이 1 ~ 20Torr이고, 상기 패시베이션 가스는 분압이 1 ~ 20Torr이며,
상기 세정 가스와 패시베이션 가스의 액상과 기상의 상태도에서 기상이 존재하는 영역의 분압으로 공급되는 것을 특징으로 하는 반도체 기판 세정 방법.
According to claim 1,
The cleaning gas has a partial pressure of 1 to 20 Torr, the passivation gas has a partial pressure of 1 to 20 Torr,
A method of cleaning a semiconductor substrate, characterized in that the cleaning gas and the passivation gas are supplied at a partial pressure in a region in which a gas phase exists in a phase diagram of a liquid phase and a gas phase.
제 1 항에 있어서,
상기 건식 세정 단계는 상기 HF가스에 상기 H2O 가스 또는 상기 D2O 가스를 혼합하여 주입하거나 순차적으로 주입하며, 가스압을 0.005 ~ 600 Torr로 조절하는 것을 특징으로 하는 반도체 기판 세정 방법.
According to claim 1,
In the dry cleaning step, the H 2 O gas or the D 2 O gas is mixed and injected into the HF gas or sequentially injected, and the gas pressure is adjusted to 0.005 to 600 Torr.
제 1 항에 있어서,
상기 건식 세정 단계는 상기 반도체 기판을 30 ~ 120℃의 온도로 가열하며,
상기 반응 가스는 상기 반도체 기판의 온도와 ±10℃인 범위의 온도로 상기 반도체 기판의 표면에 공급되는 것을 특징으로 하는 반도체 기판 세정 방법.
According to claim 1,
In the dry cleaning step, the semiconductor substrate is heated to a temperature of 30 to 120° C.
The semiconductor substrate cleaning method according to claim 1 , wherein the reaction gas is supplied to the surface of the semiconductor substrate at a temperature within a range of ±10° C. from the temperature of the semiconductor substrate.
제 1 항에 있어서,
상기 습식 세정 단계는 RCA 세정 또는 HF-dipping 세정으로 진행되는 것을 특징으로 하는 반도체 기판 세정 방법.
According to claim 1,
The wet cleaning step is a semiconductor substrate cleaning method, characterized in that carried out by RCA cleaning or HF-dipping cleaning.
제 1 항에 있어서,
상기 습식 세정 단계는 상기 반도체 기판의 표면에 화학적 산화막을 형성하는 것을 특징으로 하는 반도체 기판 세정 방법.
According to claim 1,
The wet cleaning step is a semiconductor substrate cleaning method, characterized in that to form a chemical oxide film on the surface of the semiconductor substrate.
KR1020220087219A 2021-07-14 2022-07-14 Method for Cleaning Semiconductor Substrate KR20230011896A (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
KR20210092201 2021-07-14
KR1020210092201 2021-07-14

Publications (1)

Publication Number Publication Date
KR20230011896A true KR20230011896A (en) 2023-01-25

Family

ID=85109689

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020220087219A KR20230011896A (en) 2021-07-14 2022-07-14 Method for Cleaning Semiconductor Substrate

Country Status (1)

Country Link
KR (1) KR20230011896A (en)

Similar Documents

Publication Publication Date Title
CN108573866B (en) Oxide film removing method and apparatus, and contact forming method and system
JP3662472B2 (en) Substrate surface treatment method
US7615441B2 (en) Forming high-k dielectric layers on smooth substrates
US10483097B2 (en) Method for cleaning, passivation and functionalization of Si—Ge semiconductor surfaces
US11127597B2 (en) Etching method
CN110581067A (en) Etching method and etching apparatus
TW201608605A (en) Modification treatment method and method of manufacturing semiconductor device
KR20180103022A (en) Oxide film removing method, oxide film removing apparatus, contact forming method, and contact forming system
Heyns et al. New wet cleaning strategies for obtaining highly reliable thin oxides
WO1999043023A1 (en) Method for nitriding the gate oxide layer of a semiconductor device and resulting device
KR20230011896A (en) Method for Cleaning Semiconductor Substrate
US9093269B2 (en) In-situ pre-clean prior to epitaxy
EP4302320A1 (en) Treatments to improve device performance
US7235440B2 (en) Formation of ultra-thin oxide layers by self-limiting interfacial oxidation
US7202186B2 (en) Method of forming uniform ultra-thin oxynitride layers
Aoyama et al. Surface cleaning for Si epitaxy using photoexcited fluorine gas
TW201840889A (en) System and method for gas-phase passivation of a semiconductor surface
JP7478776B2 (en) Integrated Wet Clean for Gate Stack Formation
US20230010499A1 (en) Integrated wet clean for gate stack development
US20210193468A1 (en) Treatments To Improve Device Performance
WO2005013348A2 (en) Formation of ultra-thin oxide and oxynitride layers by self-limiting interfacial oxidation
JPH1174264A (en) Formation of silicon oxide film
JPH1167749A (en) Formation of silicon oxide film and drying/film forming device
JPH07142434A (en) Deposition of thin film
Ito et al. Photo-excited cleaning of silicon with chlorine and fluorine

Legal Events

Date Code Title Description
E902 Notification of reason for refusal