KR20230011204A - Semiconductor device and method for fabricating the same - Google Patents

Semiconductor device and method for fabricating the same Download PDF

Info

Publication number
KR20230011204A
KR20230011204A KR1020210147251A KR20210147251A KR20230011204A KR 20230011204 A KR20230011204 A KR 20230011204A KR 1020210147251 A KR1020210147251 A KR 1020210147251A KR 20210147251 A KR20210147251 A KR 20210147251A KR 20230011204 A KR20230011204 A KR 20230011204A
Authority
KR
South Korea
Prior art keywords
plug
spacer
bit line
forming
layer
Prior art date
Application number
KR1020210147251A
Other languages
Korean (ko)
Inventor
성민철
Original Assignee
에스케이하이닉스 주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에스케이하이닉스 주식회사 filed Critical 에스케이하이닉스 주식회사
Priority to US17/717,959 priority Critical patent/US20230017800A1/en
Priority to CN202210820477.9A priority patent/CN115621195A/en
Publication of KR20230011204A publication Critical patent/KR20230011204A/en

Links

Images

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/01Manufacture or treatment
    • H10B12/02Manufacture or treatment for one transistor one-capacitor [1T-1C] memory cells
    • H10B12/03Making the capacitor or connections thereto
    • H10B12/033Making the capacitor or connections thereto the capacitor extending over the transistor
    • H10B12/0335Making a connection between the transistor and the capacitor, e.g. plug
    • H01L27/10855
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823475MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type interconnection or wiring or contact manufacturing related aspects
    • H01L27/10823
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/30DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells
    • H10B12/34DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells the transistor being at least partially in a trench in the substrate

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Semiconductor Memories (AREA)

Abstract

In accordance with the present invention, provided are a semiconductor device capable of reducing parasitic capacitance between adjacent pattern structures, and a manufacturing method thereof. The semiconductor device includes: a plurality of bit line structures formed in an upper part of a semiconductor substrate, apart from each other; first spacers formed on both side walls of each of the bit line structures; a plurality of lower plugs formed between the plurality of bit line structures and coming in contact with the semiconductor substrate; an upper plug located in an upper part of the lower plugs, while having a larger line width than the lower plugs; an intermediate plug located between the lower plugs and the upper plug, while having a smaller line width than the lower plugs; and a second spacer located between the intermediate plug and the first spacers, while being thicker than the first spacers.

Description

반도체 장치 및 그 제조 방법{SEMICONDUCTOR DEVICE AND METHOD FOR FABRICATING THE SAME}Semiconductor device and manufacturing method thereof

본 발명은 반도체 장치에 관한 것으로, 상세하게는 듀얼 콘택 플러그(Dual contact plug)를 구비한 반도체 장치 및 그 제조 방법에 관한 것이다.The present invention relates to a semiconductor device, and more particularly, to a semiconductor device having a dual contact plug and a manufacturing method thereof.

반도체 장치는 이웃하는 패턴 구조물들 사이에 절연 물질(Dielectric material)이 형성된다. 반도체 장치가 고집적화됨에 따라 패턴 구조물들간의 거리가 점점 가까워지고 있다. 이로 인해, 기생 캐패시턴스(Parasitic capacitance)가 증가되고 있다. 기생 캐패시턴스가 증가됨에 따라 반도체 장치의 성능(Performance)이 저하된다.In the semiconductor device, an insulating material is formed between adjacent pattern structures. As semiconductor devices are highly integrated, distances between pattern structures are getting closer. Due to this, parasitic capacitance is increased. As the parasitic capacitance increases, the performance of the semiconductor device deteriorates.

본 발명의 실시예들은 이웃한 패턴 구조물들간의 기생캐패시턴스를 감소시킬 수 있는 반도체 장치 및 그 제조 방법을 제공한다.Embodiments of the present invention provide a semiconductor device capable of reducing parasitic capacitance between adjacent pattern structures and a manufacturing method thereof.

본 발명의 실시예에 따른 반도체 장치는 반도체 기판 상부에 서로 이격되어 형성된 복수의 비트라인구조물, 상기 비트라인구조물 각각의 양측벽에 형성된 제1 스페이서, 상기 복수의 비트라인구조물 사이에 형성되며 상기 반도체 기판에 접촉된 복수의 하부 플러그, 상기 하부 플러그 상부에 위치하되, 상기 하부 플러그보다 큰 선폭을 갖는 상부 플러그, 상기 하부 플러그와 상부 플러그 사이에 위치하되, 상기 하부 플러그보다 작은 선폭을 갖는 중간 플러그 및 상기 중간 플러그와 제1 스페이서 사이에 위치하되 상기 제1 스페이서보다 두꺼운 제2 스페이서를 포함할 수 있다.A semiconductor device according to an embodiment of the present invention includes a plurality of bit line structures spaced apart from each other formed on a semiconductor substrate, a first spacer formed on both side walls of each of the bit line structures, and formed between the plurality of bit line structures, and the semiconductor device A plurality of lower plugs in contact with a substrate, an upper plug positioned above the lower plug and having a larger line width than the lower plug, a middle plug positioned between the lower plug and the upper plug, but having a smaller line width than the lower plug, and A second spacer positioned between the middle plug and the first spacer may be included but thicker than the first spacer.

본 발명의 실시예에 따른 반도체 장치 제조 방법은 반도체 기판 상부에 복수의 비트라인구조물을 형성하는 단계, 상기 비트라인구조물의 양측벽에 제1 스페이서를 형성하는 단계, 상기 제1 스페이서 상에 상기 비트라인구조물들 사이에 위치하는 플러그 분리층들 및 초기 콘택 오프닝들을 형성하는 단계, 상기 초기 콘택 오프닝들보다 넓어진 콘택 오프닝들을 형성하기 위해, 상기 플러그 분리층들 및 초기 콘택 오프닝들을 트리밍하는 단계, 상기 콘택 오프닝들의 측벽을 서라운딩하는 희생 스페이서를 형성하는 단계; 상기 콘택 오프닝들을 부분적으로 채우는 하부 플러그를 형성하는 단계, 상기 하부 플러그를 서라운딩하는 에어갭을 형성하기 위해, 상기 희생 스페이서를 제거하는 단계 및 상기 하부 플러그를 서라운딩하면서 상기 에어갭을 채우는 제2 스페이서를 형성하는 단계를 포함할 수 있다.A method of manufacturing a semiconductor device according to an embodiment of the present invention includes forming a plurality of bit line structures on a semiconductor substrate, forming first spacers on sidewalls of the bit line structures, and forming the bit line structures on the first spacers. Forming plug isolation layers and initial contact openings positioned between line structures; trimming the plug isolation layers and initial contact openings to form contact openings wider than the initial contact openings; forming sacrificial spacers surrounding sidewalls of the openings; Forming a lower plug partially filling the contact openings; removing the sacrificial spacer to form an air gap surrounding the lower plug; and filling the air gap while surrounding the lower plug. It may include forming a spacer.

본 발명의 실시예에 따른 반도체 장치 제조 방법은 반도체 기판 상부에 복수의 비트라인구조물을 형성하는 단계, 상기 비트라인구조물의 양측벽에 제1 스페이서를 형성하는 단계, 상기 제1 스페이서 상에 희생 스페이서를 형성하는 단계, 상기 희생 스페이서 상에 상기 비트라인구조물들 사이에 위치하는 플러그 분리층들 및 초기 콘택 오프닝들을 형성하는 단계, 상기 초기 콘택 오프닝들을 부분적으로 채우는 하부 플러그를 형성하는 단계, 상기 초기 콘택 오프닝들보다 넓어진 콘택 오프닝들을 형성하기 위해, 상기 희생스페이서 및 플러그 분리층들을 트리밍하는 단계, 상기 콘택 오프닝들의 측벽을 서라운딩하되 상기 제1 스페이서보다 두꺼운 제2 스페이서를 형성하는 단계 및 상기 제2 스페이서 및 하부 플러그 상에 상기 하부 플러그보다 큰 선폭을 갖는 상부 플러그를 형성하는 단계를 포함할 수 있다.A method of manufacturing a semiconductor device according to an embodiment of the present invention includes forming a plurality of bit line structures on a semiconductor substrate, forming first spacers on both sidewalls of the bit line structures, and sacrificial spacers on the first spacers. forming plug isolation layers and initial contact openings positioned between the bit line structures on the sacrificial spacer, forming a lower plug partially filling the initial contact openings, the initial contact trimming the sacrificial spacer and the plug isolation layers to form contact openings wider than the openings; forming second spacers that surround sidewalls of the contact openings but are thicker than the first spacers; and and forming an upper plug having a larger line width than the lower plug on the lower plug.

본 발명의 실시예에 따른 반도체 장치 제조 방법은 반도체 기판 상부에 복수의 비트라인구조물을 형성하는 단계, 상기 비트라인구조물의 양측벽에 제1 스페이서를 형성하는 단계, 상기 제1 스페이서 상에 희생 스페이서를 형성하는 단, 상기 희생 스페이서 상에 상기 비트라인구조물들 사이에 위치하는 플러그 분리층들 및 초기 콘택 오프닝들을 형성하는 단계, 상기 초기 콘택 오프닝들보다 넓어진 콘택 오프닝들을 형성하기 위해, 상기 희생 스페이서 및 플러그 분리층들을 트리밍하는 단계, 상기 초기 콘택 오프닝들을 부분적으로 채우는 하부 플러그를 형성하는 단계, 상기 하부 플러그의 측벽을 서라운딩하는 에어갭을 형성하기 위해, 상기 희생스페이서를 제거하는 단계, 상기 에어갭을 채우되 상기 제1 스페이서보다 두꺼운 제2 스페이서를 형성하는 단계 및 상기 제2 스페이서 및 하부 플러그 상에 상기 하부 플러그보다 큰 선폭을 갖는 상부 플러그를 형성하는 단계를 포함할 수 있다.A method of manufacturing a semiconductor device according to an embodiment of the present invention includes forming a plurality of bit line structures on a semiconductor substrate, forming first spacers on both sidewalls of the bit line structures, and sacrificial spacers on the first spacers. However, forming plug separation layers and initial contact openings located between the bit line structures on the sacrificial spacer, in order to form contact openings wider than the initial contact openings, the sacrificial spacer and trimming plug separation layers, forming a lower plug partially filling the initial contact openings, removing the sacrificial spacer to form an air gap surrounding a sidewall of the lower plug, the air gap The method may include forming a second spacer filled with but thicker than the first spacer, and forming an upper plug having a larger line width than the lower plug on the second spacer and the lower plug.

본 발명의 실시예에 따른 반도체 장치 제조 방법은 반도체 기판 상부에 복수의 비트라인구조물을 형성하는 단계, 상기 비트라인구조물의 양측벽에 제1 스페이서를 형성하는 단계, 상기 제1 스페이서 상에 제1 희생 스페이서를 형성하는 단계, 상기 제1 희생 스페이서 상에 상기 비트라인구조물들 사이에 위치하는 플러그 분리층들 및 초기 콘택 오프닝들을 형성하는 단계, 상기 초기 콘택 오프닝들보다 넓어진 콘택 오프닝들을 형성하기 위해, 상기 플러그 분리층들을 트리밍하는 단계, 상기 초기 콘택 오프닝들을 부분적으로 채우는 광폭 플러그를 형성하는 단계, 상기 광폭 플러그 상부에 제2 희생 스페이서를 형성하는 단계, 상기 제2 희생 스페이서에 의해 노출된 상기 광폭 플러그 상에 상기 광폭 플러그보다 작은 선폭을 갖는 협폭 플러그를 형성하는 단, 상기 협폭 플러그의 측벽을 서라운딩하는 에어갭을 형성하기 위해, 상기 제1 및 제2 희생 스페이서를 제거하는 단계, 상기 에어갭을 채우되 상기 제1 스페이서보다 두꺼운 제2 스페이서를 형성하는 단계 및 상기 제2 스페이서 및 협폭 플러그 상에 상기 협폭 플러그보다 큰 선폭을 갖는 상부 플러그를 형성하는 단계를 포함할 수 있다.A method of manufacturing a semiconductor device according to an embodiment of the present invention includes forming a plurality of bit line structures on a semiconductor substrate, forming first spacers on sidewalls of the bit line structures, and forming a first spacer on the first spacer. Forming a sacrificial spacer, forming plug separation layers and initial contact openings located between the bit line structures on the first sacrificial spacer, forming contact openings wider than the initial contact openings, Trimming the plug isolation layers, forming a wide plug partially filling the initial contact openings, forming a second sacrificial spacer on the wide plug, and the wide plug exposed by the second sacrificial spacer. forming a narrow plug having a smaller line width than the wide plug, removing the first and second sacrificial spacers to form an air gap surrounding a sidewall of the narrow plug; The method may include forming a second spacer that is filled but thicker than the first spacer, and forming an upper plug having a larger line width than the narrow plug on the second spacer and the narrow plug.

본 기술은 비트라인 스페이서에서 차지하는 실리콘 질화물의 두께를 얇게 하므로, 기생 캐패시턴스가 증가하는 것을 억제할 수 있다.Since the thickness of the silicon nitride occupied by the bit line spacer is reduced, the increase in parasitic capacitance can be suppressed.

본 기술은 비트라인과 스토리지노드 콘택 플러그 사이의 기생캐패시턴스를 감소시킬 수 있다.This technology can reduce parasitic capacitance between the bit line and the storage node contact plug.

본 기술은 스토리지노드콘택홀의 공간을 추가로 확보할 수 있어 스토리지노드콘택홀의 오픈 마진을 확보할 수 있다.This technology can secure the open margin of the storage node contact hole by additionally securing the space of the storage node contact hole.

본 기술은 비트라인에 대향하는 스토리지노드 콘택 플러그의 크기를 줄이고 비트라인 스페이서를 N-O(nitride-oxide) 구조로 변경하므로, 비트라인과 스토리지노드 콘택 플러그 사이의 기생 캐패시턴스를 감소시킬 수 있다.Since the size of the storage node contact plug facing the bit line is reduced and the bit line spacer is changed to a nitride-oxide (N-O) structure, parasitic capacitance between the bit line and the storage node contact plug can be reduced.

본 기술은 스토리지노드 콘택 플러그의 상부 플러그가 하부 플러그보다 큰 폭을 가지므로, 후속 랜딩패드와의 접촉면적을 늘려 콘택저항을 개선할 수 있다.In this technology, since the upper plug of the storage node contact plug has a larger width than the lower plug, contact resistance can be improved by increasing the contact area with the subsequent landing pad.

본 기술은 플러그분리층의 종류와 무관하게 건식식각을 이용한 비등방성 식각을 이용하여 콘택 오프닝들의 면적 확보가 가능하다.In this technology, it is possible to secure the area of contact openings by using anisotropic etching using dry etching, regardless of the type of plug separation layer.

도 1은 실시예에 따른 반도체 장치를 도시한 평면도이다.
도 2a는 도 1의 A-A'선 및 B-B'선에 따른 단면도이다.
도 2b는 스토리지노드 콘택 플러그의 상세도 확대도이다.
도 3 내지 도 26은 반도체 장치를 제조하는 방법의 일 실시예를 도시한 도면이다.
도 27 내지 도 32는 다른 실시예에 따른 제조 방법을 설명하기 위한 도면이다.
도 33 내지 도 42는 다른 실시예에 따른 제조 방법을 설명하기 위한 도면이다.
도 43 내지 도 48는 다른 실시예에 따른 제조 방법을 설명하기 위한 도면이다.
도 49a 내지 도 49d는 스토리지노드 콘택 플러그의 형성 방법을 상세히 도시한 평면도이다.
1 is a plan view illustrating a semiconductor device according to an exemplary embodiment.
FIG. 2A is a cross-sectional view taken along lines A-A' and B-B' of FIG. 1 .
2B is a detailed enlarged view of a storage node contact plug.
3 to 26 are diagrams illustrating an embodiment of a method of manufacturing a semiconductor device.
27 to 32 are views for explaining a manufacturing method according to another embodiment.
33 to 42 are views for explaining a manufacturing method according to another embodiment.
43 to 48 are views for explaining a manufacturing method according to another embodiment.
49A to 49D are plan views illustrating a method of forming a storage node contact plug in detail.

본 명세서에서 기재하는 실시예들은 본 발명의 이상적인 개략도인 단면도, 평면도 및 블록도를 참고하여 설명될 것이다. 따라서, 제조 기술 및/또는 허용 오차 등에 의해 예시도의 형태가 변형될 수 있다. 따라서, 본 발명의 실시예들은 도시된 특정 형태로 제한되는 것이 아니라 제조 공정에 따라 생성되는 형태의 변화도 포함하는 것이다. 따라서, 도면에서 예시된 영역들은 개략적인 속성을 가지며, 도면에서 예시된 영역들의 모양은 소자의 영역의 특정 형태를 예시하기 위한 것이고, 발명의 범주를 제한하기 위한 것은 아니다.The embodiments described herein will be described with reference to cross-sectional, plan and block diagrams, which are ideal schematic diagrams of the present invention. Accordingly, the shape of the illustrative drawings may be modified due to manufacturing techniques and/or tolerances. Therefore, embodiments of the present invention are not limited to the specific shapes shown, but also include changes in shapes generated according to manufacturing processes. Accordingly, the regions illustrated in the drawings have schematic properties, and the shapes of the regions illustrated in the drawings are intended to illustrate a specific shape of a region of a device and are not intended to limit the scope of the invention.

도 1은 실시예에 따른 반도체 장치를 도시한 평면도이다. 도 2a는 도 1의 A-A'선 및 B-B'선에 따른 단면도이다. 도 2b는 스토리지노드 콘택 플러그(SNC)의 상세도 확대도이다.1 is a plan view illustrating a semiconductor device according to an exemplary embodiment. FIG. 2A is a cross-sectional view taken along lines A-A' and B-B' of FIG. 1 . 2B is a detailed enlarged view of a storage node contact plug (SNC).

반도체 장치(100)는 복수의 메모리 셀(memory cell)을 포함할 수 있다. 각각의 메모리 셀은 매립 워드라인(buried word line, 207)을 포함하는 셀 트랜지스터, 비트라인(bit line, 213) 및 메모리 요소(memory element, 230)를 포함할 수 있다. The semiconductor device 100 may include a plurality of memory cells. Each memory cell may include a cell transistor including a buried word line 207 , a bit line 213 , and a memory element 230 .

반도체 장치(200)를 자세히 살펴보기로 한다.The semiconductor device 200 will be examined in detail.

기판(201)에 소자분리층(isolation layer, 202) 및 활성영역(active region, 203)이 형성될 수 있다. 소자분리층(202)에 의해 복수의 활성영역(203)이 정의될 수 있다. 기판(201)은 반도체 프로세싱에 적합한 물질일 수 있다. 기판(201)은 반도체 기판을 포함할 수 있다. 기판(201)은 실리콘을 함유하는 물질로 이루어질 수 있다. 기판(201)은 실리콘, 단결정 실리콘, 폴리실리콘, 비정질 실리콘, 실리콘저마늄, 단결정 실리콘저마늄, 다결정 실리콘저마늄, 탄소 도핑된 실리콘, 그들의 조합 또는 그들의 다층을 포함할 수 있다. 기판(201)은 저마늄과 같은 다른 반도체물질을 포함할 수도 있다. 기판(201)은 Ⅲ/Ⅴ족 반도체 기판, 예컨대 GaAs과 같은 화합물반도체 기판을 포함할 수도 있다. 기판(201)은 SOI(Silicon On Insulator) 기판을 포함할 수도 있다. 소자분리층(202)은 STI(Shallow Trench Isolation) 공정에 의해 형성될 수 있다.An isolation layer 202 and an active region 203 may be formed on the substrate 201 . A plurality of active regions 203 may be defined by the device isolation layer 202 . Substrate 201 may be a material suitable for semiconductor processing. The substrate 201 may include a semiconductor substrate. The substrate 201 may be made of a material containing silicon. Substrate 201 may include silicon, single crystal silicon, polysilicon, amorphous silicon, silicon germanium, single crystal silicon germanium, polycrystalline silicon germanium, carbon doped silicon, combinations thereof, or multilayers thereof. Substrate 201 may also include other semiconductor materials such as germanium. The substrate 201 may include a III/V group semiconductor substrate, for example, a compound semiconductor substrate such as GaAs. The substrate 201 may include a silicon on insulator (SOI) substrate. The device isolation layer 202 may be formed by a shallow trench isolation (STI) process.

기판(201)에 게이트 트렌치(gate trench, 205)가 형성될 수 있다. 게이트 트렌치(205)의 표면 상에 게이트 절연층(206)이 형성될 수 있다. 게이트 절연층(206) 상에 게이트 트렌치(205)를 부분적으로 채우는 매립 워드라인(207)이 형성될 수 있다. 매립 워드라인(207) 상에 게이트 캡핑층(208)이 형성될 수 있다. 매립 워드라인(207)의 상부 표면은 기판(201)의 표면보다 낮은 레벨일 수 있다. 매립 워드라인(207)은 저저항 금속 물질(low resistivity metal material)일 수 있다. 매립 워드라인(207)은 티타늄질화물(TiN)과 텅스텐(W)이 차례로 적층될 수 있다. 다른 실시예에서, 매립 워드라인(207)은 티타늄질화물 단독(TiN Only)으로 형성될 수 있다. 매립 워드라인(206)은 '매립 게이트 전극'이라고 지칭될 수 있다. 매립 워드라인(207)은 제1 방향(D1)을 따라 연장될 수 있다. 게이트 트렌치(205), 게이트 절연층(206), 매립 워드라인(208) 및 게이트 캡핑층(208)은 매립 워드라인 구조물(BWL)이라고 지칭할 수 있다.A gate trench 205 may be formed in the substrate 201 . A gate insulating layer 206 may be formed on a surface of the gate trench 205 . A buried word line 207 partially filling the gate trench 205 may be formed on the gate insulating layer 206 . A gate capping layer 208 may be formed on the buried word line 207 . An upper surface of the buried word line 207 may be at a lower level than the surface of the substrate 201 . The buried word line 207 may be a low resistivity metal material. In the buried word line 207 , titanium nitride (TiN) and tungsten (W) may be sequentially stacked. In another embodiment, the buried word line 207 may be formed of titanium nitride only (TiN Only). The buried word line 206 may be referred to as a 'buried gate electrode'. The buried word line 207 may extend along the first direction D1. The gate trench 205, the gate insulating layer 206, the buried word line 208, and the gate capping layer 208 may be referred to as a buried word line structure (BWL).

기판(201)에 제1 및 제2 불순물 영역(209, 210)이 형성될 수 있다. 제1 및 제2 불순물 영역(209, 210)은 게이트 트렌치(205)에 의해 서로 이격될 수 있다. 제1 및 제2 불순물 영역(209, 210)은 소스/드레인 영역이라고 지칭될 수 있다. 제1 및 제2 불순물 영역(209, 210)은 비소(As) 또는 인(P) 등의 N형 불순물을 포함할 수 있다. 이로써, 매립 워드라인(207), 제1 및 제2 불순물 영역(209, 210)은 셀 트랜지스터를 구성할 수 있다. 셀 트랜지스터는 매립 워드라인(207)에 의해 숏채널효과(short channel effect)를 개선할 수 있다. First and second impurity regions 209 and 210 may be formed on the substrate 201 . The first and second impurity regions 209 and 210 may be spaced apart from each other by the gate trench 205 . The first and second impurity regions 209 and 210 may be referred to as source/drain regions. The first and second impurity regions 209 and 210 may include N-type impurities such as arsenic (As) or phosphorus (P). Thus, the buried word line 207 and the first and second impurity regions 209 and 210 may constitute a cell transistor. The cell transistor can improve a short channel effect by the buried word line 207 .

기판(201) 상에 비트라인 콘택 플러그(212)가 형성될 수 있다. 비트라인 콘택 플러그(212)는 제1 불순물 영역(209)에 접속될 수 있다. 비트라인 콘택 플러그(212)는 비트라인 콘택홀(211) 내에 위치할 수 있다. 비트라인 콘택홀(211)은 하드마스크층(204)을 관통하여 기판(201)으로 연장될 수 있다. 하드마스크층(204)은 기판(201) 상에 형성될 수 있다. 하드마스크층(204)은 절연물질을 포함할 수 있다. 비트라인 콘택홀(211)은 제1 불순물 영역(209)을 노출시킬 수 있다. 비트라인 콘택 플러그(212)의 하부면은 소자분리층(202) 및 활성영역(203)의 상부면보다 낮을 수 있다. 비트라인 콘택 플러그(212)는 폴리실리콘 또는 금속물질로 형성될 수 있다. 비트라인 콘택 플러그(212)의 일부는 비트라 인콘택홀(211)의 직경보다 더 작은 선폭을 가질 수 있다. 비트라인 콘택 플러그(212) 상에 비트라인(213)이 형성될 수 있다. 비트라인(213) 상에 비트라인 하드마스크(214)가 형성될 수 있다. 비트라인 콘택 플러그(212), 비트라인(213) 및 비트라인 하드마스크(214)의 적층구조물은 비트라인구조물(BL)이라고 지칭할 수 있다. 비트라인(213)은 매립 워드라인(207)과 교차하는 제2 방향(D2)을 따라 연장된 라인 형상을 가질 수 있다. 비트라인(213)의 일부는 비트라인 콘택 플러그(212)와 접속될 수 있다. A-A' 방향에서 볼 때, 비트라인(213)과 비트라인 콘택 플러그(212)는 선폭(line width)이 동일할 수 있다. 따라서, 비트라인(213)은 비트라인 콘택 플러그(212)를 커버링하면서 제2 방향(D2)을 따라 연장될 수 있다. 비트라인(213)은 텅스텐과 같은 금속물질을 포함할 수 있다. 비트라인 하드마스크(214)는 실리콘질화물과 같은 절연물질을 포함할 수 있다.A bit line contact plug 212 may be formed on the substrate 201 . The bit line contact plug 212 may be connected to the first impurity region 209 . The bit line contact plug 212 may be located in the bit line contact hole 211 . The bit line contact hole 211 may pass through the hard mask layer 204 and extend to the substrate 201 . A hard mask layer 204 may be formed on the substrate 201 . The hard mask layer 204 may include an insulating material. The bit line contact hole 211 may expose the first impurity region 209 . A lower surface of the bit line contact plug 212 may be lower than upper surfaces of the isolation layer 202 and the active region 203 . The bit line contact plug 212 may be formed of polysilicon or a metal material. A part of the bit line contact plug 212 may have a smaller line width than the diameter of the bit line contact hole 211 . A bit line 213 may be formed on the bit line contact plug 212 . A bit line hard mask 214 may be formed on the bit line 213 . A stacked structure of the bit line contact plug 212 , the bit line 213 , and the bit line hard mask 214 may be referred to as a bit line structure BL. The bit line 213 may have a line shape extending along the second direction D2 intersecting the buried word line 207 . A portion of the bit line 213 may be connected to the bit line contact plug 212 . When viewed from the A-A' direction, the bit line 213 and the bit line contact plug 212 may have the same line width. Accordingly, the bit line 213 may extend along the second direction D2 while covering the bit line contact plug 212 . The bit line 213 may include a metal material such as tungsten. The bit line hard mask 214 may include an insulating material such as silicon nitride.

비트라인 콘택 플러그(212)의 측벽에 비트라인 콘택 스페이서(BLCS)가 형성될 수 있다. 비트라인 콘택 스페이서(BLCS)는 제1 스페이서(215) 및 갭필 스페이서(215G)를 포함할 수 있다. 비트라인(213)의 측벽에 비트라인 스페이서(BLS)가 형성될 수 있다. 비트라인 스페이서(BLS)는 제1 스페이서(215) 및 제2 스페이서(216)를 포함할 수 있다. 제1 스페이서(215)는 비트라인 콘택 플러그(212)의 양측벽에 형성되도록 연장될 수 있다. 제1 스페이서(215) 및 제2 스페이서(216)는 실리콘질화물을 포함할 수 있다. 제1 스페이서(215)는 약 10Å 이하의 두께일 수 있다. 제1 스페이서(215)는 약 10Å 이하의 극히 얇은 실리콘질화물(Ultra thin silicon nitride)을 포함할 수 있다. 제1 스페이서(215)는 제2 스페이서(216)보다 얇을 수 있다. 예를 들어, 제2 스페이서(216)는 제1 스페이서(215)의 2배 두께일 수 있다.A bit line contact spacer BLCS may be formed on a sidewall of the bit line contact plug 212 . The bit line contact spacer BLCS may include a first spacer 215 and a gap fill spacer 215G. A bit line spacer BLS may be formed on a sidewall of the bit line 213 . The bit line spacer BLS may include a first spacer 215 and a second spacer 216 . The first spacer 215 may extend to be formed on both side walls of the bit line contact plug 212 . The first spacer 215 and the second spacer 216 may include silicon nitride. The first spacer 215 may have a thickness of about 10 Å or less. The first spacer 215 may include ultra thin silicon nitride of about 10 Å or less. The first spacer 215 may be thinner than the second spacer 216 . For example, the second spacer 216 may be twice as thick as the first spacer 215 .

비트라인 콘택홀(211)은 비트라인 콘택 플러그(212) 및 비트라인 콘택 스페이서(BLCS)로 채워질 수 있다.The bit line contact hole 211 may be filled with a bit line contact plug 212 and a bit line contact spacer (BLCS).

이웃하는 비트라인구조물 사이에 스토리지노드 콘택 플러그(SNC)가 형성될 수 있다. 스토리지노드 콘택 플러그(SNC)는 제2 불순물영역(210)에 접속될 수 있다. 스토리지노드 콘택 플러그(SNC)는 하부 플러그(lower plug, 217), 상부 플러그(upper plug, 218) 및 랜딩 패드(landing pad, 220)를 포함할 수 있다. 하부 플러그(217)와 상부 플러그(218)는 듀얼 콘택 플러그라고 지칭할 수 있다. 스토리지노드 콘택 플러그(SNC)는 상부 플러그(218)와 랜딩 패드(220) 사이의 오믹콘택층(ohmic contact layer, 219)을 더 포함할 수 있다. 오믹콘택층(219)은 금속실리사이드를 포함할 수 있다. 예를 들어, 하부 플러그(217) 및 상부 플러그(218)는 폴리실리콘을 포함할 수 있고, 랜딩 패드(220)는 금속질화물, 금속물질 또는 이들의 조합을 포함할 수 있다. A storage node contact plug (SNC) may be formed between adjacent bit line structures. The storage node contact plug SNC may be connected to the second impurity region 210 . The storage node contact plug (SNC) may include a lower plug 217 , an upper plug 218 , and a landing pad 220 . The lower plug 217 and the upper plug 218 may be referred to as a dual contact plug. The storage node contact plug SNC may further include an ohmic contact layer 219 between the upper plug 218 and the landing pad 220 . The ohmic contact layer 219 may include metal silicide. For example, the lower plug 217 and the upper plug 218 may include polysilicon, and the landing pad 220 may include a metal nitride, a metal material, or a combination thereof.

비트라인구조물과 평행하는 방향에서 볼 때, 이웃하는 스토리지노드 콘택 플러그(SNC) 사이에 플러그 분리층(221)이 형성될 수 있다. 플러그 분리층(221)은 이웃하는 비트라인구조물 사이에 형성될 수 있다. 플러그 분리층들(221)에 의해 이웃하는 스토리지노드 콘택 플러그들(SNC)이 분리될 수 있다. 이웃하는 비트라인구조물 사이에서, 복수의 플러그 분리층(221)과 복수의 스토리지노드 콘택 플러그(SNC)가 번갈아 교대로 위치할 수 있다.When viewed from a direction parallel to the bit line structure, a plug isolation layer 221 may be formed between neighboring storage node contact plugs SNC. The plug isolation layer 221 may be formed between adjacent bit line structures. Neighboring storage node contact plugs SNC may be separated by the plug separation layers 221 . A plurality of plug separation layers 221 and a plurality of storage node contact plugs SNC may be alternately positioned between adjacent bit line structures.

랜딩 패드(220) 상에 메모리 요소(230)가 형성될 수 있다. 메모리 요소(230)는 스토리지노드를 포함하는 캐패시터를 포함할 수 있다. 스토리지노드는 필라 형태(Pillar type)를 포함할 수 있다. 도시하지 않았으나, 스토리지노드 상에 유전층 및 플레이트노드가 더 형성될 수 있다. 스토리지노드는 필라형태 외에 실린더형태가 될 수도 있다.A memory element 230 may be formed on the landing pad 220 . The memory element 230 may include a capacitor including a storage node. The storage node may include a pillar type. Although not shown, a dielectric layer and a plate node may be further formed on the storage node. The storage node may have a cylinder shape in addition to a pillar shape.

도 2b를 다시 참조하면, 스토리지노드 콘택 플러그(SNC)의 하부 플러그(217)는 광폭 플러그(wide plug, 217L) 및 협폭 플러그(narrow plug, 217U)를 포함할 수 있다. 광폭 플러그(217L)와 협폭 플러그(217U)는 동일 물질일 수 있으나, 서로 불연속되는 계면을 가질 수 있다. 즉, 광폭 플러그(217L)와 협폭 플러그(217U)는 서로 다른 공정에 의해 형성될 수 있다. 광폭 플러그(217L)의 선폭(L1)은 협폭 플러그(217U)의 선폭(L2)보다 클 수 있고, 협폭 플러그(217U)의 선폭(L2)은 상부 플러그(218)의 선폭(L3)보다 작을 수 있다. 광폭 플러그(217L)의 선폭(L1)과 상부 플러그(218)의 선폭(L3)은 서로 같을 수 있다. 다른 실시예에서, 상부 플러그(218)의 선폭(L3)은 광폭 플러그(217L)의 선폭(L1)보다 더 클 수 있다.Referring back to FIG. 2B , the lower plug 217 of the storage node contact plug (SNC) may include a wide plug 217L and a narrow plug 217U. The wide plug 217L and the narrow plug 217U may be made of the same material, but may have discontinuous interfaces. That is, the wide plug 217L and the narrow plug 217U may be formed by different processes. The line width L1 of the wide plug 217L may be larger than the line width L2 of the narrow plug 217U, and the line width L2 of the narrow plug 217U may be smaller than the line width L3 of the upper plug 218. there is. A line width L1 of the wide plug 217L and a line width L3 of the upper plug 218 may be equal to each other. In another embodiment, the line width L3 of the upper plug 218 may be greater than the line width L1 of the wide plug 217L.

도 2a를 다시 참조하면, 스토리지노드 콘택 플러그(SNC)의 하부 플러그(217)는 갭필 스페이서(215G)의 내측으로 수평하게 연장될 수 있다. 또한, 하부 플러그(217)는 제2 불순물영역(210)의 내측으로 수평하게 연장될 수 있다.Referring back to FIG. 2A , the lower plug 217 of the storage node contact plug (SNC) may extend horizontally into the gap fill spacer 215G. Also, the lower plug 217 may horizontally extend into the second impurity region 210 .

상술한 바에 따르면, 비트라인 콘택 플러그(212)와 스토리지노드 콘택 플러그(SNC)의 하부 플러그(217) 사이에 제1 스페이서(215) 및 갭필 스페이서(215G)의 이중 스페이서(double spacer)가 위치할 수 있다. 비트라인(213)과 스토리지노드 콘택 플러그(SNC) 사이에 제1 스페이서(215) 및 제2 스페이서(216)의 이중 스페이서가 위치할 수 있다. 제2 스페이서(216)는 제1 스페이서(215)보다 두꺼울 수 있다.As described above, the first spacer 215 and the double spacer of the gap fill spacer 215G are positioned between the bit line contact plug 212 and the lower plug 217 of the storage node contact plug (SNC). can A double spacer of a first spacer 215 and a second spacer 216 may be positioned between the bit line 213 and the storage node contact plug SNC. The second spacer 216 may be thicker than the first spacer 215 .

제1 스페이서(215) 및 갭필 스페이서(215G)는 실리콘질화물을 포함할 수 있고, 제2 스페이서(216)는 실리콘산화물을 포함할 수 있다. 이에 따라, 비트라인(213)과 스토리지노드 콘택 플러그(SNC)의 하부 플러그(217) 사이에 N-O(Nitride-Oxide) 구조의 비트라인 스페이서(BLS)가 제공될 수 있고, 비트라인 콘택 플러그(213)와 스토리지노드 콘택 플러그(SNC)의 하부 플러그(217) 사이에 N-N(Nitride-Nitride) 구조의 비트라인 콘택 스페이서(BLCS)가 제공될 수 있다.The first spacer 215 and the gap-fill spacer 215G may include silicon nitride, and the second spacer 216 may include silicon oxide. Accordingly, a nitride-oxide (N-O) structure bit line spacer (BLS) may be provided between the bit line 213 and the lower plug 217 of the storage node contact plug (SNC), and the bit line contact plug 213 ) and the lower plug 217 of the storage node contact plug SNC, a bit line contact spacer BLCS having a nitride-nitride (N-N) structure may be provided.

플러그 분리층(221)은 실리콘질화물 또는 저유전율물질을 포함할 수 있다. 플러그 분리층(221)이 저유전율 물질을 포함하는 경우, 플러그 분리층(221)을 사이에 두고 이웃하는 스토리지노드 콘택 플러그(SNC) 사이의 기생 캐패시턴스를 감소시킬 수 있다. The plug isolation layer 221 may include silicon nitride or a low dielectric constant material. When the plug isolation layer 221 includes a low-k material, parasitic capacitance between adjacent storage node contact plugs SNC with the plug isolation layer 221 interposed therebetween may be reduced.

다른 실시예에서, 제2 스페이서(216)는 에어갭(air gap)으로 대체될 수 있다. In other embodiments, the second spacer 216 may be replaced with an air gap.

도 1 내지 도 2b에 따르면, 본 실시예는 비트라인 스페이서(BLS)에서 차지하는 실리콘질화물, 즉 제1 스페이서(215)의 두께를 얇게 하므로, 기생 캐패시턴스가 증가하는 것을 억제할 수 있다.According to FIGS. 1 to 2B , since the thickness of silicon nitride, that is, the first spacer 215 occupied by the bit line spacer BLS is thinned, an increase in parasitic capacitance can be suppressed.

도 3 내지 도 26은 반도체 장치를 제조하는 방법의 일 실시예를 도시한 도면이다. 도 3 내지 도 26는 도 1의 A-A'선 및 B-B'에 따른 제조 방법을 설명하기 위한 단면도들이다.3 to 26 are diagrams illustrating an embodiment of a method of manufacturing a semiconductor device. 3 to 26 are cross-sectional views for explaining a manufacturing method taken along lines AA' and BB' of FIG. 1 .

도 3에 도시된 바와 같이, 기판(11)에 소자분리층(12)이 형성될 수 있다. 소자분리층(12)에 의해 복수의 활성영역(13)이 정의된다. 소자분리층(12)은 STI(shallow trench isolation) 공정에 의해 형성될 수 있다. STI 공정은 다음과 같다. 기판(11)을 식각하여 분리트렌치(isolation trench, 도면부호 생략)를 형성한다. 분리트렌치는 절연물질로 채워지고, 이에 따라 소자분리층(12)이 형성된다. 소자분리층(12)은 실리콘산화물, 실리콘질화물 또는 이들의 조합을 포함할 수 있다. 화학기상증착(CVD) 또는 다른 증착공정은 절연물질로 분리트렌치를 채우는데 사용될 수 있다. CMP(chemical-mechanical polishing)와 같은 평탄화 공정(planarization process)이 부가적으로 사용될 수 있다.As shown in FIG. 3 , a device isolation layer 12 may be formed on the substrate 11 . A plurality of active regions 13 are defined by the device isolation layer 12 . The device isolation layer 12 may be formed by a shallow trench isolation (STI) process. The STI process is as follows. The substrate 11 is etched to form an isolation trench (reference numeral omitted). The isolation trench is filled with an insulating material, and thus the device isolation layer 12 is formed. The device isolation layer 12 may include silicon oxide, silicon nitride, or a combination thereof. Chemical vapor deposition (CVD) or other deposition processes may be used to fill the isolation trench with an insulating material. A planarization process such as chemical-mechanical polishing (CMP) may additionally be used.

다음으로, 기판(11) 내에 매립 워드라인구조물이 형성될 수 있다. 매립 워드라인구조물은 게이트 트렌치(15), 게이트 트렌치(15)의 바닥면과 측벽을 커버링하는 게이트 절연층(16), 게이트 절연층(16) 상에서 게이트 트렌치(15)를 부분적으로 채우는 매립 워드라인(17), 매립 워드라인(17) 상에 형성된 게이트 캡핑층(18)을 포함할 수 있다. Next, a buried word line structure may be formed in the substrate 11 . The buried word line structure includes a gate trench 15, a gate insulating layer 16 covering the bottom surface and sidewalls of the gate trench 15, and a buried word line partially filling the gate trench 15 on the gate insulating layer 16. (17), and a gate capping layer 18 formed on the buried word line 17.

매립 워드라인구조물을 형성하는 방법은 다음과 같다.A method of forming the buried word line structure is as follows.

먼저, 기판(11) 내에 게이트 트렌치(15)가 형성될 수 있다. 게이트 트렌치(15)는 활성영역들(13) 및 소자분리층(12)을 횡단하는 라인 형상을 가질 수 있다. 게이트 트렌치(15)는 기판(11) 상에 마스크패턴(도시 생략)을 형성하고, 마스크 패턴을 식각 마스크로 이용한 식각 공정에 의해 형성될 수 있다. 게이트 트렌치(15)를 형성하기 위해, 식각장벽으로서 하드마스크층(14)이 사용될 수 있다. 하드마스크층(14)은 마스크패턴에 의해 패터닝된 형상일 수 있다. 하드마스크층(14)은 실리콘산화물을 포함할 수 있다. 하드마스크층(14)은 TEOS(Tetra Ethyl Ortho Silicate)를 포함할 수 있다. 게이트 트렌치(15)의 저면은 소자분리층(12)의 저면보다 높은 레벨일 수 있다. First, a gate trench 15 may be formed in the substrate 11 . The gate trench 15 may have a line shape crossing the active regions 13 and the device isolation layer 12 . The gate trench 15 may be formed by forming a mask pattern (not shown) on the substrate 11 and performing an etching process using the mask pattern as an etching mask. To form the gate trench 15 , a hard mask layer 14 may be used as an etch barrier. The hard mask layer 14 may have a shape patterned by a mask pattern. The hard mask layer 14 may include silicon oxide. The hard mask layer 14 may include tetra ethyl ortho silicate (TEOS). A bottom surface of the gate trench 15 may be at a higher level than a bottom surface of the isolation layer 12 .

도시하지 않았으나, 소자분리층(12)의 일부를 리세스시켜 게이트 트렌치(15) 아래의 활성영역(13)을 돌출시킬 수 있다. 예를 들어, 도 1의 제2 방향(D2)을 따라 게이트 트렌치(15) 아래의 소자분리층(12)을 선택적으로 리세스시킬 수 있다. 이에 따라, 게이트 트렌치(15) 아래에 핀영역(fin region, 도면부호 생략)이 형성될 수 있다. 핀영역은 채널영역의 일부가 될 수 있다.Although not shown, the active region 13 under the gate trench 15 may protrude by recessing a portion of the isolation layer 12 . For example, the device isolation layer 12 under the gate trench 15 may be selectively recessed along the second direction D2 of FIG. 1 . Accordingly, a fin region (reference numeral omitted) may be formed under the gate trench 15 . The pin area may be part of the channel area.

다음으로, 게이트 트렌치(15)의 바닥면 및 측벽들 상에 게이트 절연층(16)이 형성될 수 있다. 게이트 절연층(16)을 형성하기 전에, 게이트 트렌치(15) 표면의 식각손상을 치유할 수 있다. 예컨대, 열산화 처리에 의해 희생산화물을 형성한 후, 희생산화물을 제거할 수 있다.Next, a gate insulating layer 16 may be formed on the bottom surface and sidewalls of the gate trench 15 . Before forming the gate insulating layer 16 , etch damage on the surface of the gate trench 15 may be healed. For example, after forming the sacrificial oxide by thermal oxidation treatment, the sacrificial oxide may be removed.

게이트 절연층(16)은 열산화 공정(Thermal Oxidation)에 의해 형성될 수 있다. 예컨대, 게이트 트렌치(15)의 바닥 및 측벽들을 산화시켜 게이트 절연층(16)을 형성할 수 있다. The gate insulating layer 16 may be formed by a thermal oxidation process. For example, the gate insulating layer 16 may be formed by oxidizing the bottom and sidewalls of the gate trench 15 .

다른 실시예에서, 게이트 절연층(16)은 화학기상증착(Chemical Vapor Deposition; CVD) 또는 원자층증착(Atomic Layer Deposition; ALD) 등의 증착법에 의해 형성될 수 있다. 게이트 절연층(16)은 고유전율물질(high-k material), 산화물, 질화물, 산화 질화물 또는 이들의 조합을 포함할 수 있다. 고유전율물질은 하프늄함유물질을 포함할 수 있다. 하프늄함유물질은 하프늄산화물, 하프늄실리콘산화물, 하프늄실리콘산화질화물 또는 그들의 조합을 포함할 수 있다. 다른 실시예에서, 고유전율물질은 란탄산화물, 란탄알루미늄산화물, 지르코늄산화물, 지르코늄실리콘산화물, 지르코늄실리콘산화질화물, 알루미늄산화물 및 그들의 조합을 포함할 수 있다.In another embodiment, the gate insulating layer 16 may be formed by a deposition method such as chemical vapor deposition (CVD) or atomic layer deposition (ALD). The gate insulating layer 16 may include a high-k material, an oxide, a nitride, an oxynitride, or a combination thereof. The high dielectric constant material may include a hafnium-containing material. The hafnium-containing material may include hafnium oxide, hafnium silicon oxide, hafnium silicon oxynitride, or a combination thereof. In other embodiments, the high dielectric constant material may include lanthanum oxide, lanthanum aluminum oxide, zirconium oxide, zirconium silicon oxide, zirconium silicon oxynitride, aluminum oxide, and combinations thereof.

다른 실시예에서, 게이트 절연층(16)은 라이너폴리실리콘층을 증착한 후, 라이너폴리실리콘층을 라디칼산화시켜 형성할 수 있다.In another embodiment, the gate insulating layer 16 may be formed by depositing a liner polysilicon layer and then radical oxidizing the liner polysilicon layer.

또다른 실시예에서, 게이트 절연층(16)은 라이너실리콘질화물층을 형성한 후, 라이너실리콘질화물층을 라디칼산화시켜 형성할 수도 있다.In another embodiment, the gate insulating layer 16 may be formed by radical oxidizing the liner silicon nitride layer after forming the liner silicon nitride layer.

다음으로, 게이트 절연층(16) 상에 매립 워드라인(17)이 형성될 수 있다. 매립 워드라인(17)을 형성하기 위해, 게이트 트렌치(15)를 채우도록 도전층(도시 생략)을 형성한 후 리세싱 공정을 수행할 수 있다. 리세싱 공정은 에치백(etchback) 공정으로 수행하거나 또는 CMP(Chemical mechanical polishing) 공정 및 에치백공정을 순차적으로 수행할 수 있다. 매립 워드라인(17)은 게이트 트렌치(15)를 부분으로 채우는 리세스된 형상을 가질 수 있다. 즉, 매립 워드라인(17)의 상부 표면은 활성영역(13)의 상부 표면보다 낮은 레벨일 수 있다. 매립 워드라인(17)은 금속, 금속질화물 또는 이들의 조합을 포함할 수 있다. 예컨대, 매립 워드라인(17)은 티타늄질화물(TiN), 텅스텐(W) 또는 티타늄질화물/텅스텐(TiN/W) 스택으로 형성될 수 있다. 티타늄질화물/텅스텐(TiN/W) 스택은 티타늄질화물을 컨포멀하게 형성한 후 텅스텐을 이용하여 게이트 트렌치(15)를 부분적으로 채우는 구조일 수 있다. 매립 워드라인(17)으로서 티타늄질화물은 단독으로 사용될 수 있으며, 이를 "TiN Only" 구조의 매립 워드라인(17)이라고 지칭할 수 있다. 매립 워드라인(17)으로서 티타늄질화물/텅스텐(TiN/W) 스택과 폴리실리콘층의 더블 게이트 구조가 사용될 수도 있다.Next, a buried word line 17 may be formed on the gate insulating layer 16 . To form the buried word line 17 , a recessing process may be performed after forming a conductive layer (not shown) to fill the gate trench 15 . The recessing process may be performed as an etchback process or a chemical mechanical polishing (CMP) process and an etchback process may be sequentially performed. The buried word line 17 may have a recessed shape partially filling the gate trench 15 . That is, the upper surface of the buried word line 17 may be at a lower level than the upper surface of the active region 13 . The buried word line 17 may include a metal, a metal nitride, or a combination thereof. For example, the buried word line 17 may be formed of a titanium nitride (TiN), tungsten (W), or titanium nitride/tungsten (TiN/W) stack. The titanium nitride/tungsten (TiN/W) stack may have a structure in which titanium nitride is conformally formed and then partially fills the gate trench 15 with tungsten. As the buried word line 17, titanium nitride may be used alone, and this may be referred to as a buried word line 17 having a “TiN Only” structure. As the buried word line 17, a double gate structure of a titanium nitride/tungsten (TiN/W) stack and a polysilicon layer may be used.

다음으로, 매립 워드라인(17) 상에 게이트 캡핑층(18)이 형성될 수 있다. 게이트 캡핑층(18)은 절연물질을 포함한다. 매립 워드라인(17) 상에서 게이트 트렌치(15)의 나머지 부분이 게이트 캡핑층(18)으로 채워진다. 게이트 캡핑층(18)은 실리콘질화물을 포함할 수 있다. 다른 실시예에서, 게이트 캡핑층(18)은 실리콘산화물을 포함할 수 있다. 또다른 실시예에서, 게이트 캡핑층(18)은 NON(Nitride-Oxide-Nitride) 구조일 수 있다. 게이트 캡핑층(18)의 상부 표면은 하드마스크층(14)의 상부 표면과 동일 레벨일 수 있다. 이를 위해, 게이트 캡핑층(18) 형성시 CMP 공정이 수행될 수 있다.Next, a gate capping layer 18 may be formed on the buried word line 17 . The gate capping layer 18 includes an insulating material. The remaining portion of the gate trench 15 on the buried word line 17 is filled with the gate capping layer 18 . The gate capping layer 18 may include silicon nitride. In another embodiment, the gate capping layer 18 may include silicon oxide. In another embodiment, the gate capping layer 18 may have a Nitride-Oxide-Nitride (NON) structure. An upper surface of the gate capping layer 18 may be at the same level as an upper surface of the hard mask layer 14 . To this end, a CMP process may be performed when forming the gate capping layer 18 .

게이트 캡핑층(18) 형성 이후에, 불순물영역들(19, 20)이 형성될 수 있다. 불순물영역들(19, 20)은 임플란트(Implantation) 등의 도핑 공정에 의해 형성될 수 있다. 불순물영역들(19, 20)은 제1 불순물 영역(19) 및 제2 불순물 영역(20)을 포함할 수 있다. 제1 및 제2 불순물 영역(19, 20)은 동일 도전형의 불순물로 도핑될 수 있다. 제1 및 제2 불순 물영역(19, 20)은 동일 깊이를 가질 수 있다. 다른 실시예에서, 제1 불순물 영역(19)은 제2 불순물 영역(20)보다 더 깊을 수 있다. 제1 및 제2 불순 물영역(19, 20)은 소스/드레인영역이라고 지칭될 수 있다. 제1 불순물 영역(19)은 비트라인 콘택 플러그가 접속될 영역일 수 있고, 제2 불순물 영역(20)은 각각 스토리지노드 콘택 플러그가 접속될 영역일 수 있다. 제1 불순 물영역(19)과 제2 불순물 영역(20)은 서로 다른 활성영역(13)에 위치할 수 있다. 또한, 제1 불순물 영역(19)과 제2 불순 물영역(20)은 게이트 트렌치들(15)에 의해 서로 이격되어 각각의 활성영역들(13)에 위치할 수도 있다.After forming the gate capping layer 18 , impurity regions 19 and 20 may be formed. The impurity regions 19 and 20 may be formed by a doping process such as implantation. The impurity regions 19 and 20 may include a first impurity region 19 and a second impurity region 20 . The first and second impurity regions 19 and 20 may be doped with impurities of the same conductivity type. The first and second impurity regions 19 and 20 may have the same depth. In another embodiment, the first impurity region 19 may be deeper than the second impurity region 20 . The first and second impurity regions 19 and 20 may be referred to as source/drain regions. The first impurity region 19 may be a region to which a bit line contact plug is connected, and the second impurity region 20 may be a region to which a storage node contact plug is connected. The first impurity region 19 and the second impurity region 20 may be located in different active regions 13 . In addition, the first impurity region 19 and the second impurity region 20 may be spaced apart from each other by gate trenches 15 and positioned in respective active regions 13 .

매립 워드라인(17), 제1 및 제2 불순 물영역(19, 20)에 의해 메모리 셀의 셀트랜지스터가 형성될 수 있다.A cell transistor of a memory cell may be formed by the buried word line 17 and the first and second impurity regions 19 and 20 .

도 4에 도시된 바와 같이, 비트라인 콘택홀(21)이 형성될 수 있다. 비트라인 콘택홀(21)을 형성하기 위해 콘택마스크(도시 생략)을 이용하여 하드마스크층(14)을 식각할 수 있다. 비트라인 콘택홀(21)은 평면상으로 볼 때 써클 형상 또는 타원 형상을 가질 수 있다. 비트라인 콘택홀(21)에 의해 기판(11)의 일부분이 노출될 수 있다. 비트라인 콘택홀(21)은 일정 선폭으로 제어된 직경을 가질 수 있다. 비트라인 콘택홀(21)은 활성영역(13)의 일부분을 노출시키는 형태가 될 수 있다. 예컨대, 비트라인 콘택홀(21)에 의해 제1 불순물 영역(19)이 노출된다. 비트라인 콘택홀(21)은 활성영역(13)의 단축의 폭보다 더 큰 직경을 갖는다. 따라서, 비트라인 콘택홀(21)을 형성하기 위한 식각 공정에서 제1 불순물 영역(19), 소자분리층(12) 및 게이트 캡핑층(18)의 일부가 식각될 수 있다. 즉, 비트라인 콘택홀(21) 아래의 게이트 캡핑층(18), 제1 불순물 영역(19) 및 소자분리층(12)이 일정 깊이 리세스될 수 있다. 이에 따라, 비트라인 콘택홀(21)의 저부를 기판(11) 내부로 확장시킬 수 있다. 비트라인 콘택홀(21)이 확장됨에 따라, 제1 불순물 영역(19)의 표면이 리세스될 수 있고, 제1 불순물 영역(19)의 표면은 활성영역(13)의 표면보다 낮은 레벨이 될 수 있다.As shown in FIG. 4 , a bit line contact hole 21 may be formed. The hard mask layer 14 may be etched using a contact mask (not shown) to form the bit line contact hole 21 . The bit line contact hole 21 may have a circle shape or an elliptical shape when viewed from a plan view. A portion of the substrate 11 may be exposed through the bit line contact hole 21 . The bit line contact hole 21 may have a controlled diameter with a constant line width. The bit line contact hole 21 may have a shape exposing a portion of the active region 13 . For example, the first impurity region 19 is exposed through the bit line contact hole 21 . The bit line contact hole 21 has a larger diameter than the width of the minor axis of the active region 13 . Accordingly, in an etching process for forming the bit line contact hole 21 , portions of the first impurity region 19 , the isolation layer 12 , and the gate capping layer 18 may be etched. That is, the gate capping layer 18, the first impurity region 19, and the isolation layer 12 under the bit line contact hole 21 may be recessed to a predetermined depth. Accordingly, the bottom of the bit line contact hole 21 may be extended into the substrate 11 . As the bit line contact hole 21 expands, the surface of the first impurity region 19 may be recessed, and the surface of the first impurity region 19 may be at a lower level than the surface of the active region 13. can

도 5에 도시된 바와 같이, 예비 플러그(Pre-plug, 22A)가 형성된다. 예비 플러그(22A)는 선택적에피택셜성장(selectively epitaxial growth, SEG)에 의해 형성될 수 있다. 예를 들어, 예비 플러그(22A)는 인(phosphorous)이 도핑된 에피택셜층, 예를 들어 SEG SiP을 포함할 수 있다. 이와 같이, 선택적에피택셜성장에 의해 보이드없이 예비 플러그(22A)를 형성할 수 있다. 다른 실시예에서, 예비 플러그(22A)는 폴리실리콘층 증착 및 CMP 공정에 의해 형성될 수 있다. 예비 플러그(22A)는 비트라인 콘택홀(21)을 채울 수 있다. 예비 플러그(22A)의 상부 표면은 하드마스크층(14)의 상부 표면과 동일 레벨일 수 있다.As shown in FIG. 5, a pre-plug 22A is formed. The preliminary plug 22A may be formed by selectively epitaxial growth (SEG). For example, the preliminary plug 22A may include an epitaxial layer doped with phosphorus, for example SEG SiP. In this way, the preliminary plug 22A can be formed without voids by the selective epitaxial growth. In another embodiment, the preliminary plug 22A may be formed by polysilicon layer deposition and a CMP process. The preliminary plug 22A may fill the bit line contact hole 21 . An upper surface of the preliminary plug 22A may be at the same level as an upper surface of the hard mask layer 14 .

도 6에 도시된 바와 같이, 비트라인 도전층(23A)과 비트라인 하드마스크층(24A)이 적층될 수 있다. 예비 플러그(22A) 및 하드마스크층(14) 상에 비트라인 도전층(23A)과 비트라인 하드마스크층(24A)을 순차적으로 적층할 수 있다. 비트라인 도전층(23A)은 금속함유물질을 포함한다. 비트라인 도전층(23A)은 금속, 금속질화물, 금속실리사이드 또는 이들의 조합을 포함할 수 있다. 본 실시예에서, 비트라인도전층(23A)은 텅스텐(W)을 포함할 수 있다. 다른 실시예에서, 비트라인 도전층(23A)은 티타늄질화물과 텅스텐의 적층(TiN/W)을 포함할 수 있다. 이때, 티타늄질화물은 배리어의 역할을 수행할 수 있다. 비트라인 하드마스크층(24A)은 비트라인도전층(23A) 및 예비 플러그(22A)에 대해 식각선택비를 갖는 절연물질로 형성될 수 있다. 비트라인 하드마스크층(24A)은 실리콘산화물 또는 실리콘질화물을 포함할 수 있다. 본 실시예에서, 비트라인 하드마스크층(24A)은 실리콘질화물로 형성될 수 있다.As shown in FIG. 6 , a bit line conductive layer 23A and a bit line hard mask layer 24A may be stacked. A bit line conductive layer 23A and a bit line hard mask layer 24A may be sequentially stacked on the preliminary plug 22A and the hard mask layer 14 . The bit line conductive layer 23A includes a metal-containing material. The bit line conductive layer 23A may include metal, metal nitride, metal silicide, or a combination thereof. In this embodiment, the bit line conductive layer 23A may include tungsten (W). In another embodiment, the bit line conductive layer 23A may include a stack of titanium nitride and tungsten (TiN/W). At this time, titanium nitride may serve as a barrier. The bit line hard mask layer 24A may be formed of an insulating material having an etch selectivity with respect to the bit line conductive layer 23A and the preliminary plug 22A. The bit line hard mask layer 24A may include silicon oxide or silicon nitride. In this embodiment, the bit line hard mask layer 24A may be formed of silicon nitride.

도 7에 도시된 바와 같이, 비트라인(23)과 비트라인 콘택 플러그(22)가 형성될 수 있다. 비트라인(23)과 비트라인 콘택 플러그(22)는 비트라인 마스크층(도시 생략)을 이용한 식각 공정에 의해 형성될 수 있다.As shown in FIG. 7 , a bit line 23 and a bit line contact plug 22 may be formed. The bit line 23 and the bit line contact plug 22 may be formed by an etching process using a bit line mask layer (not shown).

비트라인 마스크층을 식각장벽으로 하여 비트라인 하드마스크층(24A) 및 비트라인 도전층(23A)을 식각한다. 이에 따라, 비트라인(23) 및 비트라인하드마스크(24)가 형성될 수 있다. 비트라인(23)은 비트라인 도전층(23A)의 식각에 의해 형성될 수 있다. 비트라인 하드마스크(24)는 비트라인 하드마스크층(24A)의 식각에 의해 형성될 수 있다.The bit line hard mask layer 24A and the bit line conductive layer 23A are etched using the bit line mask layer as an etch barrier. Accordingly, the bit line 23 and the bit line hard mask 24 may be formed. The bit line 23 may be formed by etching the bit line conductive layer 23A. The bit line hard mask 24 may be formed by etching the bit line hard mask layer 24A.

연속해서, 비트라인(23)과 동일한 선폭으로, 예비 플러그(22A)를 식각할 수 있다. 이에 따라 비트라인 콘택 플러그(22)가 형성될 수 있다. 비트라인 콘택 플러그(22)는 제1 불순물 영역(19) 상에 형성될 수 있다. 비트라인 콘택 플러그(22)는 제1 불순물 영역(19)과 비트라인(23)을 상호 접속시킬 수 있다. 비트라인 콘택 플러그(22)는 비트라인 콘택홀(21) 내에 형성될 수 있다. 비트라인 콘택 플러그(22)의 선폭은 비트라인 콘택홀(21)의 직경보다 작다. 따라서, 비트라인 콘택 플러그(22)의 양측에 갭(gap, 25)이 정의될 수 있다.Subsequently, the preliminary plug 22A may be etched with the same line width as the bit line 23 . Accordingly, the bit line contact plug 22 may be formed. The bit line contact plug 22 may be formed on the first impurity region 19 . The bit line contact plug 22 may interconnect the first impurity region 19 and the bit line 23 . The bit line contact plug 22 may be formed in the bit line contact hole 21 . The line width of the bit line contact plug 22 is smaller than the diameter of the bit line contact hole 21 . Accordingly, gaps 25 may be defined on both sides of the bit line contact plug 22 .

상술한 바와 같이, 비트라인 콘택 플러그(22)가 형성되므로써 비트라인 콘택홀(21) 내에 갭(25)이 형성된다. 이는 비트라인 콘택 플러그(22)가 비트라인 콘택홀(21)의 직경보다 더 작게 식각되어 형성되기 때문이다. 갭(25)은 비트라인 콘택 플러그(22)를 에워싸는 서라운딩 형상이 아니라, 비트라인 콘택 플러그(22)의 양측벽에 독립적으로 형성된다. 결국, 비트라인 콘택홀(21) 내에는 하나의 비트라인 콘택 플러그(22)와 한 쌍의 갭(25)이 위치하며, 한 쌍의 갭(25)은 비트라인 콘택 플러그(22)에 의해 분리된다. 갭(25)의 저면은 소자분리층(12)의 내부로 확장될 수 있다. 갭(25)의 저면은 제1 불순물 영역(19)의 리세스된 상부 표면보다 낮은 레벨일 수도 있다.As described above, the gap 25 is formed in the bit line contact hole 21 by forming the bit line contact plug 22 . This is because the bit line contact plug 22 is etched and formed smaller than the diameter of the bit line contact hole 21 . The gap 25 does not have a surrounding shape surrounding the bit line contact plug 22 , but is formed independently on both side walls of the bit line contact plug 22 . As a result, one bit line contact plug 22 and a pair of gaps 25 are located in the bit line contact hole 21, and the pair of gaps 25 are separated by the bit line contact plug 22. do. A lower surface of the gap 25 may extend into the isolation layer 12 . The lower surface of the gap 25 may be at a level lower than the recessed upper surface of the first impurity region 19 .

비트라인 콘택 플러그(22), 비트라인(23) 및 비트라인 하드마스크(24)의 순서로 적층된 구조물은, 비트라인구조물이라고 지칭될 수 있다. 탑뷰로 볼 때, 즉, 도 1에서 참조한 바와 같이, 비트라인구조물(BL)은 어느 한 방향(D1)을 따라 길게 연장된 라인 형상의 패턴구조물일 수 있다.A structure in which the bit line contact plug 22 , the bit line 23 , and the bit line hard mask 24 are sequentially stacked may be referred to as a bit line structure. When viewed from a top view, that is, as referred to in FIG. 1 , the bit line structure BL may be a line-shaped pattern structure elongated along any one direction D1.

도 8에 도시된 바와 같이, 제1 스페이서층(26A)이 형성될 수 있다. 제1 스페이서층(26A)은 실리콘질화물을 포함할 수 있다.As shown in FIG. 8 , a first spacer layer 26A may be formed. The first spacer layer 26A may include silicon nitride.

도 9에 도시된 바와 같이, 제1 스페이서층(26A) 상부에 버퍼층(27A) 및 갭필물질층(28A)이 순차적으로 형성될 수 있다. 버퍼층(27A)은 제1 스페이서층(26A) 상에서 비트라인하드마스크(24)의 상단부 및 상단부 측벽들을 커버링할 수 있다. 버퍼층(27A)은 오버행(Overhang) 형상을 갖고 비-컨포멀(non-conformal)하게 형성될 수 있고, 이에 따라 비트라인(23)의 양측벽에 위치하지 않을 수 있다. 버퍼층(27A)은 실리콘산화물을 포함할 수 있다.As shown in FIG. 9 , a buffer layer 27A and a gap fill material layer 28A may be sequentially formed on the first spacer layer 26A. The buffer layer 27A may cover the upper end and sidewalls of the upper end of the bit line hard mask 24 on the first spacer layer 26A. The buffer layer 27A may have an overhang shape and be formed non-conformally, and thus may not be located on both side walls of the bit line 23 . The buffer layer 27A may include silicon oxide.

갭필물질층(28A)은 갭(25)을 채울 수 있다. 갭필물질층(28A)과 제1 스페이서층(26A)은 동일 물질일 수 있으나, 갭필물질층(28A)은 제1 스페이서층(26A)보다 두꺼울 수 있다. 갭필물질층(28A)은 실리콘질화물을 포함할 수 있다.The gap fill material layer 28A may fill the gap 25 . The gap fill material layer 28A and the first spacer layer 26A may be made of the same material, but the gap fill material layer 28A may be thicker than the first spacer layer 26A. The gap fill material layer 28A may include silicon nitride.

도 10에 도시된 바와 같이, 갭(25)을 채우는 갭필 스페이서(28)가 형성될 수 있다. 갭필 스페이서(28)를 형성하기 위해 갭필물질층(28A)의 트리밍(trimming) 공정이 수행될 수 있다. 갭필물질층(28A)의 트리밍 공정은 에치백 공정에 의해 수행될 수 있고, 버퍼층(27A)에 의해 제1 스페이서층(26A)의 상단부 측벽이 보호될 수 있다.As shown in FIG. 10 , a gap fill spacer 28 filling the gap 25 may be formed. A trimming process of the gap fill material layer 28A may be performed to form the gap fill spacer 28 . The trimming process of the gap fill material layer 28A may be performed by an etch-back process, and the upper sidewall of the first spacer layer 26A may be protected by the buffer layer 27A.

갭필 스페이서(28)를 형성한 후에, 버퍼층(27A)이 제거될 수 있다.After forming the gap fill spacers 28, the buffer layer 27A may be removed.

갭필 스페이서(28)의 상부면은 비트라인 콘택 플러그(22)의 상부면보다 낮은 레벨에 위치할 수 있다. 다른 실시예에서, 갭필 스페이서(28)의 상부면과 비트라인 콘택 플러그(22)의 상부면은 동일 레벨에 위치할 수 있다. An upper surface of the gap fill spacer 28 may be positioned at a lower level than an upper surface of the bit line contact plug 22 . In another embodiment, the upper surface of the gap fill spacer 28 and the upper surface of the bit line contact plug 22 may be positioned at the same level.

갭(25)은 제1 스페이서층(26A) 및 갭필 스페이서(28)의 이중층으로 채워질 수 있다. 갭필 스페이서(28)는 절연성 플러그 또는 플러깅 스페이서라고 지칭될 수 있다. 다른 실시예에서, 갭필 스페이서(28)는 실리콘산화물 또는 저유전율 물질로 형성될 수도 있다.The gap 25 may be filled with a double layer of a first spacer layer 26A and a gap fill spacer 28 . Gapfill spacer 28 may be referred to as an insulating plug or plugging spacer. In another embodiment, the gap fill spacer 28 may be formed of silicon oxide or a low-k material.

갭필 스페이서(28)가 형성 된 이후에, 이웃하는 비트라인(23) 사이에 라인형 오프닝(LO)이 정의될 수 있다. 비트라인(23) 및 비트라인 하드마스크(24)의 양측벽에 제1 스페이서층(26A)의 싱글층(single layer)이 잔류할 수 있다. 비트라인 콘택 플러그(22)의 양측벽에는 제1 스페이서층(26A)과 갭필 스페이서(28)의 이중층(bi-layer)이 잔류할 수 있다.After the gap-fill spacers 28 are formed, line-shaped openings LO may be defined between adjacent bit lines 23 . A single layer of the first spacer layer 26A may remain on both side walls of the bit line 23 and the bit line hard mask 24 . A bi-layer of the first spacer layer 26A and the gap-fill spacer 28 may remain on both side walls of the bit line contact plug 22 .

도 11에 도시된 바와 같이, 갭필 스페이서(28) 및 제1 스페이서층(26A) 상에 희생 스페이서층(29A)이 형성될 수 있다. 희생 스페이서층(29A)과 제1 스페이서층(26A)은 동일 물질을 포함할 수 있다. 예를 들어, 희생 스페이서층(29A)은 실리콘질화물을 포함할 수 있다.As shown in FIG. 11 , a sacrificial spacer layer 29A may be formed on the gap fill spacer 28 and the first spacer layer 26A. The sacrificial spacer layer 29A and the first spacer layer 26A may include the same material. For example, the sacrificial spacer layer 29A may include silicon nitride.

도 12에 도시된 바와 같이, 희생 스페이서층(29A) 상에 희생층(30A)이 형성될 수 있다. 희생층(30A)은 비트라인구조물 사이를 채울 수 있고, SOD(Spin On Dielectric)와 같은 실리콘산화물을 포함할 수 있다. As shown in FIG. 12 , a sacrificial layer 30A may be formed on the sacrificial spacer layer 29A. The sacrificial layer 30A may fill between the bit line structures and may include silicon oxide such as spin on dielectric (SOD).

후속하여, 비트라인 하드마스크(24)의 상부면이 노출되도록 희생층(30A) 및 희생 스페이서층(29A)이 평탄화될 수 있다. 희생층(30A)을 평탄화한 후에, 희생 스페이서(29)는 비트라인 구조물들 사이에 위치할 수 있다.Subsequently, the sacrificial layer 30A and the sacrificial spacer layer 29A may be planarized to expose the upper surface of the bit line hard mask 24 . After planarizing the sacrificial layer 30A, sacrificial spacers 29 may be placed between the bitline structures.

희생층(30A)의 평탄화 공정 이후에, 제1 스페이서층(26A)의 일부분이 평탄화되어 제1 스페이서(26)가 형성될 수 있다.After the planarization process of the sacrificial layer 30A, a portion of the first spacer layer 26A may be planarized to form the first spacer 26 .

도 13에 도시된 바와 같이, 희생층(30A)에 홀형 오프닝들(hole-shape opening, 31)이 형성될 수 있다. 홀형 오프닝들(31)은 희생층(30A)의 식각에 의해 형성될 수 있다. 비트라인(23)의 연장 방향에서, 즉, 이웃하는 비트라인구조물들 사이에서 홀형 오프닝들(31)과 희생층들(30A)이 교대로 번갈아 형성될 수 있다. 홀형 오프닝들(31)은 탑뷰로 볼 때, 사각형 형태의 홀 형상을 가질 수 있다.As shown in FIG. 13 , hole-shaped openings 31 may be formed in the sacrificial layer 30A. The hole-type openings 31 may be formed by etching the sacrificial layer 30A. The hole-type openings 31 and the sacrificial layers 30A may be alternately formed in the extending direction of the bit line 23, that is, between adjacent bit line structures. The hole-type openings 31 may have a square hole shape when viewed from a top view.

도 14에 도시된 바와 같이, 홀형 오프닝들(31)을 채우는 플러그 분리층(plug isolation layer, 32A)이 형성될 수 있다. 플러그 분리층들(32A)은 실리콘질화물 또는 저유전율 물질을 포함할 수 있다. 다른 실시예에서, 플러그 분리층들(32A)은 보론 함유 실리콘질화물을 포함할 수 있다. As shown in FIG. 14 , a plug isolation layer 32A filling the hole-type openings 31 may be formed. The plug separation layers 32A may include silicon nitride or a low dielectric constant material. In another embodiment, the plug isolation layers 32A may include silicon nitride containing boron.

도 15에 도시된 바와 같이, 희생층들(30A)을 제거할 수 있다. 이에 따라, 플러그 분리층들(32A) 사이에 복수의 초기 콘택 오프닝(initial contact opening, 33A)이 형성될 수 있다. 초기 콘택 오프닝들(33A)은 비트라인구조물들 사이의 희생 스페이서(29) 내에 형성될 수 있다. 초기 콘택 오프닝들(33A)은 제1 선폭(W1)을 가질 수 있다. 초기 콘택 오프닝들(33A)은 탑뷰로 볼 때, 정사각형 또는 직사각형과 같은 사각형 형태의 홀 형상을 가질 수 있다. As shown in FIG. 15 , the sacrificial layers 30A may be removed. Accordingly, a plurality of initial contact openings 33A may be formed between the plug isolation layers 32A. The initial contact openings 33A may be formed in the sacrificial spacer 29 between the bit line structures. The initial contact openings 33A may have a first line width W1. When viewed from a top view, the initial contact openings 33A may have a rectangular hole shape such as a square or a rectangle.

도 16에 도시된 바와 같이, 희생 스페이서(29) 및 플러그 분리층들(32A)을 트리밍(trimming)할 수 있다. 희생 스페이서(29) 및 플러그 분리층들(32A)의 트리밍은 에치백 공정에 의해 수행될 수 있다. 이와 같은 트리밍 공정에 의해, 콘택 오프닝(33)이 형성될 수 있다. 콘택 오프닝(33)은 제2 선폭(W2)을 가질 수 있다. 콘택 오프닝(33)은 초기 콘택 오프닝(33A)의 확장에 의해 얻어질 수 있다.As shown in FIG. 16 , the sacrificial spacer 29 and the plug isolation layers 32A may be trimmed. Trimming of the sacrificial spacer 29 and the plug isolation layers 32A may be performed by an etch-back process. Through this trimming process, the contact opening 33 may be formed. The contact opening 33 may have a second line width W2 . The contact opening 33 may be obtained by expanding the initial contact opening 33A.

비트라인구조물들 사이에서 희생 스페이서(29)가 모두 제거될 수 있고, 콘택 오프닝들(33) 아래의 희생 스페이서(29)가 리세스될 수 있다. 다른 실시예에서, 콘택 오프닝들(33) 아래에서 희생 스페이서(29)가 모두 제거될 수도 있다.All of the sacrificial spacers 29 between the bit line structures may be removed, and the sacrificial spacers 29 under the contact openings 33 may be recessed. In another embodiment, all of the sacrificial spacers 29 under the contact openings 33 may be removed.

도 17에 도시된 바와 같이, 콘택 오프닝들(33) 상에 금속성 희생물질층(34A)이 형성될 수 있다. 금속성 희생물질층(34A)은 컨포멀하게 형성될 수 있다. 금속성 희생물질층(34A)은 티타늄질화물을 포함할 수 있다.As shown in FIG. 17 , a metallic sacrificial material layer 34A may be formed on the contact openings 33 . The metallic sacrificial material layer 34A may be conformally formed. The metallic sacrificial material layer 34A may include titanium nitride.

도 18에 도시된 바와 같이, 금속성 희생 스페이서(metallic sacrificial spacer, 34)가 형성될 수 있다. 금속성 희생 스페이서(34)를 형성하기 위해, 금속성 희생물질층(34A)을 식각할 수 있다.As shown in FIG. 18 , a metallic sacrificial spacer 34 may be formed. To form the metallic sacrificial spacer 34 , the metallic sacrificial material layer 34A may be etched.

금속성 희생 스페이서(34)는 콘택 오프닝(33)의 측벽을 서라운딩하는 형상일 수 있다. 금속성 희생 스페이서(34)의 상부면은 비트라인 하드마스크(24)의 상부면보다 낮은 레벨에 위치할 수 있다. 금속성 희생 스페이서(34)는 제1 스페이서(26)보다 두꺼울 수 있다.The metallic sacrificial spacer 34 may have a shape surrounding sidewalls of the contact opening 33 . An upper surface of the metallic sacrificial spacer 34 may be positioned at a lower level than an upper surface of the bit line hard mask 24 . The metallic sacrificial spacer 34 may be thicker than the first spacer 26 .

도 19에 도시된 바와 같이, 콘택 오프닝(33) 아래의 하부 물질들을 식각할 수 있다. 콘택 오프닝들(33)에 자기-정렬되도록 하부 물질들을 식각할 수 있다. 이에 따라, 비트라인구조물들 사이에서 활성영역(13)의 일부분을 노출시키는 복수의 리세스 영역들(35)이 형성될 수 있다. 리세스 영역들(35)을 형성하기 위해 이방성 식각 또는 이방성 식각과 등방성 식각의 조합을 이용할 수 있다. 예를 들어, 비트라인구조물들 사이에서 콘택 오프닝들(33)을 통해 노출되는 구조물들 중 제1 스페이서(26), 하드마스크층(14), 갭필 스페이서(28)를 순차적으로 이방성 식각하고, 이후 노출되는 활성영역(13)의 일부분을 등방성 식각할 수 있다. 리세스 영역들(32)에 의해 활성영역(13)의 일부분들 및 갭필 스페이서(28)가 노출될 수 있다.As shown in FIG. 19 , lower materials under the contact opening 33 may be etched. The underlying materials may be etched to self-align to the contact openings 33 . Accordingly, a plurality of recess regions 35 exposing a portion of the active region 13 may be formed between the bit line structures. Anisotropic etching or a combination of anisotropic etching and isotropic etching may be used to form the recessed regions 35 . For example, among the structures exposed through the contact openings 33 between the bit line structures, the first spacer 26, the hard mask layer 14, and the gap fill spacer 28 are sequentially anisotropically etched, and then A portion of the exposed active region 13 may be isotropically etched. Portions of the active region 13 and the gap fill spacer 28 may be exposed by the recess regions 32 .

리세스 영역들(35)은 기판(11) 내부로 확장될 수 있다. 리세 스영역들(35)을 형성하는 동안에, 소자분리층(12) 및 제2 불순물 영역(20)이 일정 깊이 리세스될 수 있다. 리세스 영역들(35)의 바닥면은 비트라인 콘택 플러그(22)의 상부 표면보다 낮은 레벨일 수 있다. 리세스영역들(35)의 바닥면은 비트라인 콘택 플러그(22)의 바닥면보다 높은 레벨일 수 있다. 콘택 오프닝들(33)과 리세스 영역들(35)은 상호 연결될 수 있다. 콘택 오프닝들(33)과 리세스 영역들(35)의 수직 구조는 '스토리지노드콘택홀'이라고 지칭될 수 있다.The recessed regions 35 may extend into the substrate 11 . While forming the recess regions 35, the isolation layer 12 and the second impurity region 20 may be recessed to a predetermined depth. Bottom surfaces of the recess regions 35 may be at a lower level than an upper surface of the bit line contact plug 22 . Bottom surfaces of the recess regions 35 may be at a higher level than the bottom surface of the bit line contact plug 22 . The contact openings 33 and the recess regions 35 may be interconnected. A vertical structure of the contact openings 33 and the recess regions 35 may be referred to as a 'storage node contact hole'.

리세스 영역들(35)을 형성한 후에, 비트라인구조물의 측벽에는 제1 스페이서(26) 및 금속성 희생 스페이서(34)의 이중층이 잔류할 수 있고, 플러그 분리층들(32)의 측벽에는 금속성 희생 스페이서(34)의 싱글층이 잔류할 수 있다. After forming the recess regions 35, a double layer of the first spacer 26 and the metallic sacrificial spacer 34 may remain on the sidewall of the bit line structure, and the metallic sacrificial spacer 34 may remain on the sidewall of the plug separation layers 32. A single layer of the sacrificial spacer 34 may remain.

도 20에 도시된 바와 같이, 금속성 희생 스페이서(34) 상부에 하부 플러그층들(36A)이 형성될 수 있다. 하부 플러그층들(36A)은 리세스 영역들(35)을 완전히 채울 수 있고, 콘택 오프닝들(33)을 부분적으로 채울 수 있다. 하부 플러그층들(36A)은 제2 불순물 영역(20)과 접촉할 수 있다. 하부 플러그층들(36A)은 비트라인구조물과 이웃할 수 있다. 탑뷰로 볼 때, 복수의 비트라인구조물 사이에 복수의 하부 플러그층(36A)이 위치할 수 있다. 비트라인(23)에 평행하는 방향에서, 이웃하는 비트라인구조믈들 사이에 복수의 하부 플러그층(36A)과 복수의 플러그 분리층(32)이 교대로 번갈아 위치할 수 있다.As shown in FIG. 20 , lower plug layers 36A may be formed on the sacrificial metallic spacer 34 . The lower plug layers 36A may completely fill the recess regions 35 and partially fill the contact openings 33 . The lower plug layers 36A may contact the second impurity region 20 . The lower plug layers 36A may be adjacent to the bit line structure. When viewed from a top view, a plurality of lower plug layers 36A may be positioned between the plurality of bit line structures. In a direction parallel to the bit line 23 , a plurality of lower plug layers 36A and a plurality of plug isolation layers 32 may be alternately positioned between adjacent bit line structures.

하부 플러그층들(36A)은 실리콘함유물질을 포함할 수 있다. 하부 플러그층들(36A)은 폴리실리콘을 포함할 수 있고, 폴리실리콘은 불순물이 도핑될 수 있다. 하부 플러그층들(36A)은 제2 불순물 영역(20)과 접속된다. 하부 플러그층들(36A)의 상부 표면은 비트라인(23)의 상부 표면보다 높을 수 있다. 하부 플러그층들(36A)을 형성하기 위해 콘택 오프닝(33) 및 리세스 영역(35)을 채우도록 폴리실리콘을 증착한 후 평탄화 및 에치백 공정이 순차적으로 수행될 수 있다. The lower plug layers 36A may include a silicon-containing material. The lower plug layers 36A may include polysilicon, and the polysilicon may be doped with impurities. The lower plug layers 36A are connected to the second impurity region 20 . Upper surfaces of the lower plug layers 36A may be higher than upper surfaces of the bit lines 23 . After depositing polysilicon to fill the contact openings 33 and the recess regions 35 to form the lower plug layers 36A, planarization and etch-back processes may be sequentially performed.

도 21에 도시된 바와 같이, 금속성 희생 스페이서(34)를 제거할 수 있다. 이에 따라, 하부 플러그층(36A)과 비트라인(35) 사이에서 금속성 희생 스페이서(34)가 제거될 수 있고, 또한, 플러그 분리층들(32)과 하부 플러그층들(36A) 사이에서 금속성 희생 스페이서(34)가 제거될 수 있다.As shown in FIG. 21 , the metallic sacrificial spacer 34 may be removed. Accordingly, the sacrificial metallic spacer 34 may be removed between the lower plug layer 36A and the bit line 35, and also, the sacrificial metallic spacer 34 may be disposed between the plug separation layers 32 and the lower plug layers 36A. Spacers 34 may be removed.

금속성 희생 스페이서(34)가 제거된 공간은 '에어갭(36G)'이라고 약칭할 수 있다.A space where the sacrificial metallic spacer 34 is removed may be referred to as an 'air gap 36G'.

도 22에 도시된 바와 같이, 에어갭(36G)을 채우는 제2 스페이서층(37A)이 형성될 수 있다. 제2 스페이서층(37A)은 실리콘산화물을 포함할 수 있다. 제2 스페이서층(37A)은 하부 플러그층(36A)의 일부분들이 선택적으로 산화되어 형성될 수 있다. 제2 스페이서층(27A)은 제1 스페이서층(26A) 및 플러그 분리층들(32)의 일부분들이 산화되어 형성될 수도 있다.As shown in FIG. 22 , a second spacer layer 37A filling the air gap 36G may be formed. The second spacer layer 37A may include silicon oxide. The second spacer layer 37A may be formed by selectively oxidizing portions of the lower plug layer 36A. The second spacer layer 27A may be formed by oxidizing portions of the first spacer layer 26A and the plug separation layers 32 .

제2 스페이서층(37A)을 형성하기 위한 산화 공정은 라디칼산화 또는/및 건식산화를 포함할 수 있다. 예를 들어, 제2 스페이서층(37A)을 형성하기 위해 라디칼산화(radical oxidation)를 먼저 수행한 후, 연속하여 건식산화(dry oxidation)를 수행할 수 있다. 다른 실시예에서, 제2 스페이서층(37A)을 형성하기 위해, 저온 산화물(Ultra low temperature oxide, ULTO)을 얇게 증착한 후에 건식산화를 실시할 수도 있다.An oxidation process for forming the second spacer layer 37A may include radical oxidation or/and dry oxidation. For example, after radical oxidation is first performed to form the second spacer layer 37A, dry oxidation may be subsequently performed. In another embodiment, in order to form the second spacer layer 37A, dry oxidation may be performed after thinly depositing an ultra low temperature oxide (ULTO).

제2 스페이서층(37A)을 형성하는 동안에, 하부 플러그층들(36A)의 일부분들(36B)이 손실되어 산화될 수 있다. 하부 플러그층들(36A)은 도면부호 36과 같이 잔류할 수 있고, 이하 '하부 플러그들(36)'라고 약칭하기로 한다.During the formation of the second spacer layer 37A, portions 36B of the lower plug layers 36A may be lost and oxidized. The lower plug layers 36A may remain as indicated by reference numeral 36, and will be referred to as 'lower plugs 36' for short.

도 23에 도시된 바와 같이, 제2 스페이서(37)가 형성될 수 있다. 제2 스페이서(37)는 제2 스페이서층(37A)의 선택적인 식각에 의해 형성될 수 있다. 제2 스페이서(37)의 상부면은 하부 플러그들(36)의 상부면과 동일 레벨에 위치할 수 있다.As shown in FIG. 23 , a second spacer 37 may be formed. The second spacer 37 may be formed by selectively etching the second spacer layer 37A. Upper surfaces of the second spacers 37 may be positioned at the same level as upper surfaces of the lower plugs 36 .

제2 스페이서(37)는 제1 스페이서(26)를 사이에 두고 하부 플러그(36)와 비트라인(23) 사이에 위치할 수 있고, 또한, 플러그 분리층(32)과 하부 플러그(36) 사이에 위치할 수 있다.The second spacer 37 may be positioned between the lower plug 36 and the bit line 23 with the first spacer 26 interposed therebetween, and also between the plug separation layer 32 and the lower plug 36. can be located in

도 24에 도시된 바와 같이, 상부 플러그(38)가 형성될 수 있다. 하부 플러그(36)와 상부 플러그(38)는 동일 물질일 수 있다. 상부 플러그(38)는 폴리실리콘을 포함할 수 있다. 상부 플러그(38)의 선폭은 하부 플러그(36)의 선폭보다 클 수 있다. 상부 플러그(38)는 폴리실리콘의 증착 및 에치백 공정에 의해 형성될 수 있다. As shown in FIG. 24, an upper plug 38 may be formed. The lower plug 36 and the upper plug 38 may be of the same material. The upper plug 38 may include polysilicon. The line width of the upper plug 38 may be greater than that of the lower plug 36 . The upper plug 38 may be formed by a polysilicon deposition and etch-back process.

도 25에 도시된 바와 같이, 상부 플러그(38) 상에 콘택 스페이서(39)가 형성될 수 있다. 콘택 스페이서(39)는 실리콘산화물을 포함할 수 있다. 콘택 스페이서(39)를 형성하기 위해 실리콘산화물의 증착 및 에치백 공정이 수행될 수 있다. 콘택 스페이서(39)에 의해 상부 플러그(38)의 상부 표면들이 부분적으로 노출될 수 있다. 콘택 스페이서(39)는 상부 플러그(38) 상에서 플러그분리층(32)의 측벽에 형성될 수 있다. 또한, 콘택 스페이서(39)는 상부 플러그(38) 상에서 제1 스페이서(26) 상에 형성될 수 있다.As shown in FIG. 25 , a contact spacer 39 may be formed on the upper plug 38 . The contact spacer 39 may include silicon oxide. A silicon oxide deposition and etch-back process may be performed to form the contact spacers 39 . Upper surfaces of the upper plug 38 may be partially exposed by the contact spacer 39 . The contact spacer 39 may be formed on a sidewall of the plug isolation layer 32 on the upper plug 38 . Also, the contact spacer 39 may be formed on the first spacer 26 on the upper plug 38 .

도 26에 도시된 바와 같이, 상부 플러그(38) 상에 오믹콘택층(40)이 형성될 수 있다. 오믹콘택층(40)은 금속실리사이드를 포함할 수 있다. 오믹콘택층(40)을 형성하기 위해 실리사이드화금속층(Silicidable metal layer)의 증착 및 어닐링(annealing)이 수행된다. 이에 따라, 실리사이드화금속층과 상부 플러그(38)가 접하는 계면에서 실리사이드화반응(Silicidation)이 발생하여, 금속실리사이드층(Metal silicide layer)이 형성된다. 오믹콘택층(40)은 코발트실리사이드를 포함할 수 있다. 본 실시예에서, 오믹콘택층(40)은 'CoSi2상'의 코발트실리사이드를 포함할 수 있다.As shown in FIG. 26 , an ohmic contact layer 40 may be formed on the upper plug 38 . The ohmic contact layer 40 may include metal silicide. To form the ohmic contact layer 40, deposition and annealing of a silicidable metal layer is performed. Accordingly, silicidation occurs at the interface where the metal silicidation layer and the upper plug 38 come into contact, thereby forming a metal silicide layer. The ohmic contact layer 40 may include cobalt silicide. In this embodiment, the ohmic contact layer 40 may include 'CoSi 2 phase' cobalt silicide.

오믹콘택층(40)으로서 CoSi2 상(phase)의 코발트실리사이드를 형성하면, 콘택저항을 개선시킴과 동시에 저저항의 코발트실리사이드를 형성할 수 있다.When cobalt silicide of the CoSi 2 phase is formed as the ohmic contact layer 40 , contact resistance can be improved and cobalt silicide with low resistance can be formed.

오믹콘택층(40) 상에 랜딩 패드(landing pad, 41)가 형성될 수 있다. 랜딩 패드(41)를 형성하기 위해 금속함유층(미도시)의 증착 및 식각이 수행될 수 있다. 랜딩 패드(41)는 금속을 포함할 수 있다. 랜딩 패드(41)는 텅스텐을 함유하는 물질을 포함할 수 있다. 랜딩 패드(41)는 텅스텐층 또는 텅스텐화합물을 포함할 수 있다. 랜딩 패드(41)는 티타늄질화물 라이너층과 텅스텐층의 적층 구조일 수도 있다. 랜딩 패드(41)의 상단부는 비트라인 하드마스크(24)의 상부면을 오버랩하도록 연장될 수 있다.A landing pad 41 may be formed on the ohmic contact layer 40 . Deposition and etching of a metal-containing layer (not shown) may be performed to form the landing pad 41 . The landing pad 41 may include metal. The landing pad 41 may include a material containing tungsten. The landing pad 41 may include a tungsten layer or a tungsten compound. The landing pad 41 may also have a stacked structure of a titanium nitride liner layer and a tungsten layer. An upper end of the landing pad 41 may extend to overlap an upper surface of the bit line hard mask 24 .

하부 플러그(36), 상부 플러그(38), 오믹콘택층(39) 및 랜딩 패드(41)는 스토리지노드 콘택 플러그(SNC)를 구성할 수 있다.The lower plug 36, the upper plug 38, the ohmic contact layer 39, and the landing pad 41 may form a storage node contact plug (SNC).

상술한 바에 따르면, 비트라인 콘택 플러그(22)와 하부 플러그(36) 사이에 제1 스페이서(26) 및 갭필 스페이서(28)가 위치할 수 있다. 비트라인(23)과 하부 플러그(36) 사이에 제1 스페이서(26) 및 제2 스페이서(37)가 위치할 수 있다. 제1 스페이서(26)는 실리콘질화물을 포함하고, 제2 스페이서(37)는 실리콘산화물을 포함하므로, 비트라인(23)과 하부 플러그(36) 사이에 N-O(Nitride-Oxide) 구조의 스페이서구조물이 형성될 수 있다. 제2 스페이서(37)는 제1 스페이서(26)보다 두꺼울 수 있다.As described above, the first spacer 26 and the gap fill spacer 28 may be positioned between the bit line contact plug 22 and the lower plug 36 . A first spacer 26 and a second spacer 37 may be positioned between the bit line 23 and the lower plug 36 . Since the first spacer 26 includes silicon nitride and the second spacer 37 includes silicon oxide, a nitride-oxide (N-O) spacer structure is formed between the bit line 23 and the lower plug 36. can be formed The second spacer 37 may be thicker than the first spacer 26 .

상부 플러그(38)와 비트라인 하드마스크(24) 사이에는 제1 스페이서(26)가 위치할 수 있다. A first spacer 26 may be positioned between the upper plug 38 and the bit line hard mask 24 .

도 27 내지 도 32는 다른 실시예에 따른 제조 방법을 설명하기 위한 도면이다. 이하, 도 27 내지 도 32는 도 3 내지 도 26에 도시된 방법과 유사하게 진행될 수 있다.27 to 32 are views for explaining a manufacturing method according to another embodiment. Hereinafter, FIGS. 27 to 32 may proceed similarly to the method shown in FIGS. 3 to 26 .

먼저, 도 3 내지 도 15에서 참조한 바와 같이, 플러그 분리층들(32A) 사이에 복수의 초기 콘택 오프닝(33A)이 형성될 수 있다. 초기 콘택 오프닝(33A)은 비트라인구조물들 사이의 희생 스페이서(29) 내에 형성될 수 있다. 초기 콘택 오프닝들(33A)은 탑뷰로 볼 때, 사각형 형태의 홀 형상을 가질 수 있다.First, as illustrated in FIGS. 3 to 15 , a plurality of initial contact openings 33A may be formed between the plug isolation layers 32A. The initial contact opening 33A may be formed in the sacrificial spacer 29 between the bit line structures. When viewed from a top view, the initial contact openings 33A may have a square hole shape.

다음으로, 도 27에 도시된 바와 같이, 초기 콘택 오프닝(33A) 아래의 하부 물질들을 식각할 수 있다. 초기 콘택 오프닝들(33A)에 자기-정렬되도록 하부 물질들을 식각할 수 있다. 이에 따라, 비트라인구조물들 사이에서 활성영역(13)의 일부분을 노출시키는 복수의 리세스 영역들(35)이 형성될 수 있다. 리세스 영역들(35)을 형성하기 위해 이방성 식각 또는 이방성식각과 등방성 식각의 조합을 이용할 수 있다. 예를 들어, 비트라인구조물들 사이에서 초기 콘택 오프닝들(33A)을 통해 노출되는 구조물들 중 제1 스페이서(26), 하드마스크층(14), 갭필 스페이서(28) 및 희생 스페이서(29)를 이방성식각하고, 이후 노출되는 활성영역(13)의 일부분을 등방성식각할 수 있다. 리세스 영역들(35)에 의해 활성영역(13)의 일부분들 및 갭필 스페이서(28)가 노출될 수 있다.Next, as shown in FIG. 27 , lower materials under the initial contact opening 33A may be etched. Underlying materials may be etched to self-align to the initial contact openings 33A. Accordingly, a plurality of recess regions 35 exposing a portion of the active region 13 may be formed between the bit line structures. Anisotropic etching or a combination of anisotropic etching and isotropic etching may be used to form the recessed regions 35 . For example, the first spacer 26, the hard mask layer 14, the gap fill spacer 28, and the sacrificial spacer 29 among the structures exposed through the initial contact openings 33A between the bit line structures are formed. After anisotropic etching, a portion of the active region 13 exposed thereafter may be isotropically etched. Portions of the active region 13 and the gap fill spacer 28 may be exposed by the recess regions 35 .

리세스 영역들(35)은 기판(11) 내부로 확장될 수 있다. 리세스 영역들(35)을 형성하는 동안에, 소자분리층(12) 및 제2 불순물 영역(20)이 일정 깊이 리세스될 수 있다. 리세스 영역들(35)의 바닥면은 비트라인 콘택 플러그(22)의 상부 표면보다 낮은 레벨일 수 있다. 리세스 영역들(35)의 바닥면은 비트라인 콘택 플러그(22)의 바닥면보다 높은 레벨일 수 있다. 콘택 오프닝들(33A)과 리세스 영역들(35)은 상호 연결될 수 있다. 콘택 오프닝들(33A)과 리세스영역들(35)의 수직 구조는 '스토리지노드콘택홀'이라고 지칭될 수 있다.The recessed regions 35 may extend into the substrate 11 . While forming the recess regions 35 , the isolation layer 12 and the second impurity region 20 may be recessed to a predetermined depth. Bottom surfaces of the recess regions 35 may be at a lower level than an upper surface of the bit line contact plug 22 . Bottom surfaces of the recess regions 35 may be at a higher level than the bottom surface of the bit line contact plug 22 . The contact openings 33A and the recess regions 35 may be interconnected. A vertical structure of the contact openings 33A and the recess regions 35 may be referred to as a 'storage node contact hole'.

도 28에 도시된 바와 같이, 하부 플러그(51)가 형성될 수 있다. 하부 플러그(51)는 리세스 영역들(35)을 완전히 채울 수 있고, 콘택 오프닝(33A)을 부분적으로 채울 수 있다. 하부 플러그(51)는 제2 불순물 영역(20)과 접촉할 수 있다. 하부 플러그(51)는 비트라인구조물과 이웃할 수 있다. 탑뷰로 볼 때, 복수의 비트라인구조물 사이에 복수의 하부 플러그(51)가 위치할 수 있다. 비트라인(23)에 평행하는 방향에서, 이웃하는 비트라인구조믈들 사이에 복수의 하부 플러그(51)와 복수의 플러그 분리층(32A)이 교대로 번갈아 위치할 수 있다.As shown in FIG. 28 , a lower plug 51 may be formed. The lower plug 51 may completely fill the recessed regions 35 and may partially fill the contact opening 33A. The lower plug 51 may contact the second impurity region 20 . The lower plug 51 may be adjacent to the bit line structure. When viewed from a top view, a plurality of lower plugs 51 may be positioned between a plurality of bit line structures. In a direction parallel to the bit line 23 , a plurality of lower plugs 51 and a plurality of plug isolation layers 32A may be alternately positioned between adjacent bit line structures.

하부 플러그(51)는 실리콘함유물질을 포함할 수 있다. 하부 플러그(51)는 폴리실리콘을 포함할 수 있다. 폴리실리콘은 불순물이 도핑될 수 있다. 하부 플러그(51)는 제2 불순물 영역(20)과 접속된다. 하부 플러그(51)의 상부 표면은 비트라인(23)의 상부 표면보다 낮을 수 있다. 하부 플러그(51)를 형성하기 위해 콘택 오프닝(33) 및 리세스 영역(35)을 채우도록 폴리실리콘을 증착한 후 평탄화 및 에치백 공정이 순차적으로 수행될 수 있다. The lower plug 51 may include a silicon-containing material. The lower plug 51 may include polysilicon. Polysilicon may be doped with impurities. The lower plug 51 is connected to the second impurity region 20 . An upper surface of the lower plug 51 may be lower than an upper surface of the bit line 23 . After depositing polysilicon to fill the contact opening 33 and the recess region 35 to form the lower plug 51 , planarization and etch-back processes may be sequentially performed.

도 29에 도시된 바와 같이, 희생 스페이서(29) 및 플러그 분리층들(32A)을 트리밍할 수 있다. 희생 스페이서(29) 및 플러그 분리층들(32A)의 트리밍은 에치백 공정에 의해 수행될 수 있다. 이와 같은 트리밍 공정에 의해, 콘택 오프닝(33)이 형성될 수 있다. 콘택 오프닝(33)은 초기 콘택 오프닝(33A)의 확장에 의해 얻어질 수 있다.As shown in FIG. 29 , the sacrificial spacer 29 and the plug separation layers 32A may be trimmed. Trimming of the sacrificial spacer 29 and the plug isolation layers 32A may be performed by an etch-back process. Through this trimming process, the contact opening 33 may be formed. The contact opening 33 may be obtained by expanding the initial contact opening 33A.

A-A' 방향에서는 하부 플러그들(51)의 상부 측벽에 희생 스페이서(29)가 일부 잔류할 수 있다. B-B' 방향에서 플러그 분리층들은 도면부호 '32'와 같이 트리밍될 수 있다.In the A-A' direction, some of the sacrificial spacers 29 may remain on the upper sidewalls of the lower plugs 51 . In the B-B' direction, the plug separation layers may be trimmed as indicated by reference numeral '32'.

도 30에 도시된 바와 같이, 제2 스페이서층(52A)이 형성될 수 있다. 제2 스페이서층(52A)은 실리콘산화물의 증착 공정 및 에치백 공정에 의해 형성될 수 있다.As shown in FIG. 30 , a second spacer layer 52A may be formed. The second spacer layer 52A may be formed by a silicon oxide deposition process and an etch-back process.

도 31에 도시된 바와 같이, 제2 스페이서층(52A) 및 하부 플러그(51) 상에 중간 플러그(middle plug, 53)가 형성될 수 있다. 중간 플러그(53)는 실리콘함유물질을 포함할 수 있다. 중간 플러그(53)는 폴리실리콘을 포함할 수 있고, 폴리실리콘은 불순물이 도핑될 수 있다. 중간 플러그(53)는 하부 플러그(51) 상에 형성될 수 있다. 중간 플러그(53)의 상부 표면은 비트라인(23)의 상부 표면보다 높을 수 있다. 중간 플러그(53)를 형성하기 위해 콘택 오프닝(33)의 나머지 부분을 채우도록 폴리실리콘을 증착한 후 평탄화 및 에치백 공정이 순차적으로 수행될 수 있다. As shown in FIG. 31 , a middle plug 53 may be formed on the second spacer layer 52A and the lower plug 51 . The intermediate plug 53 may include a silicon-containing material. The intermediate plug 53 may include polysilicon, and the polysilicon may be doped with impurities. The middle plug 53 may be formed on the lower plug 51 . An upper surface of the intermediate plug 53 may be higher than an upper surface of the bit line 23 . After depositing polysilicon to fill the remaining portion of the contact opening 33 to form the intermediate plug 53, planarization and etch-back processes may be sequentially performed.

다음으로, 제2 스페이서(52)가 형성될 수 있다. 제2 스페이서(52)는 제2 스페이서층(52A)의 선택적인 식각에 의해 형성될 수 있다. 제2 스페이서(52)의 상부면은 중간 플러그(53)의 상부면과 동일 레벨에 위치할 수 있다.Next, a second spacer 52 may be formed. The second spacer 52 may be formed by selectively etching the second spacer layer 52A. An upper surface of the second spacer 52 may be positioned at the same level as an upper surface of the intermediate plug 53 .

제2 스페이서(52)는 제1 스페이서(26)를 사이에 두고 중간 플러그(53)와 비트라인(23) 사이에 위치할 수 있고, 또한, 플러그 분리층(32)과 중간 플러그(53) 사이에 위치할 수 있다.The second spacer 52 may be positioned between the middle plug 53 and the bit line 23 with the first spacer 26 interposed therebetween, and also between the plug isolation layer 32 and the middle plug 53. can be located in

도 32에 도시된 바와 같이, 상부 플러그(54)가 형성될 수 있다. 상부 플러그(54)는 폴리실리콘을 포함할 수 있다. 상부 플러그(54)의 선폭은 하부 플러그(51) 및 중간 플러그(53)의 선폭보다 클 수 있다. As shown in FIG. 32, an upper plug 54 may be formed. The upper plug 54 may include polysilicon. The line width of the upper plug 54 may be greater than the line widths of the lower plug 51 and the middle plug 53 .

후속하여 도 25 및 도 26에서 참조한 바와 같이, 콘택 스페이서(40) 및 랜딩패드(41)가 형성될 수 있다.Subsequently, as referred to in FIGS. 25 and 26 , contact spacers 40 and landing pads 41 may be formed.

도 33 내지 도 42는 다른 실시예에 따른 제조 방법을 설명하기 위한 도면이다. 이하, 도 33 내지 도 42는 도 3 내지 도 26에 도시된 방법과 유사하게 진행될 수 있다.33 to 42 are views for explaining a manufacturing method according to another embodiment. Hereinafter, FIGS. 33 to 42 may proceed similarly to the method shown in FIGS. 3 to 26 .

도 10 이후에, 도 33에 도시된 바와 같이, 제1 스페이서층(26A) 상에 금속성 물질층(61A)이 형성될 수 있다. 금속성 물질층(61A)은 컨포멀하게 형성될 수 있다. 금속성 물질층(61A)은 티타늄질화물을 포함할 수 있다.After FIG. 10 , as shown in FIG. 33 , a metallic material layer 61A may be formed on the first spacer layer 26A. The metallic material layer 61A may be conformally formed. The metallic material layer 61A may include titanium nitride.

도 34에 도시된 바와 같이, 금속성 스페이서(Metallic spacer, 61)가 형성될 수 있다. 금속성 스페이서(61)를 형성하기 위해, 금속성물질층(61A)을 식각할 수 있다.As shown in FIG. 34 , a metallic spacer 61 may be formed. To form the metallic spacer 61, the metallic material layer 61A may be etched.

도 35에 도시된 바와 같이, 금속성 스페이서(61) 상에 절연성 라이너층(62A)이 형성될 수 있다. 절연성 라이너층(62A)은 실리콘질화물을 포함할 수 있다.As shown in FIG. 35 , an insulating liner layer 62A may be formed on the metallic spacer 61 . The insulating liner layer 62A may include silicon nitride.

후속하여, 절연성 라이너층(62A) 상에 도 12 내지 도 15에서 참조한 바와 같은 일련의 공정들을 진행할 수 있다. 이에 따라, 도 36에 도시된 바와 같이, 플러그 분리층들(32A) 사이에 복수의 초기 콘택 오프닝(33A)이 형성될 수 있다. 초기 콘택 오프닝(33A)은 비트라인구조물들 사이에 위치할 수 있다. 초기 콘택 오프닝들(33A)은 제1 선폭(W1)을 가질 수 있다. 초기 콘택 오프닝들(33A)은 탑뷰로 볼 때, 사각형 형태의 홀 형상을 가질 수 있다.Subsequently, a series of processes as referred to in FIGS. 12 to 15 may be performed on the insulating liner layer 62A. Accordingly, as shown in FIG. 36 , a plurality of initial contact openings 33A may be formed between the plug isolation layers 32A. The initial contact opening 33A may be located between the bit line structures. The initial contact openings 33A may have a first line width W1. When viewed from a top view, the initial contact openings 33A may have a square hole shape.

도 37에 도시된 바와 같이, 절연성 라이너층(62A) 및 플러그 분리층들(32A)을 트리밍할 수 있다. 절연성 라이너층(62A) 및 플러그 분리층들(32A)의 트리밍은 에치백 공정에 의해 수행될 수 있다. 이와 같은 트리밍 공정에 의해, 콘택 오프닝(33)이 형성될 수 있다. 콘택 오프닝(33)은 제2 선폭(W2)을 가질 수 있다. 콘택 오프닝(33)은 초기 콘택 오프닝(33A)의 확장에 의해 얻어질 수 있다.As shown in FIG. 37 , the insulating liner layer 62A and the plug isolation layers 32A may be trimmed. Trimming of the insulating liner layer 62A and the plug isolation layers 32A may be performed by an etch-back process. Through this trimming process, the contact opening 33 may be formed. The contact opening 33 may have a second line width W2 . The contact opening 33 may be obtained by expanding the initial contact opening 33A.

비트라인구조물들 사이에서 절연성 라이너층(62A)이 모두 제거될 수 있고, 콘택 오프닝들(33) 아래의 절연성 라이너층(62A)이 리세스될 수 있다. 절연성 라이너층(62A)이 제거된 이후에, 비트라인(23)의 양측벽에 금속성 스페이서(61)가 잔류할 수 있다. 트리밍된 플러그 분리층들(32) 아래에 절연성 라이너 패턴(62)이 잔류할 수 있다.All of the insulating liner layer 62A between the bit line structures may be removed, and the insulating liner layer 62A under the contact openings 33 may be recessed. After the insulating liner layer 62A is removed, metallic spacers 61 may remain on both sidewalls of the bit line 23 . An insulating liner pattern 62 may remain under the trimmed plug isolation layers 32 .

도 38에 도시된 바와 같이, 콘택 오프닝(33) 아래의 하부 물질들을 식각할 수 있다. 금속성 스페이서(61) 및 플러그 분리층(32)에 자기-정렬되도록 하부 물질들을 식각할 수 있다. 이에 따라, 비트라인구조물들 사이에서 활성영역(13)의 일부분을 노출시키는 복수의 리세스 영역들(35)이 형성될 수 있다. 리세스 영역들(35)을 형성하기 위해 이방성 식각 또는 이방성식각과 등방성 식각의 조합을 이용할 수 있다. 예를 들어, 비트라인구조물들 사이에서 콘택 오프닝들(33)을 통해 노출되는 구조물들 중 제1 스페이서층(26A), 하드마스크층(14), 갭필 스페이서(28)를 순차적으로 이방성 식각하고, 이후 노출되는 활성영역(13)의 일부분을 등방성 식각할 수 있다. 리세스 영역들(32)에 의해 활성영역(13)의 일부분들 및 갭필 스페이서(28)가 노출될 수 있다.As shown in FIG. 38 , lower materials under the contact opening 33 may be etched. Subsequent materials may be etched to self-align to the metallic spacer 61 and the plug separation layer 32 . Accordingly, a plurality of recess regions 35 exposing a portion of the active region 13 may be formed between the bit line structures. Anisotropic etching or a combination of anisotropic etching and isotropic etching may be used to form the recessed regions 35 . For example, among structures exposed through contact openings 33 between bit line structures, the first spacer layer 26A, the hard mask layer 14, and the gap fill spacer 28 are sequentially anisotropically etched; Afterwards, a portion of the exposed active region 13 may be isotropically etched. Portions of the active region 13 and the gap fill spacer 28 may be exposed by the recess regions 32 .

리세스 영역들(35)은 기판(11) 내부로 확장될 수 있다. 리세스 영역들(35)을 형성하는 동안에, 소자분리층(12) 및 제2 불순물 영역(20)이 일정 깊이 리세스될 수 있다. 리세스 영역들(35)의 바닥면은 비트라인 콘택 플러그(22)의 상부 표면보다 낮은 레벨일 수 있다. 리세스 영역들(35)의 바닥면은 비트라인 콘택 플러그(22)의 바닥면보다 높은 레벨일 수 있다. 콘택 오프닝들(33)과 리세스영역들(35)은 상호 연결될 수 있다. 콘택 오프닝들(33)과 리세스 영역들(35)의 수직 구조는 '스토리지노드콘택홀'이라고 지칭될 수 있다.The recessed regions 35 may extend into the substrate 11 . While forming the recess regions 35 , the isolation layer 12 and the second impurity region 20 may be recessed to a predetermined depth. Bottom surfaces of the recess regions 35 may be at a lower level than an upper surface of the bit line contact plug 22 . Bottom surfaces of the recess regions 35 may be at a higher level than the bottom surface of the bit line contact plug 22 . The contact openings 33 and the recess regions 35 may be interconnected. A vertical structure of the contact openings 33 and the recess regions 35 may be referred to as a 'storage node contact hole'.

리세스 영역들(35)을 형성한 후에, 비트라인구조물의 측벽에는 제1 스페이서(26) 및 금속성 스페이서(61)의 이중층이 잔류할 수 있다. 플러그 분리층(32)의 측벽에는 금속성 스페이서(61)가 잔류하지 않을 수 있다. 플러그 분리층(32) 아래에 절연성 라이너층(62)과 제1 스페이서(26)가 위치할 수 있다.After forming the recess regions 35 , a double layer of the first spacer 26 and the metallic spacer 61 may remain on the sidewall of the bit line structure. The metallic spacer 61 may not remain on the sidewall of the plug separation layer 32 . An insulating liner layer 62 and a first spacer 26 may be positioned under the plug separation layer 32 .

도 39에 도시된 바와 같이, 하부 플러그층(36A)이 형성될 수 있다. 하부 플러그층(36A)은 리세스 영역들(35)을 완전히 채울 수 있고, 콘택 오프닝(33)을 부분적으로 채울 수 있다. 하부 플러그층(36A)은 제2 불순물 영역(20)과 접촉할 수 있다. 하부 플러그층(36A)은 비트라인구조물과 이웃할 수 있다. 탑뷰로 볼 때, 복수의 비트라인구조물 사이에 복수의 하부 플러그층(36A)이 위치할 수 있다. 비트라인(23)에 평행하는 방향에서, 이웃하는 비트라인(23) 사이에 복수의 하부 플러그층(36A)과 복수의 플러그 분리층(32)이 교대로 번갈아 위치할 수 있다.As shown in FIG. 39 , a lower plug layer 36A may be formed. The lower plug layer 36A may completely fill the recess regions 35 and may partially fill the contact opening 33 . The lower plug layer 36A may contact the second impurity region 20 . The lower plug layer 36A may be adjacent to the bit line structure. When viewed from a top view, a plurality of lower plug layers 36A may be positioned between the plurality of bit line structures. In a direction parallel to the bit line 23 , a plurality of lower plug layers 36A and a plurality of plug separation layers 32 may be alternately positioned between adjacent bit lines 23 .

하부 플러그층(36A)은 실리콘함유물질을 포함할 수 있다. 하부 플러그(36A)은 폴리실리콘을 포함할 수 있고, 폴리실리콘은 불순물이 도핑될 수 있다. 하부 플러그층(36A)은 제2 불순물 영역(20)과 접속된다. 하부 플러그층(36A)의 상부 표면은 비트라인(23)의 상부 표면보다 높을 수 있다. 하부 플러그층(36A)을 형성하기 위해 콘택 오프닝(33) 및 리세스영역(35)을 채우도록 폴리실리콘을 증착한 후 평탄화 및 에치백 공정이 순차적으로 수행될 수 있다. The lower plug layer 36A may include a silicon-containing material. The lower plug 36A may include polysilicon, and the polysilicon may be doped with impurities. The lower plug layer 36A is connected to the second impurity region 20 . An upper surface of the lower plug layer 36A may be higher than an upper surface of the bit line 23 . After depositing polysilicon to fill the contact opening 33 and the recess region 35 to form the lower plug layer 36A, planarization and etch-back processes may be sequentially performed.

도 40에 도시된 바와 같이, 금속성 스페이서(61)를 제거할 수 있다. 이에 따라, 하부 플러그층(36A)과 비트라인(35) 사이에서 금속성 스페이서(61)가 제거될 수 있다.As shown in FIG. 40 , the metallic spacer 61 may be removed. Accordingly, the metallic spacer 61 may be removed between the lower plug layer 36A and the bit line 35 .

다음으로, 금속성 스페이서(61)가 제거된 공간을 채우는 제2 스페이서층(37A)이 형성될 수 있다. 제2 스페이서층(37A)은 실리콘산화물을 포함할 수 있다. 제2 스페이서층(37A)은 하부 플러그(36A)의 일부분들이 선택적으로 산화되어 형성될 수 있다. 제2 스페이서층(27A)은 제1 스페이서층(26A) 및 플러그 분리층(32)의 일부분들이 산화되어 형성될 수도 있다.Next, a second spacer layer 37A filling the space from which the metallic spacer 61 is removed may be formed. The second spacer layer 37A may include silicon oxide. The second spacer layer 37A may be formed by selectively oxidizing portions of the lower plug 36A. The second spacer layer 27A may be formed by oxidizing portions of the first spacer layer 26A and the plug isolation layer 32 .

제2 스페이서층(37A)을 형성하기 위한 산화 공정은 라디칼산화 또는/및 건식산화를 포함할 수 있다. 예를 들어, 제2 스페이서층(37A)을 형성하기 위해 라디칼산화를 먼저 수행한 후, 연속하여 건식산화를 수행할 수 있다. 다른 실시예에서, 제2 스페이서층(37A)을 형성하기 위해, 저온 산화물(ULTO)을 얇게 증착한 후에 건식산화를 실시할 수도 있다.An oxidation process for forming the second spacer layer 37A may include radical oxidation or/and dry oxidation. For example, after radical oxidation is first performed to form the second spacer layer 37A, dry oxidation may be continuously performed. In another embodiment, in order to form the second spacer layer 37A, dry oxidation may be performed after thinly depositing the low-temperature oxide (ULTO).

제2 스페이서층(37A)을 형성하는 동안에, 하부 플러그(36A)의 일부분들(36B)이 손실되어 산화될 수 있다. 비트라인구조물들 사이의 하부 플러그는 도면부호 36과 같이 트리밍될 수 있고, 플러그 분리층들(32) 사이의 하부 플러그는 트리밍되지 않을 수 있다.During the formation of the second spacer layer 37A, portions 36B of the lower plug 36A may be lost and oxidized. Lower plugs between the bit line structures may be trimmed as shown in reference numeral 36 , and lower plugs between the plug separation layers 32 may not be trimmed.

도 41에 도시된 바와 같이, 제2 스페이서(37)가 형성될 수 있다. 제2 스페이서(37)은 제2 스페이서층(37A)의 선택적인 식각에 의해 형성될 수 있다. 제2 스페이서(37)의 상부면은 하부 플러그(36)의 상부면과 동일 레벨에 위치할 수 있다.As shown in FIG. 41 , a second spacer 37 may be formed. The second spacer 37 may be formed by selectively etching the second spacer layer 37A. An upper surface of the second spacer 37 may be positioned at the same level as an upper surface of the lower plug 36 .

제2 스페이서(37)는 제1 스페이서(26)를 사이에 두고 하부 플러그(36)와 비트라인(23) 사이에 위치할 수 있다.The second spacer 37 may be positioned between the lower plug 36 and the bit line 23 with the first spacer 26 interposed therebetween.

도 42에 도시된 바와 같이, 상부 플러그(38)가 형성될 수 있다. 상부 플러그(38)는 폴리실리콘을 포함할 수 있다. 상부 플러그(38)의 선폭은 하부 플러그(36)의 선폭보다 클 수 있다. As shown in FIG. 42, an upper plug 38 may be formed. The upper plug 38 may include polysilicon. The line width of the upper plug 38 may be greater than that of the lower plug 36 .

후속하여 도 25 및 도 26에서 참조한 바와 같이, 콘택 스페이서(40) 및 랜딩패드(41)가 형성될 수 있다.Subsequently, as referred to in FIGS. 25 and 26 , contact spacers 40 and landing pads 41 may be formed.

도 43 내지 도 48는 다른 실시예에 따른 제조 방법을 설명하기 위한 도면이다. 이하, 도 43 내지 도 48는 도 3 내지 도 26, 도 33 내지 도 42에 도시된 방법과 유사하게 진행될 수 있다.43 to 48 are views for explaining a manufacturing method according to another embodiment. Hereinafter, FIGS. 43 to 48 may proceed similarly to the methods shown in FIGS. 3 to 26 and 33 to 42 .

도 33 내지 도 37에서 참조한 바와 같이, 제1 스페이서층(26A) 상에 금속성 스페이서(61)가 형성될 수 있다. 33 to 37 , a metallic spacer 61 may be formed on the first spacer layer 26A.

다음으로, 도 43에 도시된 바와 같이, 하부 플러그(36)가 형성될 수 있다. 하부 플러그(36)는 리세스 영역들(35)을 완전히 채울 수 있고, 콘택 오프닝(33)을 부분적으로 채울 수 있다. 하부 플러그(36)는 제2 불순물 영역(20)과 접촉할 수 있다. 하부 플러그(36)는 비트라인구조물과 이웃할 수 있다. 탑뷰로 볼 때, 복수의 비트라인구조물 사이에 복수의 하부 플러그(36)가 위치할 수 있다. 비트라인(23)에 평행하는 방향에서, 이웃하는 비트라인(23) 사이에 복수의 하부 플러그(36)와 복수의 플러그 분리층(32)이 교대로 번갈아 위치할 수 있다.Next, as shown in FIG. 43 , a lower plug 36 may be formed. The lower plug 36 may completely fill the recessed regions 35 and may partially fill the contact opening 33 . The lower plug 36 may contact the second impurity region 20 . The lower plug 36 may be adjacent to the bit line structure. When viewed from a top view, a plurality of lower plugs 36 may be positioned between a plurality of bit line structures. In a direction parallel to the bit line 23 , a plurality of lower plugs 36 and a plurality of plug isolation layers 32 may be alternately positioned between adjacent bit lines 23 .

하부 플러그(36)는 실리콘함유물질을 포함할 수 있다. 하부 플러그(36)는 폴리실리콘을 포함할 수 있고, 폴리실리콘은 불순물이 도핑될 수 있다. 하부 플러그(36)는 제2 불순물 영역(20)과 접속된다. 하부 플러그(36)의 상부 표면은 비트라인(23)의 상부 표면보다 낮을 수 있다. 하부 플러그(36)를 형성하기 위해 콘택 오프닝(33) 및 리세스 영역(35)을 채우도록 폴리실리콘을 증착한 후 평탄화 및 에치백 공정이 순차적으로 수행될 수 있다. The lower plug 36 may include a silicon-containing material. The lower plug 36 may include polysilicon, and the polysilicon may be doped with impurities. The lower plug 36 is connected to the second impurity region 20 . An upper surface of the lower plug 36 may be lower than an upper surface of the bit line 23 . After depositing polysilicon to fill the contact opening 33 and the recess region 35 to form the lower plug 36 , planarization and etch-back processes may be sequentially performed.

도 44에 도시된 바와 같이, 추가 금속성 스페이서(63)가 형성될 수 있다. 추가 금속성 스페이서(63)는 금속성 스페이서(61)와 동일한 높이를 가질 수 있다. 추가 금속성 스페이서(63)는 플러그분리층(32)의 측벽을 서라운딩하는 형상일 수 있다. 추가 금속성 스페이서(63)에 의해 하부 플러그(36)의 일부분이 노출될 수 있다.As shown in FIG. 44, additional metallic spacers 63 may be formed. The additional metallic spacer 63 may have the same height as the metallic spacer 61 . The additional metallic spacer 63 may have a shape surrounding the sidewall of the plug separation layer 32 . A portion of the lower plug 36 may be exposed by the additional metallic spacer 63 .

비트라인(23)의 양측벽에는 제1 스페이서(26), 금속성 스페이서(61), 추가 금속성 스페이서(63)의 삼중층이 형성될 수 있다. 플러그분리층(32)의 측벽에는 추가 금속성 스페이서(63)의 싱글층이 형성될 수 있다.A triple layer of a first spacer 26 , a metallic spacer 61 , and an additional metallic spacer 63 may be formed on both side walls of the bit line 23 . A single layer of an additional metallic spacer 63 may be formed on a sidewall of the plug separation layer 32 .

도 45에 도시된 바와 같이, 중간 플러그(64)가 형성될 수 있다. 제2 금속성 스페이서(63) 및 하부 플러그(36) 상에 중간 플러그(64)가 형성될 수 있다. 중간 플러그(64)는 실리콘함유물질을 포함할 수 있다. 중간 플러그(64)는 폴리실리콘을 포함할 수 있고, 폴리실리콘은 불순물이 도핑될 수 있다. 중간 플러그(64)는 하부 플러그(36) 상에 형성될 수 있다. 중간 플러그(64)의 상부 표면은 비트라인(23)의 상부 표면보다 높을 수 있다. 중간 플러그(64)를 형성하기 위해 콘택 오프닝(33)의 나머지 부분을 채우도록 폴리실리콘을 증착한 후 평탄화 및 에치백 공정이 순차적으로 수행될 수 있다. As shown in FIG. 45, an intermediate plug 64 may be formed. An intermediate plug 64 may be formed on the second metallic spacer 63 and the lower plug 36 . The intermediate plug 64 may include a silicon-containing material. The intermediate plug 64 may include polysilicon, and the polysilicon may be doped with impurities. A middle plug 64 may be formed on the lower plug 36 . The upper surface of the intermediate plug 64 may be higher than the upper surface of the bit line 23 . After depositing polysilicon to fill the remaining portion of the contact opening 33 to form the intermediate plug 64, planarization and etch-back processes may be sequentially performed.

금속성 스페이서(61) 및 추가 금속성 스페이서(63)는 제1 스페이서(26)를 사이에 두고 중간 플러그(53)와 비트라인(23) 사이에 위치할 수 있다. 플러그 분리층(32)과 중간 플러그(53) 사이에 추가 금속성 스페이서(63)가 위치할 수 있다.The metallic spacer 61 and the additional metallic spacer 63 may be positioned between the middle plug 53 and the bit line 23 with the first spacer 26 interposed therebetween. An additional metallic spacer 63 may be positioned between the plug separation layer 32 and the intermediate plug 53 .

도 46에 도시된 바와 같이, 금속성 스페이서(61) 및 추가 금속성 스페이서(63)가 제거될 수 있다. 이에 따라, 중간 플러그(64)와 비트라인(35) 사이에서 금속성 스페이서(61) 및 추가 금속성 스페이서(63)가 제거될 수 있고, 또한, 플러그 분리층(32)과 중간 플러그(64) 사이에서 추가 금속성 스페이서(63)가 제거될 수 있다. 금속성 스페이서(61) 및 추가 금속성 스페이서(63)가 제거되어 에어갭(64G)이 형성될 수 있다.As shown in FIG. 46, metallic spacer 61 and additional metallic spacer 63 may be removed. Accordingly, the metallic spacer 61 and the additional metallic spacer 63 can be removed between the intermediate plug 64 and the bit line 35, and also between the plug separation layer 32 and the intermediate plug 64. Additional metallic spacers 63 may be eliminated. An air gap 64G may be formed by removing the metallic spacer 61 and the additional metallic spacer 63 .

도 47에 도시된 바와 같이, 금속성 스페이서들이 제거된 에어갭(64G)을 채우는 제2 스페이서(65)가 형성될 수 있다. 제2 스페이서(65)는 실리콘산화물을 포함할 수 있다. 제2 스페이서(65)는 중간 플러그(64)의 일부분들이 선택적으로 산화되어 형성될 수 있다.As shown in FIG. 47 , a second spacer 65 may be formed to fill the air gap 64G from which the metallic spacers are removed. The second spacer 65 may include silicon oxide. The second spacer 65 may be formed by selectively oxidizing portions of the middle plug 64 .

제2 스페이서(65)를 형성하기 위한 산화 공정은 라디칼산화 또는/및 건식산화를 포함할 수 있다. 예를 들어, 제2 스페이서(65)를 형성하기 위해 라디칼산화를 먼저 수행한 후, 연속하여 건식산화를 수행할 수 있다. 다른 실시예에서, 제2 스페이서(65)를 형성하기 위해, 저온 산화물(ULTO)을 얇게 증착한 후에 건식산화를 실시할 수도 있다.An oxidation process for forming the second spacer 65 may include radical oxidation or/and dry oxidation. For example, after radical oxidation is first performed to form the second spacer 65 , dry oxidation may be continuously performed. In another embodiment, in order to form the second spacers 65, dry oxidation may be performed after thinly depositing low-temperature oxide (ULTO).

제2 스페이서(65)를 형성하는 동안에, 중간 플러그(64)의 일부분들이 손실되어 산화될 수 있다.During formation of the second spacer 65, portions of the intermediate plug 64 may be lost and oxidized.

제2 스페이서(65)의 상부면은 중간 플러그(64)의 상부면과 동일 레벨에 위치할 수 있다.An upper surface of the second spacer 65 may be positioned at the same level as an upper surface of the intermediate plug 64 .

제2 스페이서(65)는 제1 스페이서(26)를 사이에 두고 중간 플러그(64)와 비트라인(23) 사이에 위치할 수 있고, 또한, 플러그 분리층(32)과 중간 플러그(64) 사이에 위치할 수 있다.The second spacer 65 may be positioned between the intermediate plug 64 and the bit line 23 with the first spacer 26 interposed therebetween, and also between the plug separation layer 32 and the intermediate plug 64. can be located in

도 48에 도시된 바와 같이, 상부 플러그(38)가 형성될 수 있다. 상부 플러그(38)는 폴리실리콘을 포함할 수 있다. 상부 플러그(38)의 선폭은 하부 플러그(36)의 선폭보다 클 수 있다. As shown in FIG. 48, an upper plug 38 may be formed. The upper plug 38 may include polysilicon. The line width of the upper plug 38 may be greater than that of the lower plug 36 .

후속하여 도 25 및 도 26에서 참조한 바와 같이, 콘택 스페이서(40) 및 랜딩패드(41)가 형성될 수 있다.Subsequently, as referred to in FIGS. 25 and 26 , contact spacers 40 and landing pads 41 may be formed.

도 49a 내지 도 49d는 스토리지노드 콘택 플러그의 형성 방법을 상세히 도시한 평면도이다.49A to 49D are plan views illustrating a method of forming a storage node contact plug in detail.

도 15 및 도 49a에 도시된 바와 같이, 플러그 분리층(32A) 및 초기 콘택 오프닝(33A)이 형성될 수 있다.As shown in FIGS. 15 and 49A , a plug isolation layer 32A and an initial contact opening 33A may be formed.

도 16 및 도 49b에 도시된 바와 같이, 희생 스페이서(29) 및 플러그 분리층(32)의 트리밍 공정이 수행될 수 있다.As shown in FIGS. 16 and 49B , a process of trimming the sacrificial spacer 29 and the plug isolation layer 32 may be performed.

도 20 및 도 49c에 도시된 바와 같이, 금속성 희생스페이서(34) 및 하부 플러그(36A)가 형성될 수 있다.As shown in FIGS. 20 and 49C , a metallic sacrificial spacer 34 and a lower plug 36A may be formed.

도 23 및 도 49d에 도시된 바와 같이, 금속성 희생 스페이서(34)의 제거 이후에, 제2 스페이서층(37) 및 트리밍된 하부 플러그(36)가 형성될 수 있다.As shown in FIGS. 23 and 49D , after removal of the sacrificial metallic spacer 34 , a second spacer layer 37 and a trimmed lower plug 36 may be formed.

상술한 실시예들에 따르면, 콘택 오프닝들(33)의 공간을 추가로 확보할 수 있어 콘택 오프닝들(33)의 오픈 마진을 확보할 수 있다.According to the above-described embodiments, it is possible to additionally secure the space of the contact openings 33 and thus secure the open margins of the contact openings 33 .

또한, 비트라인(23)에 대향하는 스토리지노드 콘택 플러그, 즉 하부 플러그(36)의 크기를 줄이고 비트라인 스페이서(BLS)를 N-O 구조로 변경하므로, 비트라인 기생 캐패시턴스를 감소시킬 수 있다.In addition, since the size of the storage node contact plug opposite to the bit line 23, that is, the lower plug 36 is reduced and the bit line spacer BLS is changed to an N-O structure, bit line parasitic capacitance can be reduced.

또한, 스토리지노드 콘택 플러그의 상부 플러그(38)가 하부 플러그(36)보다 큰 폭을 가지므로, 후속 랜딩 패드(40)와의 접촉면적을 늘려 콘택저항을 개선할 수 있다.In addition, since the upper plug 38 of the storage node contact plug has a larger width than the lower plug 36, the contact resistance with the subsequent landing pad 40 can be increased by increasing the contact resistance.

또한, 플러그 분리층(32)의 종류와 무관하게 건식 식각을 이용한 비등방성 식각을 이용하여 콘택 오프닝들(33)의 면적 확보가 가능하다.In addition, it is possible to secure the area of the contact openings 33 by using anisotropic etching using dry etching, regardless of the type of the plug separation layer 32 .

전술한 본 발명은 전술한 실시예 및 첨부된 도면에 의해 한정되는 것이 아니고, 본 발명의 기술적 사상을 벗어나지 않는 범위 내에서 여러 가지 치환, 변형 및 변경이 가능하다는 것이 본 발명이 속하는 기술분야에서 통상의 지식을 가진 자에게 있어 명백할 것이다.The present invention described above is not limited by the above-described embodiments and the accompanying drawings, and it is common in the technical field to which the present invention belongs that various substitutions, modifications, and changes are possible without departing from the technical spirit of the present invention. It will be clear to those who have knowledge of

201 : 기판 202 : 소자분리층
203 : 활성영역 204 : 하드마스크층
205 : 트렌치 206 : 게이트 절연층
207 : 매립 워드라인 208 : 게이트 캡핑층
209 : 제1 불순물 영역 210 : 제2 불순물 영역
212 : 비트라인 콘택 플러그 213 : 비트라인
214 : 비트라인 하드마스크 215 : 제1 스페이서
215G : 갭필 스페이서 216 : 제2 스페이서
217 : 하부 플러그 218 : 상부 플러그
219 : 오믹콘택층 220 : 랜딩 패드
201: substrate 202: device isolation layer
203: active region 204: hard mask layer
205: trench 206: gate insulating layer
207: buried word line 208: gate capping layer
209: first impurity region 210: second impurity region
212: bit line contact plug 213: bit line
214: bit line hard mask 215: first spacer
215G: gap fill spacer 216: second spacer
217: lower plug 218: upper plug
219: ohmic contact layer 220: landing pad

Claims (27)

반도체 기판 상부에 서로 이격되어 형성된 복수의 비트라인구조물;
상기 비트라인구조물 각각의 양측벽에 형성된 제1 스페이서;
상기 복수의 비트라인구조물 사이에 형성되며 상기 반도체 기판에 접촉된 복수의 하부 플러그;
상기 하부 플러그 상부에 위치하되, 상기 하부 플러그보다 큰 선폭을 갖는 상부 플러그;
상기 하부 플러그와 상부 플러그 사이에 위치하되, 상기 하부 플러그보다 작은 선폭을 갖는 중간 플러그; 및
상기 중간 플러그와 제1 스페이서 사이에 위치하되 상기 제1 스페이서보다 두꺼운 제2 스페이서
를 포함하는 반도체 장치.
a plurality of bit line structures formed spaced apart from each other on the semiconductor substrate;
first spacers formed on both side walls of each of the bit line structures;
a plurality of lower plugs formed between the plurality of bit line structures and contacting the semiconductor substrate;
an upper plug positioned above the lower plug and having a larger line width than the lower plug;
a middle plug positioned between the lower plug and the upper plug and having a smaller line width than the lower plug; and
A second spacer positioned between the intermediate plug and the first spacer but thicker than the first spacer
A semiconductor device comprising a.
제1항에 있어서,
상기 하부 플러그, 중간 플러그 및 상부 플러그는 동일 물질을 포함하는 반도체 장치.
According to claim 1,
The lower plug, the middle plug, and the upper plug include the same material.
제1항에 있어서,
상기 하부 플러그, 중간 플러그 및 상부 플러그는 폴리실리콘을 포함하는 반도체 장치.
According to claim 1,
The semiconductor device of claim 1 , wherein the lower plug, the middle plug, and the upper plug include polysilicon.
제1항에 있어서,
상기 제1 스페이서는 실리콘질화물을 포함하고, 상기 제2 스페이서는 실리콘산화물을 포함하는 반도체 장치.
According to claim 1,
The first spacer includes silicon nitride, and the second spacer includes silicon oxide.
제1항에 있어서,
상기 제1 스페이서는 상기 비트라인구조물의 양측벽에 평행하는 라인 형상을 갖는 반도체 장치.
According to claim 1,
The first spacer has a line shape parallel to sidewalls of the bit line structure.
제1항에 있어서,
상기 제2 스페이서는 상기 하부 플러그의 측벽을 서라운딩하는 형상을 갖는 반도체 장치.
According to claim 1,
The second spacer has a shape surrounding a sidewall of the lower plug.
제1항에 있어서,
상기 상부 플러그 상부의 랜딩 패드;
상기 랜딩 패드와 상부 플러그 사이의 오믹콘택층; 및
상기 랜딩 패드 상부의 캐패시터
를 더 포함하는 반도체 장치.
According to claim 1,
a landing pad above the upper plug;
an ohmic contact layer between the landing pad and the upper plug; and
Capacitor on top of the landing pad
A semiconductor device further comprising a.
제7항에 있어서,
상기 랜딩 패드는 상기 비트라인구조물의 상부면을 오버랩하도록 연장되는 형상을 갖는 반도체 장치.
According to claim 7,
The semiconductor device of claim 1 , wherein the landing pad has a shape extending to overlap an upper surface of the bit line structure.
제1항에 있어서,
상기 비트라인구조물은,
상기 반도체 기판에 접속되는 비트라인 콘택 플러그;
상기 비트라인 콘택 플러그 상부의 비트라인; 및
상기 비트라인 상부의 비트라인 하드마스크
를 포함하는 반도체 장치.
According to claim 1,
The bit line structure,
a bit line contact plug connected to the semiconductor substrate;
a bit line over the bit line contact plug; and
Bit line hard mask on top of the bit line
A semiconductor device comprising a.
제1항에 있어서,
상기 비트라인 콘택 플러그의 양측벽에 상기 제1 스페이서의 일부분이 연장되고, 상기 연장된 제1 스페이서 상에 위치하는 갭필 스페이서를 더 포함하는 반도체 장치.
According to claim 1,
The semiconductor device further includes a gap-fill spacer in which a portion of the first spacer extends from sidewalls of the bit line contact plug and is positioned on the extended first spacer.
제1항에 있어서,
상기 하부 플러그는,
상기 반도체 기판에 접촉하는 광폭 플러그; 및
상기 광폭 플러그 상에 위치하되, 상기 광폭 플러그보다 작은 선폭을 갖는 협폭 플러그를 포함하는 반도체 장치.
According to claim 1,
The lower plug,
a wide plug contacting the semiconductor substrate; and
and a narrow plug positioned on the wide plug and having a line width smaller than that of the wide plug.
반도체 기판 상부에 복수의 비트라인구조물을 형성하는 단계;
상기 비트라인구조물의 양측벽에 제1 스페이서를 형성하는 단계;
상기 제1 스페이서 상에 상기 비트라인구조물들 사이에 위치하는 플러그 분리층들 및 초기 콘택 오프닝들을 형성하는 단계;
상기 초기 콘택 오프닝들보다 넓어진 콘택 오프닝들을 형성하기 위해, 상기 플러그분리층들 및 초기 콘택 오프닝들을 트리밍하는 단계;
상기 콘택 오프닝들의 측벽을 서라운딩하는 희생 스페이서를 형성하는 단계;
상기 콘택 오프닝들을 부분적으로 채우는 하부 플러그를 형성하는 단계;
상기 하부 플러그들을 서라운딩하는 에어갭들을 형성하기 위해, 상기 희생 스페이서들을 제거하는 단계; 및
상기 하부 플러그를 서라운딩하면서 상기 에어갭들을 채우는 제2 스페이서를 형성하는 단계
를 포함하는 반도체 장치 제조 방법.
forming a plurality of bit line structures on the semiconductor substrate;
forming first spacers on both side walls of the bit line structure;
forming plug separation layers and initial contact openings positioned between the bit line structures on the first spacer;
trimming the plug isolation layers and the initial contact openings to form contact openings wider than the initial contact openings;
forming sacrificial spacers surrounding sidewalls of the contact openings;
forming a lower plug partially filling the contact openings;
removing the sacrificial spacers to form air gaps surrounding the lower plugs; and
Forming a second spacer filling the air gaps while surrounding the lower plug
A semiconductor device manufacturing method comprising a.
제12항에 있어서,
상기 제2 스페이서를 형성하는 단계는,
상기 하부 플러그의 노출면들을 선택적으로 산화시키는 단계를 포함하는 반도체 장치 제조 방법.
According to claim 12,
Forming the second spacer,
and selectively oxidizing exposed surfaces of the lower plug.
제12항에 있어서,
상기 제2 스페이서를 형성하는 단계는,
상기 하부 플러그의 노출면들 상에 제1 산화물을 형성하는 단계; 및
상기 제1 산화물 상에 상기 에어갭들을 채우는 제2 산화물을 형성하는 단계
를 포함하는 반도체 장치 제조 방법.
According to claim 12,
Forming the second spacer,
forming a first oxide on exposed surfaces of the lower plug; and
Forming a second oxide filling the air gaps on the first oxide
A semiconductor device manufacturing method comprising a.
제12항에 있어서,
상기 제2 스페이서는 상기 제1 스페이서보다 두껍게 형성하는 반도체 장치 제조 방법.
According to claim 12,
The second spacer is formed to be thicker than the first spacer.
제12항에 있어서,
상기 제1 스페이서는 실리콘질화물을 포함하고, 상기 제2 스페이서는 실리콘산화물을 포함하는 반도체 장치 제조 방법.
According to claim 12,
The method of claim 1 , wherein the first spacer includes silicon nitride, and the second spacer includes silicon oxide.
제12항에 있어서,
상기 제2 스페이서를 형성하는 단계 이후에,
상기 하부 플러그 상에 상기 하부 플러그보다 큰 선폭을 갖는 상부 플러그를 형성하는 단계를 더 포함하는 반도체 장치 제조 방법.
According to claim 12,
After forming the second spacer,
and forming an upper plug having a larger line width than the lower plug on the lower plug.
제17항에 있어서,
상기 하부 플러그와 상부 플러그는 폴리실리콘을 포함하는 반도체 장치 제조 방법.
According to claim 17,
The lower plug and the upper plug include polysilicon.
제12항에 있어서,
상기 제2 스페이서를 형성하는 단계 이후에,
상기 하부 플러그 상에 상기 하부 플러그보다 큰 선폭을 갖는 상부 플러그를 형성하는 단계;
상기 상부 플러그 상부에 랜딩 패드를 형성하는 단계; 및
상기 랜딩 패드 상부에 캐패시터를 형성하는 단계
를 더 포함하는 반도체 장치 제조 방법.
According to claim 12,
After forming the second spacer,
forming an upper plug having a larger line width than the lower plug on the lower plug;
forming a landing pad on top of the upper plug; and
Forming a capacitor on the landing pad
A semiconductor device manufacturing method further comprising a.
제19항에 있어서,
상기 하부 플러그와 상부 플러그는 폴리실리콘을 포함하고, 상기 랜딩 패드는 금속 물질을 포함하는 반도체 장치 제조 방법.
According to claim 19,
The method of claim 1 , wherein the lower plug and the upper plug include polysilicon, and the landing pad includes a metal material.
제12항에 있어서,
상기 희생 스페이서는 티타늄질화물을 포함하는 반도체 장치 제조 방법.
According to claim 12,
The sacrificial spacer includes titanium nitride.
반도체 기판 상부에 복수의 비트라인구조물을 형성하는 단계;
상기 비트라인구조물의 양측벽에 제1 스페이서를 형성하는 단계;
상기 제1 스페이서 상에 희생 스페이서를 형성하는 단계;
상기 희생 스페이서 상에 상기 비트라인구조물들 사이에 위치하는 플러그 분리층들 및 초기 콘택 오프닝들을 형성하는 단계;
상기 초기 콘택 오프닝들을 부분적으로 채우는 하부 플러그를 형성하는 단계;
상기 초기 콘택 오프닝들보다 넓어진 콘택 오프닝들을 형성하기 위해, 상기 희생스페이서 및 플러그분리층들을 트리밍하는 단계;
상기 콘택 오프닝들의 측벽을 서라운딩하되 상기 제1 스페이서보다 두꺼운 제2 스페이서를 형성하는 단계; 및
상기 제2 스페이서 및 하부 플러그 상에 상기 하부 플러그보다 큰 선폭을 갖는 상부 플러그를 형성하는 단계
를 포함하는 반도체 장치 제조 방법.
forming a plurality of bit line structures on the semiconductor substrate;
forming first spacers on both side walls of the bit line structure;
forming a sacrificial spacer on the first spacer;
forming plug isolation layers and initial contact openings positioned between the bit line structures on the sacrificial spacer;
forming a lower plug partially filling the initial contact openings;
trimming the sacrificial spacer and the plug isolation layers to form contact openings wider than the initial contact openings;
forming second spacers that surround sidewalls of the contact openings but are thicker than the first spacers; and
Forming an upper plug having a larger line width than the lower plug on the second spacer and the lower plug;
A semiconductor device manufacturing method comprising a.
제22항에 있어서,
상기 희생 스페이서는 티타늄질화물을 포함하는 반도체 장치 제조 방법.
The method of claim 22,
The sacrificial spacer includes titanium nitride.
제22항에 있어서,
상기 하부 플러그와 상부 플러그는 폴리실리콘을 포함하는 반도체 장치 제조 방법.
The method of claim 22,
The lower plug and the upper plug include polysilicon.
제22항에 있어서,
상기 제1 스페이서는 실리콘질화물을 포함하고, 상기 제2 스페이서는 실리콘산화물을 포함하는 반도체 장치 제조 방법.
The method of claim 22,
The method of claim 1 , wherein the first spacer includes silicon nitride, and the second spacer includes silicon oxide.
반도체 기판 상부에 복수의 비트라인구조물을 형성하는 단계;
상기 비트라인구조물의 양측벽에 제1 스페이서를 형성하는 단계;
상기 제1 스페이서 상에 희생 스페이서를 형성하는 단계;
상기 희생 스페이서 상에 상기 비트라인구조물들 사이에 위치하는 플러그 분리층들 및 초기 콘택 오프닝들을 형성하는 단계;
상기 초기 콘택 오프닝들보다 넓어진 콘택 오프닝들을 형성하기 위해, 상기 희생스페이서 및 플러그 분리층들을 트리밍하는 단계;
상기 초기 콘택 오프닝들을 부분적으로 채우는 하부 플러그를 형성하는 단계;
상기 하부 플러그의 측벽을 서라운딩하는 에어갭을 형성하기 위해, 상기 희생 스페이서를 제거하는 단계;
상기 에어갭을 채우되 상기 제1 스페이서보다 두꺼운 제2 스페이서를 형성하는 단계; 및
상기 제2 스페이서 및 하부 플러그 상에 상기 하부 플러그보다 큰 선폭을 갖는 상부 플러그를 형성하는 단계
를 포함하는 반도체 장치 제조 방법.
forming a plurality of bit line structures on the semiconductor substrate;
forming first spacers on both side walls of the bit line structure;
forming a sacrificial spacer on the first spacer;
forming plug isolation layers and initial contact openings positioned between the bit line structures on the sacrificial spacer;
trimming the sacrificial spacer and plug separation layers to form contact openings wider than the initial contact openings;
forming a lower plug partially filling the initial contact openings;
removing the sacrificial spacer to form an air gap surrounding a sidewall of the lower plug;
forming a second spacer that fills the air gap and is thicker than the first spacer; and
Forming an upper plug having a larger line width than the lower plug on the second spacer and the lower plug;
A semiconductor device manufacturing method comprising a.
반도체 기판 상부에 복수의 비트라인구조물을 형성하는 단계;
상기 비트라인구조물의 양측벽에 제1 스페이서를 형성하는 단계;
상기 제1 스페이서 상에 제1 희생 스페이서를 형성하는 단계;
상기 제1 희생 스페이서 상에 상기 비트라인구조물들 사이에 위치하는 플러그 분리층들 및 초기 콘택 오프닝들을 형성하는 단계;
상기 초기 콘택 오프닝들보다 넓어진 콘택 오프닝들을 형성하기 위해, 상기 플러그 분리층들을 트리밍하는 단계;
상기 초기 콘택 오프닝들을 부분적으로 채우는 광폭 플러그를 형성하는 단계;
상기 광폭 플러그 상부에 제2 희생 스페이서를 형성하는 단계;
상기 제2 희생스페이서에 의해 노출된 상기 광폭 플러그 상에 상기 광폭 플러그보다 작은 선폭을 갖는 협폭 플러그를 형성하는 단계;
상기 협폭 플러그의 측벽을 서라운딩하는 에어갭을 형성하기 위해, 상기 제1 및 제2 희생 스페이서를 제거하는 단계;
상기 에어갭을 채우되 상기 제1 스페이서보다 두꺼운 제2 스페이서를 형성하는 단계; 및
상기 제2 스페이서 및 협폭 플러그 상에 상기 협폭 플러그보다 큰 선폭을 갖는 상부 플러그를 형성하는 단계
를 포함하는 반도체 장치 제조 방법.
forming a plurality of bit line structures on the semiconductor substrate;
forming first spacers on both side walls of the bit line structure;
forming a first sacrificial spacer on the first spacer;
forming plug isolation layers and initial contact openings positioned between the bit line structures on the first sacrificial spacer;
trimming the plug isolation layers to form contact openings wider than the initial contact openings;
forming a wide plug partially filling the initial contact openings;
forming a second sacrificial spacer on the wide plug;
forming a narrow plug having a smaller line width than the wide plug on the wide plug exposed by the second sacrificial spacer;
removing the first and second sacrificial spacers to form an air gap surrounding a sidewall of the narrow plug;
forming a second spacer that fills the air gap and is thicker than the first spacer; and
forming an upper plug having a larger line width than the narrow plug on the second spacer and the narrow plug;
A semiconductor device manufacturing method comprising a.
KR1020210147251A 2021-07-13 2021-10-29 Semiconductor device and method for fabricating the same KR20230011204A (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
US17/717,959 US20230017800A1 (en) 2021-07-13 2022-04-11 Semiconductor device and method for fabricating the same
CN202210820477.9A CN115621195A (en) 2021-07-13 2022-07-12 Semiconductor device and method for manufacturing the same

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
KR20210091589 2021-07-13
KR1020210091589 2021-07-13

Publications (1)

Publication Number Publication Date
KR20230011204A true KR20230011204A (en) 2023-01-20

Family

ID=85108398

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020210147251A KR20230011204A (en) 2021-07-13 2021-10-29 Semiconductor device and method for fabricating the same

Country Status (1)

Country Link
KR (1) KR20230011204A (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN117500270A (en) * 2023-12-28 2024-02-02 长鑫集电(北京)存储技术有限公司 Semiconductor structure and manufacturing method thereof

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN117500270A (en) * 2023-12-28 2024-02-02 长鑫集电(北京)存储技术有限公司 Semiconductor structure and manufacturing method thereof
CN117500270B (en) * 2023-12-28 2024-04-19 长鑫集电(北京)存储技术有限公司 Semiconductor structure and manufacturing method thereof

Similar Documents

Publication Publication Date Title
US11538812B2 (en) Semiconductor device with air gap and method for fabricating the same
US10923390B2 (en) Semiconductor device with air gap and method for fabricating the same
KR102444707B1 (en) Semiconductor device with ultra low k spacer and method for fabricating the same
KR20210026808A (en) Semiconductor device having buried gate structure and method for manufacturing the same
KR102376804B1 (en) Semiconductor device with low k spacer and method for fabricating the same
US11877437B2 (en) Semiconductor device with low-k spacer
US20210320008A1 (en) Method for fabricating semiconductor device
KR101882360B1 (en) Semiconductor device including buried gate structure and method of manufacturing the same
KR20200143113A (en) Semiconductor device and method for fabricating the same
US20220406789A1 (en) Semiconductor device and method for fabricating the same
US20220359400A1 (en) Semiconductor device and method for fabricating the same
US20230017800A1 (en) Semiconductor device and method for fabricating the same
KR20230011204A (en) Semiconductor device and method for fabricating the same
KR20230160525A (en) Semiconductor device and method for fabricating of the same
US20240074165A1 (en) Semiconductor device and method for fabricating the same
US20230164982A1 (en) Semiconductor device with a low-k spacer and method for fabricating the same
US11895828B2 (en) Semiconductor memory device
US20230171953A1 (en) Semiconductor device and method for fabricating the same
KR20220023682A (en) Semiconductor device with low k spacer and method for fabricating the same
KR20220125546A (en) Semiconductor device and fabricating of the same
TW202403970A (en) Semiconductor device having buried gate structure
KR20240062198A (en) Semiconductor devices
KR20240073476A (en) Semiconductor device and method for fabricating the same