KR20220169356A - Etchant compositions and methods of manufacturing integrated circuit device using the same - Google Patents

Etchant compositions and methods of manufacturing integrated circuit device using the same Download PDF

Info

Publication number
KR20220169356A
KR20220169356A KR1020210146811A KR20210146811A KR20220169356A KR 20220169356 A KR20220169356 A KR 20220169356A KR 1020210146811 A KR1020210146811 A KR 1020210146811A KR 20210146811 A KR20210146811 A KR 20210146811A KR 20220169356 A KR20220169356 A KR 20220169356A
Authority
KR
South Korea
Prior art keywords
group
substituted
unsubstituted
carbon atoms
etching
Prior art date
Application number
KR1020210146811A
Other languages
Korean (ko)
Inventor
이진욱
임정훈
송병학
이승우
Original Assignee
솔브레인 주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 솔브레인 주식회사 filed Critical 솔브레인 주식회사
Publication of KR20220169356A publication Critical patent/KR20220169356A/en

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K13/00Etching, surface-brightening or pickling compositions
    • C09K13/04Etching, surface-brightening or pickling compositions containing an inorganic acid
    • C09K13/06Etching, surface-brightening or pickling compositions containing an inorganic acid with organic material
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K13/00Etching, surface-brightening or pickling compositions
    • C09K13/04Etching, surface-brightening or pickling compositions containing an inorganic acid
    • C09K13/08Etching, surface-brightening or pickling compositions containing an inorganic acid containing a fluorine compound
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Inorganic Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Materials Engineering (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Weting (AREA)

Abstract

The technical idea of the present invention relates to an etching composition and a method of manufacturing an integrated circuit device using the same, and in particular, to an etching composition for etching a nitride film and a method for manufacturing an integrated circuit device using the same. An effective oxide layer height (EFH) can be easily adjusted as an etching rate of an oxide layer is controlled due to high etching selectivity of the nitride layer.

Description

식각 조성물 및 이를 이용한 집적회로 소자의 제조방법{ETCHANT COMPOSITIONS AND METHODS OF MANUFACTURING INTEGRATED CIRCUIT DEVICE USING THE SAME}Etching composition and manufacturing method of integrated circuit device using the same

본 발명의 기술적 사상은 식각 조성물 및 이를 이용한 반도체 소자의 제조 방법에 관한 것으로, 특히 질화막을 식각하기 위한 식각 조성물 및 이를 이용한 반도체 소자의 제조 방법에 관한 것이다.The technical idea of the present invention relates to an etching composition and a method of manufacturing a semiconductor device using the same, and in particular, to an etching composition for etching a nitride film and a method of manufacturing a semiconductor device using the same.

최근 정보 통신 장치의 다기능화에 따라 메모리 소자를 포함하는 반도체 소자의 대용량화 및 고집적화가 요구되고 있다. 고집적화를 위한 메모리 셀크기의 축소에 따라, 메모리 소자의 동작 및 전기적 연결을 위해 메모리 소자에 포함되는 동작 회로들 및 배선 구조도 복잡해지고 있다. 고도로 다운-스케일링(downscaling)된 반도체 소자의 제조 공정에서 대표적인 절연막인 산화막 및 질화막이 각각 단독으로, 또는 교대로 적층되어 사용될 수 있으며, 복잡하고 미세화된 구조, 예를 들면 3 차원 구조의 전자 소자를 구성하기 위하여 다양한 형상의 패턴으로 이루어지는 질화막의 선택적 식각 공정이 요구될 수 있다. 특히, 질화막의 식각 공정중에 불필요한 파티클 발생 또는 산화막 표면에서 부산물들이 원하지 않게 이상 성장되는 현상 등과 같은 문제점을 야기하지 않으면서 산화막 대비 질화막의 충분한 식각 선택비를 확보할 수 있는 식각 조성물이 요구된다.[0002] Recently, with the multifunctionalization of information communication devices, large-capacity and high-integration of semiconductor devices including memory devices are required. As the size of a memory cell is reduced for high integration, operating circuits and wiring structures included in a memory device for operation and electrical connection of the memory device are becoming more complex. In the manufacturing process of a highly downscaling semiconductor device, oxide and nitride films, which are representative insulating films, may be used alone or alternately stacked, and complex and miniaturized structures, for example, electronic devices having a three-dimensional structure In order to configure, a selective etching process of a nitride film made of a pattern of various shapes may be required. In particular, there is a need for an etching composition capable of securing a sufficient etching selectivity of a nitride film to an oxide film without causing problems such as unnecessary particle generation during the nitride film etching process or undesirable abnormal growth of by-products on the surface of the oxide film.

집적회로(반도체) 소자에 있어서, 실리콘 산화막(SiO2) 등의 산화막과 실리콘 질화막(SiNx) 등의 질화막은 대표적인 절연막으로 각각 단독, 또는 1층 이상의 막들이 교대로 적층된 구조를 갖는다. 이러한 산화막과 질화막은 금속 배선 등의 도전성 패턴을 형성하기 위한 하드마스크로도 이용된다.In an integrated circuit (semiconductor) device, an oxide film such as a silicon oxide film (SiO 2 ) and a nitride film such as a silicon nitride film (SiNx) are representative insulating films, each having a structure in which one or more layers are alternately stacked. These oxide films and nitride films are also used as hard masks for forming conductive patterns such as metal wires.

상기 질화막을 제거하기 위한 습식 식각 공정에서는 일반적으로 인산(phosphoric acid)과 탈이온수(deionized water)가 혼합된 식각 조성물이 사용되고 있다. 이때, 상기 탈이온수는 식각율 감소 및 산화막에 대한 식각선택성의 변화를 방지하기 위해 첨가되고 있으나, 습식 식각 공정을 통한 질화막 제거시 탈이온수 양의 미세한 변화에 의해 불량이 발생하고, 산화막에 대한 질화막의 식각 선택비의 저하로 인해 질화막을 요구되는 수준으로 식각하는데 한계가 있다는 문제가 있었다.In the wet etching process for removing the nitride film, an etching composition in which phosphoric acid and deionized water are mixed is generally used. At this time, the deionized water is added to prevent a decrease in the etching rate and a change in the etching selectivity for the oxide film, but when the nitride film is removed through a wet etching process, a defect occurs due to a slight change in the amount of deionized water, and the nitride film for the oxide film There was a problem in that there was a limit to etching the nitride film to a required level due to the decrease in the etching selectivity of .

이에, 본 발명은 질화막의 식각 공정 중에 불필요한 파티클 발생 또는 산화막 표면에서 부산물들이 원하지 않게 이상 성장되는 현상 등과 같은 문제점을 야기하지 않으면서 산화막 대비 질화막의 충분한 식각 선택비를 확보할 수 있는 식각 조성물을 제공하고자 한다.Therefore, the present invention is to provide an etching composition capable of securing a sufficient etching selectivity of a nitride film to an oxide film without causing problems such as unnecessary particle generation during the nitride film etching process or undesirable abnormal growth of by-products on the surface of the oxide film. do.

또한, 본 발명은 복잡하고 미세화된 구조를 가지는 전자 소자를 구현하기 위한 다양한 형상의 질화막을 식각하는 동안 불필요한 파티클 발생 또는 산화막의 표면에서 부산물들이 원하지 않게 이상 성장되는 현상 등과 같은 문제점을 야기하지 않으면서 산화막 대비 질화막의 충분한 식각 선택비를 확보하여, 질화막 식각 공정의 안정성 및 신뢰성을 확보할 수 있고, 반도체 소자 제조 공정의 생산성을 향상시킬 수 있는 반도체 소자의 제조방법을 제공하고자 한다.In addition, the present invention is an oxide film without causing problems such as unnecessary particle generation or undesirable abnormal growth of by-products on the surface of the oxide film while etching nitride films of various shapes for implementing electronic devices having complex and miniaturized structures. An object of the present invention is to provide a method of manufacturing a semiconductor device capable of securing a sufficient etching selectivity of a nitride film to secure stability and reliability of a nitride film etching process and improving productivity of a semiconductor device manufacturing process.

본 발명이 이루고자 하는 기술적 과제는 이상에서 언급한 기술적 과제로 한정되지 않으며, 언급되지 않은 또 다른 기술적 과제들은 아래의 기재로부터 본 발명이 속하는 기술 분야에서 통상의 지식을 가진 자에게 명확하게 이해될 수 있을 것이다.The technical problem to be achieved by the present invention is not limited to the above-mentioned technical problem, and other technical problems not mentioned can be clearly understood by those skilled in the art from the description below. There will be.

전술한 기술적 과제를 달성하기 위한 기술적 수단으로서, 본 발명의 일 측면은,As a technical means for achieving the above-described technical problem, one aspect of the present invention,

제1 무기산; 및 제2 무기산과 하기 화학식 1의 실란계 화합물을 반응시켜 제조된 실란계 무기산염;을 포함하는 것을 특징으로 하는 식각 조성물을 제공한다a first inorganic acid; and a silane-based inorganic acid salt prepared by reacting a second inorganic acid with a silane-based compound represented by Formula 1 below.

[화학식 1][Formula 1]

Figure pat00001
Figure pat00001

상기 화학식 1에서, R1 내지 R5, R7 및 R8은 각각 독립적으로 수소, 할로겐, 탄소수 1 내지 10의 치환 또는 비치환된 알킬기, 탄소수 1 내지 10의 치환 또는 비치환된 시클로알킬기, 탄소수 1 내지 10의 치환 또는 비치환된 알케닐기, 탄소수 1 내지 10의 치환 또는 비치환된 알콕시기, 탄소수 5 내지 20의 치환 또는 비치환된 아릴기, 탄소수 1 내지 10의 치환 또는 비치환된 아미노알킬기, 탄소수 1 내지 10의 치환 또는 비치환된 알킬아미노기, 및 탄소수 5 내지 20의 치환 또는 비치환된 헤테로아릴기로 이루어진 군으로부터 선택되는 어느 하나이고, R6는 단일결합 또는 탄소수 1 내지 10의 치환 또는 비치환된 알킬렌기, 탄소수 3 내지 10의 치환 또는 비치환된 시클로알킬렌기, 탄소수 1 내지 10의 치환 또는 비치환된 알케닐렌기, 탄소수 5 내지 20의 치환 또는 비치환된 아릴렌기, 탄소수 5 내지 20의 치환 또는 비치환된 헤테로아릴렌기, 산소 원자, 카르보닐렌기, 및 탄소수 1 내지 20의 치환 또는 비치환된 옥시 알킬렌기로 이루어진 군으로부터 선택되는 어느 하나이며, 상기 R1 내지 R3 중 적어도 어느 하나는 할로겐 원자 또는 탄소수 1 내지 10의 치환 또는 비치환된 알콕시기일 수 있다.In Formula 1, R 1 to R 5 , R 7 and R 8 are each independently Hydrogen, halogen, substituted or unsubstituted alkyl group having 1 to 10 carbon atoms, substituted or unsubstituted cycloalkyl group having 1 to 10 carbon atoms, substituted or unsubstituted alkenyl group having 1 to 10 carbon atoms, substituted or unsubstituted carbon atoms 1 to 10 cyclic alkoxy group, substituted or unsubstituted aryl group having 5 to 20 carbon atoms, substituted or unsubstituted aminoalkyl group having 1 to 10 carbon atoms, substituted or unsubstituted alkylamino group having 1 to 10 carbon atoms, and 5 to 20 carbon atoms It is any one selected from the group consisting of a substituted or unsubstituted heteroaryl group, R 6 is a single bond or a substituted or unsubstituted alkylene group having 1 to 10 carbon atoms, a substituted or unsubstituted cycloalkylene group having 3 to 10 carbon atoms, A substituted or unsubstituted alkenylene group having 1 to 10 carbon atoms, a substituted or unsubstituted arylene group having 5 to 20 carbon atoms, a substituted or unsubstituted heteroarylene group having 5 to 20 carbon atoms, an oxygen atom, a carbonylene group, and a carbon number It is any one selected from the group consisting of 1 to 20 substituted or unsubstituted oxyalkylene groups, and at least one of R 1 to R 3 may be a halogen atom or a substituted or unsubstituted alkoxy group having 1 to 10 carbon atoms. .

상기 R1 내지 R3 중 적어도 어느 하나는 할로겐 원자이고, 상기 R4 및 R5는 각각 독립적으로 수소, 탄소수 1 내지 5의 치환 또는 비치환된 알킬기, 탄소수 1 내지 5의 치환 또는 비치환된 아미노알킬기, 탄소수 1 내지 5의 치환 또는 비치환된 알킬아미노기, 및 적어도 하나의 헤테로 원자를 포함하는 탄소수 1 내지 5의 치환 또는 비치환된 알킬기로 이루어진 군으로부터 선택되는 어느 하나이며, 상기 R6는 단일결합 또는 탄소수 1 내지 10의 치환 또는 비치환된 알킬렌기, 또는 카르보닐렌기인 것을 특징으로 하는 것일 수 있다.At least one of R 1 to R 3 is a halogen atom, and R 4 and R 5 are each independently Carbon atoms including hydrogen, a substituted or unsubstituted alkyl group having 1 to 5 carbon atoms, a substituted or unsubstituted aminoalkyl group having 1 to 5 carbon atoms, a substituted or unsubstituted alkylamino group having 1 to 5 carbon atoms, and at least one heteroatom Any one selected from the group consisting of 1 to 5 substituted or unsubstituted alkyl groups, wherein R 6 is a single bond or a substituted or unsubstituted alkylene group having 1 to 10 carbon atoms, or a carbonylene group. can

상기 제1 무기산 또는 제2 무기산은 황산, 질산, 인산, 규산, 불산, 붕산, 염산 및 과염소산으로 이루어진 군에서 선택된 1종 이상을 포함할 수 있다.The first inorganic acid or the second inorganic acid may include at least one selected from the group consisting of sulfuric acid, nitric acid, phosphoric acid, silicic acid, hydrofluoric acid, boric acid, hydrochloric acid, and perchloric acid.

상기 식각 조성물 전체 중량에 대하여, 제1 무기산 70 내지 99 중량%; 상기 실란계 무기산염 0.01 내지 15 중량%; 및 잔부의 용매를 포함하는 것을 특징으로 하는 것일 수 있다.Based on the total weight of the etching composition, 70 to 99% by weight of the first inorganic acid; 0.01 to 15% by weight of the silane-based inorganic acid salt; And it may be characterized in that it comprises a solvent of the remainder.

상기 실란계 무기산염은, 상기 제2 무기산에 상기 실란계 화합물을 첨가한 후, 20 내지 300℃에서 반응시키는 것에 의해 수득되는 것을 특징으로 하는 것일 수 있다.The silane-based inorganic acid salt may be obtained by adding the silane-based compound to the second inorganic acid and then reacting at 20 to 300 ° C.

상기 실란계 무기산염은, 상기 제2 무기산 100 중량부에 대하여 상기 실란계 화합물 0.001 내지 50 중량부를 반응시키는 것에 의해 제조된 것을 특징으로 하는 것일 수 있다.The silane-based inorganic acid salt may be prepared by reacting 0.001 to 50 parts by weight of the silane-based compound with respect to 100 parts by weight of the second inorganic acid.

상기 식각 조성물은 암모늄계 화합물을 더 포함하는 것일 수 있다.The etching composition may further include an ammonium-based compound.

상기 암모늄계 화합물은 암모늄 클로라이드, 암모늄 포스페이트, 암모늄 아세테이트, 암모늄 설페이트, 암모늄 포메이트, 및 금속 아민 착염 중에서 적어도 하나를 포함하는 것일 수 있다.The ammonium-based compound may include at least one of ammonium chloride, ammonium phosphate, ammonium acetate, ammonium sulfate, ammonium formate, and a metal amine complex salt.

상기 식각 조성물은 실리콘 질화막 식각에 이용되는 것을 특징으로 하는 것일 수 있다.The etching composition may be characterized in that it is used for etching a silicon nitride film.

상기 실란계 무기산염의 함량이 0.3 중량% 이상일 때, 상기 식각 조성물의 실리콘 질화막/산화막 식각 선택비는 100 이상인 것을 특징으로 하는 것일 수 있다.When the content of the silane-based inorganic acid salt is 0.3% by weight or more, the etching selectivity of the silicon nitride film/oxide film of the etching composition may be 100 or more.

상기 실란계 무기산염의 함량이 2.0 중량% 이상일 때, 상기 식각 조성물의 실리콘 질화막/산화막 식각 선택비는 200 이상인 것을 특징으로 하는 것일 수 있다.When the content of the silane-based inorganic acid salt is 2.0% by weight or more, the etching selectivity of the silicon nitride film/oxide film of the etching composition may be 200 or more.

본 발명의 다른 일 측면은, Another aspect of the present invention is,

기판 상에 절연막 및 희생막이 적층하여 구조체를 형성하는 단계; 및 상기 식각 조성물을 사용한 식각 공정을 수행하여, 희생막을 제거하여 공간 영역을 형성하는 단계;를 포함하는 집적회로 소자의 제조방법을 제공한다.forming a structure by stacking an insulating film and a sacrificial film on a substrate; and forming a space region by removing the sacrificial layer by performing an etching process using the etching composition.

상기 희생막은 실리콘 질화물을 포함하고, 상기 절연막은 실리콘 산화물을 포함하는 것일 수 있다.The sacrificial layer may include silicon nitride, and the insulating layer may include silicon oxide.

상기 식각 공정에서. 상기 희생막은 상기 절연막보다 높은 식각률을 갖는 것을 특징으로 하는 것일 수 있다.in the etching process. The sacrificial layer may have a higher etch rate than the insulating layer.

상기 식각 공정을 수행하여, 희생막을 제거하여 공간 영역을 형성하는 단계;에서, 상기 공간 영역은 상기 절연막 사이에 형성되는 게이트 영역 및 상기 게이트 영역과 연결되는 트렌치를 포함하는 것을 특징으로 하는 것일 수 있다.In the step of performing the etching process to remove the sacrificial layer to form a space region, the space region may include a gate region formed between the insulating layers and a trench connected to the gate region. .

상기 적층 구조체를 관통하는 오프닝들을 형성하는 것; 및 상기 오프닝들 내에 상기 트렌치와 이격된 집적회로 패턴을 형성하는 것을 더 포함하고, 상기 집적회로 패턴을 형성하는 것은 상기 트렌치를 형성하기 이전에 수행되는 것일 수 있다.forming openings penetrating the laminated structure; and forming an integrated circuit pattern spaced apart from the trench in the openings, wherein forming the integrated circuit pattern may be performed before forming the trench.

본 발명의 다른 일 측면은, Another aspect of the present invention is,

절연막 및 희생막이 적층되어 형성되는 구조체; 상기 구조체에서 희생막이 식각 조성물에 의해 식각되어 형성되는 공간부; 및 상기 공간부에 도전재료 또는 절연재료가 증착되어 형성되는 증착부;를 포함하고, 상기 식각 조성물은 제1 무기산; 및 제2 무기산과 하기 화학식 1의 실란계 화합물을 반응시켜 제조된 실란계 무기산염;을 포함하는 것을 특징으로 하는 집적회로 소자를 제공한다.a structure formed by stacking an insulating film and a sacrificial film; a space portion formed by etching the sacrificial film with an etching composition in the structure; and a deposition part formed by depositing a conductive material or an insulating material on the space part, wherein the etching composition includes a first inorganic acid; and a silane-based inorganic acid salt prepared by reacting a second inorganic acid with a silane-based compound represented by Formula 1 below.

[화학식 1][Formula 1]

Figure pat00002
Figure pat00002

(상기 화학식 1에서, (In Formula 1 above,

R1 내지 R5, R7 및 R8은 각각 독립적으로 수소, 할로겐, 탄소수 1 내지 10의 치환 또는 비치환된 알킬기, 탄소수 1 내지 10의 치환 또는 비치환된 시클로알킬기, 탄소수 1 내지 10의 치환 또는 비치환된 알케닐기, 탄소수 1 내지 10의 치환 또는 비치환된 알콕시기, 탄소수 5 내지 20의 치환 또는 비치환된 아릴기, 탄소수 1 내지 10의 치환 또는 비치환된 아미노알킬기, 탄소수 1 내지 10의 치환 또는 비치환된 알킬아미노기, 및 탄소수 5 내지 20의 치환 또는 비치환된 헤테로아릴기로 이루어진 군으로부터 선택되는 어느 하나이고, R 1 to R 5 , R 7 and R 8 are each independently Hydrogen, halogen, substituted or unsubstituted alkyl group having 1 to 10 carbon atoms, substituted or unsubstituted cycloalkyl group having 1 to 10 carbon atoms, substituted or unsubstituted alkenyl group having 1 to 10 carbon atoms, substituted or unsubstituted carbon atoms 1 to 10 cyclic alkoxy group, substituted or unsubstituted aryl group having 5 to 20 carbon atoms, substituted or unsubstituted aminoalkyl group having 1 to 10 carbon atoms, substituted or unsubstituted alkylamino group having 1 to 10 carbon atoms, and 5 to 20 carbon atoms Any one selected from the group consisting of a substituted or unsubstituted heteroaryl group,

R6는 단일결합 또는 탄소수 1 내지 10의 치환 또는 비치환된 알킬렌기, 탄소수 3 내지 10의 치환 또는 비치환된 시클로알킬렌기, 탄소수 1 내지 10의 치환 또는 비치환된 알케닐렌기, 탄소수 5 내지 20의 치환 또는 비치환된 아릴렌기, 탄소수 5 내지 20의 치환 또는 비치환된 헤테로아릴렌기, 산소 원자, 카르보닐렌기, 및 탄소수 1 내지 20의 치환 또는 비치환된 옥시 알킬렌기로 이루어진 군으로부터 선택되는 어느 하나이며,R 6 is a single bond or a substituted or unsubstituted alkylene group having 1 to 10 carbon atoms, a substituted or unsubstituted cycloalkylene group having 3 to 10 carbon atoms, a substituted or unsubstituted alkenylene group having 1 to 10 carbon atoms, or a substituted or unsubstituted alkenylene group having 5 to 10 carbon atoms Selected from the group consisting of a substituted or unsubstituted arylene group of 20, a substituted or unsubstituted heteroarylene group of 5 to 20 carbon atoms, an oxygen atom, a carbonylene group, and a substituted or unsubstituted oxyalkylene group of 1 to 20 carbon atoms which one is

상기 R1 내지 R3 중 적어도 어느 하나는 할로겐 원자 또는 탄소수 1 내지 10의 치환 또는 비치환된 알콕시기이다)At least one of R 1 to R 3 is a halogen atom or a substituted or unsubstituted alkoxy group having 1 to 10 carbon atoms)

본 발-명의 일 실시예에 따르면 질화막의 식각 선택비가 높아 산화막의 식각속도가 조절됨에 따라 유효 산화막 높이(EFH)를 용이하게 조절할 수 있다. 또한, 본 발명의 식각 조성물은 질화막 제거시에 산화막의 막질 손상, 산화막의 식각으로 인한 전기적 특성 저하 및 파티클 발생 등이 방지되어 반도체 소자의 신뢰성을 향상시킬 수 있다.According to an embodiment of the present invention, the effective oxide layer height (EFH) can be easily adjusted as the etching rate of the oxide layer is controlled due to the high etching selectivity of the nitride layer. In addition, the etching composition of the present invention can improve the reliability of a semiconductor device by preventing damage to the film quality of the oxide film, degradation of electrical characteristics and generation of particles due to etching of the oxide film when the nitride film is removed.

또한, 질화막을 식각할 때 질화막과 산화막이 교대로 적층되거나 혼재되어 있는 경우에도 질화막과 산화막과의 식각 선택비가 약 100:1 내지 약 6500:1의 비교적 높은 식각 선택비를 가지고 질화막 만을 선택적으로 식각할 수 있다. 따라서, 복잡하고 미세화된 구조를 가지는 전자 소자를 구성하기 위하여 다양한 형상의 패턴으로 이루어지는 질화막을 식각하는 동안 불필요한 파티클 발생 또는 산화막 표면에서의 원하지 않는 이상 성장 현상 등과 같은 문제점을 야기하지 않으면서 산화막 대비 질화막의 충분한 식각 선택비를 확보하여, 질화막 식각 공정의 안정성 및 신뢰성을 확보할 수 있고, 질화막과 함께 식각 조성물에 노출되는 산화막의 손상 또는 산화막의 전기적 특성저하를 방지함으로써 반도체 소자 제조 공정의 생산성을 향상시키고, 반도체소자의 신뢰성을 향상시킬 수 있다.In addition, when the nitride film is etched, even when the nitride film and the oxide film are alternately stacked or mixed, the nitride film and the oxide film have a relatively high etching selectivity of about 100:1 to about 6500:1, and only the nitride film is selectively etched. can do. Therefore, in order to construct an electronic device having a complicated and miniaturized structure, while etching a nitride film made of various shapes and patterns, it does not cause problems such as unnecessary particle generation or unwanted abnormal growth on the surface of the oxide film, and the like. By securing sufficient etching selectivity, stability and reliability of the nitride film etching process can be secured, and the productivity of the semiconductor device manufacturing process can be improved by preventing damage to the oxide film exposed to the etching composition or deterioration of the electrical properties of the oxide film together with the nitride film. , the reliability of the semiconductor device can be improved.

따라서, 본 발명의 식각 조성물은 산화막에 대하여 질화막의 선택적 제거가 요구되는 반도체 소자의 제조 공정(예를 들어, 플래시 메모리 소자의 소자 분리 공정, 3D 플래시 메모리 소자의 파이프 채널(pipe channel) 형성 공정, 상변화 메모리의 다이오드 형성 공정 등)에 유용하게 사용되어 반도체 소자의 제조 공정의 효율을 향상시키는데 기여할 수 있다.Therefore, the etching composition of the present invention can be used in a semiconductor device manufacturing process requiring selective removal of a nitride film with respect to an oxide film (eg, a device separation process of a flash memory device, a pipe channel formation process of a 3D flash memory device, It is usefully used in a process of forming a diode of a phase change memory, etc.) and can contribute to improving the efficiency of a manufacturing process of a semiconductor device.

본 발명의 효과는 상기한 효과로 한정되는 것은 아니며, 본 발명의 상세한 설명 또는 특허청구범위에 기재된 발명의 구성으로부터 추론 가능한 모든 효과를 포함하는 것으로 이해되어야 한다.The effects of the present invention are not limited to the above effects, and should be understood to include all effects that can be inferred from the detailed description of the present invention or the configuration of the invention described in the claims.

도 1은 본 발명의 실시예들에 따른 반도체 소자의 평면도를 나타낸 것이다.
도 2 내지 도 8은 본 발명의 실시예들에 따른 반도체 소자의 제조 방법을 설명하기 위한 도면들이다.
도 9는 도 8의 A영역을 확대 도시한 것이다.
1 is a top plan view of a semiconductor device according to example embodiments.
2 to 8 are diagrams for explaining a method of manufacturing a semiconductor device according to example embodiments.
FIG. 9 is an enlarged view of area A of FIG. 8 .

이하, 본 발명을 더욱 상세하게 설명한다. 그러나 본 발명은 여러 가지 상이한 형태로 구현될 수 있으며 여기에서 설명하는 실시예에 의해 본 발명이 한정되지 않으며 본 발명은 후술할 청구범위의 의해 정의될 뿐이다.Hereinafter, the present invention will be described in more detail. However, the present invention can be implemented in many different forms, and the present invention is not limited by the embodiments described herein, and the present invention is only defined by the claims to be described later.

덧붙여, 본 발명에서 사용한 용어는 단지 특정한 실시예를 설명하기 위해 사용된 것으로, 본 발명을 한정하려는 의도가 아니다. 단수의 표현은 문맥상 명백하게 다르게 뜻하지 않는 한, 복수의 표현을 포함한다. 본 발명의 명세서 전체에서 어떤 구성요소를 '포함'한다는 것은 특별히 반대되는 기재가 없는 한 다른 구성요소를 제외하는 것이 아니라 다른 구성요소를 더 포함할 수 있다는 것을 의미한다.In addition, terms used in the present invention are only used to describe specific embodiments, and are not intended to limit the present invention. Singular expressions include plural expressions unless the context clearly dictates otherwise. In the entire specification of the present invention, 'include' a certain element means that other elements may be further included without excluding other elements unless otherwise stated.

본 명세서에서 사용된 바와 같이, “실리콘 질화막”, "실리콘 질화물" 및 "SixNy"는 순수한 실리콘 질화물 뿐만 아니라 결정 구조에서 수소, 탄소 및/또는 산소 불순물을 포함하는 불순한 실리콘 질화물도 해당된다(여기에서, x 및 y는 각각 독립적으로 양의 정수이다).As used herein, “silicon nitride”, “silicon nitride” and “Si x N y ” refer to pure silicon nitride as well as impure silicon nitride containing hydrogen, carbon and/or oxygen impurities in the crystal structure. (Where x and y are each independently a positive integer).

본 명세서에서 사용되는 “실리콘 산화막”, "실리콘 산화물"은 실리콘 산화물 (SiOx), 예를 들어 SiO2, "열 산화물"(ThOx) 등으로 만들어진 박막을 의미한다. 실리콘 산화물은 TEOS 또는 다른 소스로부터의 화학 기상 증착을 통한 증착 또는 열 증착과 같은 임의의 방법에 의해 기판 상에 배치될 수 있다. 실리콘 산화물은 일반적으로 상업적으로 유용한 낮은 수준의 다른 물질 또는 불순물을 포함할 수 있다. 실리콘 산화물은 마이크로 전자 장치의 일부로서, 예를 들어 절연층으로서 마이크로 전자 장치의 특징으로서 존재할 수 있다.As used herein, “silicon oxide film” or “silicon oxide” refers to a thin film made of silicon oxide (SiO x ), eg SiO 2 , “thermal oxide” (ThOx), or the like. Silicon oxide can be deposited on the substrate by any method, such as thermal evaporation or deposition via chemical vapor deposition from TEOS or other sources. Silicon oxide may contain other materials or impurities, generally at low levels that are commercially useful. Silicon oxide may be present as a feature of the microelectronic device as part of the microelectronic device, for example as an insulating layer.

본 명세서에서 사용된 바와 같이, "실리콘 질화물 물질의 적어도 부분적인 제거"는 노출된 실리콘 질화물 층의 적어도 일부의 제거에 대응한다. 예를 들어, 실리콘 질화막의 부분적인 제거에는 Si3N4를 형성하기 위해 게이트 전극을 덮고/보호하는 실리콘 질화막의 이방성 제거가 포함된다. 본 발명의 조성물은 폴리 실리콘 및/또는 실리콘 산화막에 비해 실리콘 질화물을 실질적으로 제거하기 위해 보다 일반적으로 사용될 수 있음이 고려될 수 있다. 이러한 상황에서, "실질적인 제거"는 본 발명의 일 실시예에서 적어도 90 %, 또 다른 실시 예에서 적어도 95 %로 정의되며, 또 다른 실시 예에서 실리콘 질화물 재료의 적어도 99 %가 본 발명의 조성물을 사용하여 제거되는 것을 의미할 수 있다.As used herein, “at least partial removal of silicon nitride material” corresponds to removal of at least a portion of the exposed silicon nitride layer. For example, partial removal of the silicon nitride film includes anisotropic removal of the silicon nitride film covering/protecting the gate electrode to form Si 3 N 4 . It is contemplated that the compositions of the present invention may be used more generally for substantially removing silicon nitride than polysilicon and/or silicon oxide films. In this context, "substantial removal" is defined as at least 90% in one embodiment of the present invention, at least 95% in another embodiment, and in another embodiment at least 99% of the silicon nitride material is a composition of the present invention. It can mean being removed by use.

본 명세서에서, “치환 또는 비치환된”은 수소원자, 중수소 원자, 할로겐 원자, 시아노기, 니트로기, 아미노기, 실릴기, 붕소기, 포스핀 옥사이드기, 포스핀 설파이드기, 카르복시기, 알킬기, 알케닐기, 아릴기, 및 헤테로 고리기로 이루어진 군에서 선택되는 1개 이상의 치환기로 치환 또는 비치환된 것을 의미할 수 있다. 상세하게, “치환 또는 비치환된”은 수소원자, 중수소 원자, 알킬기, 아미노기, 실릴기, 및 알콕시기로 이루어진 군에서 선택되는 1개 이상의 치환기로 치환 또는 비치환된 것을 의미할 수 있다. 또한, 상기 예시된 치환기 각각은 치환 또는 비치환된 것일 수 있다. 예를 들어, 메틸 아미노기는 아미노기로 해석될 수 있다.In the present specification, “substituted or unsubstituted” means a hydrogen atom, a deuterium atom, a halogen atom, a cyano group, a nitro group, an amino group, a silyl group, a boron group, a phosphine oxide group, a phosphine sulfide group, a carboxy group, an alkyl group, an alke It may mean substituted or unsubstituted with one or more substituents selected from the group consisting of a yl group, an aryl group, and a heterocyclic group. In detail, “substituted or unsubstituted” may mean substituted or unsubstituted with one or more substituents selected from the group consisting of a hydrogen atom, a deuterium atom, an alkyl group, an amino group, a silyl group, and an alkoxy group. In addition, each of the substituents exemplified above may be substituted or unsubstituted. For example, a methyl amino group can be interpreted as an amino group.

본 명세서에서, 할로겐 원자의 예로는 불소 원자, 염소 원자, 브롬 원자 또는 요오드 원자가 있다.In this specification, examples of the halogen atom include a fluorine atom, a chlorine atom, a bromine atom or an iodine atom.

본 명세서에서, 알킬기는 선형 알킬기, 가지 달린 알킬기, 또는 고리형 알킬기일 수 있다. 알킬기는 선형 알킬기, 가지 달린 알킬기, 또는 고리형 알킬기일 수 있다. 알킬기의 예로는 메틸기, 에틸기, n-프로필기, 이소프로필기, n-부틸기, t-부틸기, i-부틸기, 2- 에틸부틸기, 3, 3-디메틸부틸기, n-펜틸기, i-펜틸기, 네오펜틸기, t-펜틸기, 시클로펜틸기, 1-메틸펜틸기, 3-메틸펜틸기, 2-에틸펜틸기, 4-메틸-2-펜틸기, n-헥실기, 1-메틸헥실기, 2-에틸헥실기, 2-부틸헥실기, 시클로헥실기, 4-메틸시클로헥실기, 4-t-부틸시클로헥실기, n-헵틸기, 1-메틸헵틸기, 2,2-디메틸헵틸기, 2-에틸헵틸기, 2-부틸헵틸기, n-옥틸기, t-옥틸기, 2-에틸옥틸기, 2-부틸옥틸기, 2-헥실옥틸기, 3,7-디메틸옥틸기, 시클로옥틸기, n-노닐기, 및 n-데실기 등을 들 수 있으나, 이들에 한정되지 않는다.In the present specification, the alkyl group may be a linear alkyl group, a branched alkyl group, or a cyclic alkyl group. An alkyl group can be a linear alkyl group, a branched alkyl group, or a cyclic alkyl group. Examples of the alkyl group include methyl group, ethyl group, n-propyl group, isopropyl group, n-butyl group, t-butyl group, i-butyl group, 2-ethylbutyl group, 3,3-dimethylbutyl group, n-pentyl group , i-pentyl group, neopentyl group, t-pentyl group, cyclopentyl group, 1-methylpentyl group, 3-methylpentyl group, 2-ethylpentyl group, 4-methyl-2-pentyl group, n-hexyl group , 1-methylhexyl group, 2-ethylhexyl group, 2-butylhexyl group, cyclohexyl group, 4-methylcyclohexyl group, 4-t-butylcyclohexyl group, n-heptyl group, 1-methylheptyl group, 2,2-dimethylheptyl group, 2-ethylheptyl group, 2-butylheptyl group, n-octyl group, t-octyl group, 2-ethyloctyl group, 2-butyloctyl group, 2-hexyloctyl group, 3, 7-dimethyloctyl group, cyclooctyl group, n-nonyl group, n-decyl group and the like, but are not limited thereto.

예컨대, 명세서에서 사용되는 용어 "C1-C20의 알킬기"는 1 개 내지 20 개의 탄소 원자를 가지는 직쇄형 또는 분기형 비-사이클릭(noncyclic) 포화지방족 탄화수소기를 지칭한다. 용어 "C2-C20의 알케닐기"는 2 개 내지 20 개의 탄소 원자를 가지고 인접한 탄소 원자들 사이에 1개 이상의 이중 결합을 가지는 직쇄형 또는 분기형 비-사이클릭 불포화 지방족 탄화수소기를 지칭한다. 용어 "C2-C20의 알키닐기"는 2 개 내지 20 개의 탄소 원자를 가지고 인접한 탄소 원자들 사이에 1 개 이상의 삼중 결합을 가지는 직쇄형 또는 분기형 비-사이클릭 불포화 지방족 탄화수소기를 지칭하는 것일 수 있다. 용어 "C1-C20의 알콕시기"는 1 개 이상의 에테르기와 1 개 내지 20개의 탄소 원자를 가지는 직쇄형 또는 분기형 비-사이클릭(noncyclic) 포화 또는 불포화 지방족 탄화수소기를 지칭하는 것일 수 있다.For example, the term "C1-C20 alkyl group" used in the specification refers to a straight-chain or branched non-cyclic saturated aliphatic hydrocarbon group having 1 to 20 carbon atoms. The term "C2-C20 alkenyl group" refers to a straight-chain or branched non-cyclic unsaturated aliphatic hydrocarbon group having 2 to 20 carbon atoms and having at least one double bond between adjacent carbon atoms. The term "C2-C20 alkynyl group" may refer to a straight-chain or branched non-cyclic unsaturated aliphatic hydrocarbon group having 2 to 20 carbon atoms and having one or more triple bonds between adjacent carbon atoms. . The term “C1-C20 alkoxy group” may refer to a straight-chain or branched noncyclic saturated or unsaturated aliphatic hydrocarbon group having one or more ether groups and 1 to 20 carbon atoms.

본 명세서에서 아미노기는 알킬 아미노기 및 아릴 아미노기를 포함할 수 있다. 아미노기의 예로는 메틸아미노기, 에틸아미노기, 디메틸아미노기, 디에틸아미노기 및/또는 에틸메틸 아미노기 등이 있으나, 이들에 한정되지 않는다.In the present specification, the amino group may include an alkyl amino group and an aryl amino group. Examples of the amino group include, but are not limited to, a methylamino group, an ethylamino group, a dimethylamino group, a diethylamino group, and/or an ethylmethyl amino group.

본 명세서에서, 알콕시기는 알킬 알콕시기 및 아릴 알콕시기를 포함할 수 있다. 알콕시기의 예로는 메틸 알콕기, 에틸 알콕기, 프로필 알콕기, 부틸 알콕기, 펜틸 알콕시기, 헥실 알콕시기, 헵틸 알콕시기, 옥틸 알콕시기, 노닐 알콕시기, 및 데실 알콕시기 등이 있으나, 이들에 한정되지 않는다.In this specification, the alkoxy group may include an alkyl alkoxy group and an aryl alkoxy group. Examples of the alkoxy group include a methyl alkoxy group, an ethyl alkoxy group, a propyl alkoxy group, a butyl alkoxy group, a pentyl alkoxy group, a hexyl alkoxy group, a heptyl alkoxy group, an octyl alkoxy group, a nonyl alkoxy group, and a decyl alkoxy group. not limited to

이하, 본 발명의 제1 측면을 설명함에 앞서, 식각 조성물의 개념을 설명한다.Hereinafter, prior to describing the first aspect of the present invention, the concept of an etching composition will be described.

식각 조성물은 실리콘-함유 물질의 식각에 사용될 수 있다. 예를 들어, 식각 조성물은 절연막인 실리콘 질화막 또는 실리콘 산화막의 식각에 사용될 수 있다. 식각 조성물을 사용한 실리콘 질화막의 식각은 아래의 반응식 1과 같이 진행될 수 있다. 식각 조성물을 사용한 실리콘 산화막의 식각은 아래의 반응식 2와 같이 진행될 수 있다. 다만, 상기 식각 조성물을 사용한 식각 공정에서, 제1 절연막인 실리콘 질화막의 식각률은 제2 절연막인 실리콘 산화막의 식각률보다 더 클 수 있다. 본 명세서에서, 실리콘 질화막이 식각된다는 것은 실리콘 질화물이 제거된다는 것으로, 실리콘 산화막이 식각된다는 것은 실리콘 산화물이 제거된다는 것을 의미할 수 있다. 실리콘 질화물은 SixNy로 표시될 수 있다. 실리콘 산화물은 SixOy를 포함할 수 있다. (여기에서, x 및 y는 각각 독립적으로 양의 정수이다)The etching composition may be used for etching silicon-containing materials. For example, the etching composition may be used for etching a silicon nitride film or a silicon oxide film, which is an insulating film. Etching of the silicon nitride film using the etching composition may proceed as shown in Scheme 1 below. Etching of the silicon oxide film using the etching composition may proceed as shown in Scheme 2 below. However, in the etching process using the etching composition, the etching rate of the silicon nitride layer as the first insulating layer may be greater than that of the silicon oxide layer as the second insulating layer. In this specification, etching of the silicon nitride film may mean that silicon nitride is removed, and etching of the silicon oxide film may mean that silicon oxide is removed. Silicon nitride can be represented as Si x N y . Silicon oxide may include Si x O y . (Where x and y are each independently a positive integer)

[반응식 1][Scheme 1]

3Si3N4 + 4H3PO4 + 27H2O → 4(NH4)3PO4 + 9SiO2H2O3Si 3 N 4 + 4H 3 PO 4 + 27H 2 O → 4(NH 4 )3PO 4 + 9SiO 2 H 2 O

[반응식 2][Scheme 2]

SiO2 +4H+ + 4e- → Si + 2H2OSiO 2 +4H + + 4e - → Si + 2H 2 O

상기 반응식 1을 참조하면, 인산은 실리콘 질화물과 반응하여, 실리콘 질화물을 제거할 수 있다. 이때, 제1 무기산으로서 인산은 조성비는 70 내지 99 중량부일 수 있다. 본 명세서에서 조성비는 조성물에 대한 조성비를 의미한다. 제1 무기산이 식각 조성물의 70 중량부 미만인 경우, 실리콘 질화막이 용이하게 제거되기 어려울 수 있다. 또는 식각 공정에서, 식각 부산물들이 형성될 수 있다. 본 발명의 일 구현예에서, 인산의 조성비는 85% 인산 수용액의 조성비를 의미할 수 있다. 즉, 인산의 조성비가 65%라는 것은 85% 인산 수용액이 식각 조성물의 65%인 것을 의미할 수 있는 것이다.Referring to Scheme 1, phosphoric acid may react with silicon nitride to remove silicon nitride. In this case, the composition ratio of silver phosphoric acid as the first inorganic acid may be 70 to 99 parts by weight. In this specification, the composition ratio means the composition ratio for the composition. When the amount of the first inorganic acid is less than 70 parts by weight of the etching composition, it may be difficult to easily remove the silicon nitride film. Alternatively, in the etching process, etching by-products may be formed. In one embodiment of the present invention, the composition ratio of phosphoric acid may mean the composition ratio of 85% phosphoric acid aqueous solution. That is, the phosphoric acid composition ratio of 65% may mean that the 85% phosphoric acid aqueous solution is 65% of the etching composition.

반응식 2를 참조하면, 인산은 수소 이온을 제공하여, 실리콘 산화물과 반응할 수 있다. 인산이 식각 조성물의 99 중량부 초과이면, 인산과 실리콘 산화물의 반응속도가 증가할 수 있다. 이에 따라, 상기 식각 공정에서, 실리콘 질화막은 실리콘 산화막에 대해 충분히 높은 식각 선택비를 갖기 어려울 수 있다.Referring to Scheme 2, phosphoric acid may react with silicon oxide by providing hydrogen ions. If phosphoric acid is greater than 99 parts by weight of the etching composition, the reaction rate between phosphoric acid and silicon oxide may increase. Accordingly, in the etching process, it may be difficult for the silicon nitride layer to have a sufficiently high etching selectivity with respect to the silicon oxide layer.

식각 조성물etching composition

이하, 본 발명의 제1 측면에 따른 식각 조성물을 상세히 설명한다.Hereinafter, the etching composition according to the first aspect of the present invention will be described in detail.

본 발명의 일 측면은,One aspect of the present invention,

제1 무기산; 및 제2 무기산과 하기 화학식 1의 실란계 화합물을 반응시켜 제조된 실란계 무기산염;을 포함하는 것을 특징으로 하는 식각 조성물을 제공한다a first inorganic acid; and a silane-based inorganic acid salt prepared by reacting a second inorganic acid with a silane-based compound represented by Formula 1 below.

[화학식 1][Formula 1]

Figure pat00003
Figure pat00003

상기 화학식 1에서, R1 내지 R5, R7 및 R8은 각각 독립적으로 수소, 할로겐, 탄소수 1 내지 10의 치환 또는 비치환된 알킬기, 탄소수 1 내지 10의 치환 또는 비치환된 시클로알킬기, 탄소수 1 내지 10의 치환 또는 비치환된 알케닐기, 탄소수 1 내지 10의 치환 또는 비치환된 알콕시기, 탄소수 5 내지 20의 치환 또는 비치환된 아릴기, 탄소수 1 내지 10의 치환 또는 비치환된 아미노알킬기, 탄소수 1 내지 10의 치환 또는 비치환된 알킬아미노기, 및 탄소수 5 내지 20의 치환 또는 비치환된 헤테로아릴기로 이루어진 군으로부터 선택되는 어느 하나이고, R6는 단일결합 또는 탄소수 1 내지 10의 치환 또는 비치환된 알킬렌기, 탄소수 3 내지 10의 치환 또는 비치환된 시클로알킬렌기, 탄소수 1 내지 10의 치환 또는 비치환된 알케닐렌기, 탄소수 5 내지 20의 치환 또는 비치환된 아릴렌기, 탄소수 5 내지 20의 치환 또는 비치환된 헤테로아릴렌기, 산소 원자, 카르보닐렌기, 및 탄소수 1 내지 20의 치환 또는 비치환된 옥시 알킬렌기로 이루어진 군으로부터 선택되는 어느 하나이며, 상기 R1 내지 R3 중 적어도 어느 하나는 할로겐 원자 또는 탄소수 1 내지 10의 치환 또는 비치환된 알콕시기일 수 있다.In Formula 1, R 1 to R 5 , R 7 and R 8 are each independently Hydrogen, halogen, substituted or unsubstituted alkyl group having 1 to 10 carbon atoms, substituted or unsubstituted cycloalkyl group having 1 to 10 carbon atoms, substituted or unsubstituted alkenyl group having 1 to 10 carbon atoms, substituted or unsubstituted carbon atoms 1 to 10 cyclic alkoxy group, substituted or unsubstituted aryl group having 5 to 20 carbon atoms, substituted or unsubstituted aminoalkyl group having 1 to 10 carbon atoms, substituted or unsubstituted alkylamino group having 1 to 10 carbon atoms, and 5 to 20 carbon atoms It is any one selected from the group consisting of a substituted or unsubstituted heteroaryl group, R 6 is a single bond or a substituted or unsubstituted alkylene group having 1 to 10 carbon atoms, a substituted or unsubstituted cycloalkylene group having 3 to 10 carbon atoms, A substituted or unsubstituted alkenylene group having 1 to 10 carbon atoms, a substituted or unsubstituted arylene group having 5 to 20 carbon atoms, a substituted or unsubstituted heteroarylene group having 5 to 20 carbon atoms, an oxygen atom, a carbonylene group, and a carbon number It is any one selected from the group consisting of 1 to 20 substituted or unsubstituted oxyalkylene groups, and at least one of R 1 to R 3 may be a halogen atom or a substituted or unsubstituted alkoxy group having 1 to 10 carbon atoms. .

본 발명의 일 구현예에 있어서, 상기 R1 내지 R3 중 적어도 어느 하나는 할로겐 원자이고, 상기 R4 및 R5는 각각 독립적으로 수소, 탄소수 1 내지 5의 치환 또는 비치환된 알킬기, 탄소수 1 내지 5의 치환 또는 비치환된 아미노알킬기, 탄소수 1 내지 5의 치환 또는 비치환된 알킬아미노기, 및 적어도 하나의 헤테로 원자를 포함하는 탄소수 1 내지 5의 치환 또는 비치환된 알킬기로 이루어진 군으로부터 선택되는 어느 하나이며, 상기 R6는 단일결합 또는 탄소수 1 내지 10의 치환 또는 비치환된 알킬렌기, 또는 카르보닐렌기인 것을 특징으로 하는 것일 수 있다.In one embodiment of the present invention, at least one of the R 1 to R 3 is a halogen atom, and the R 4 and R 5 are each independently Carbon atoms including hydrogen, a substituted or unsubstituted alkyl group having 1 to 5 carbon atoms, a substituted or unsubstituted aminoalkyl group having 1 to 5 carbon atoms, a substituted or unsubstituted alkylamino group having 1 to 5 carbon atoms, and at least one heteroatom Any one selected from the group consisting of 1 to 5 substituted or unsubstituted alkyl groups, wherein R 6 is a single bond or a substituted or unsubstituted alkylene group having 1 to 10 carbon atoms, or a carbonylene group. can

본 발명의 일 구현예에 있어서, 상기 “적어도 하나의 헤테로 원자를 포함”한다는 것의 의미는 탄소나 수소가 아닌 원자를 작용기 또는 연결기에 포함한다는 것을 의미하는 것이고, 예컨대, 상기 헤테로 원자는 산소, 질소, 인, 황, 셀레늄, 또는 텔루륨일 수 있다. In one embodiment of the present invention, the meaning of "including at least one heteroatom" means that an atom other than carbon or hydrogen is included in a functional group or a linking group, for example, the heteroatom is oxygen, nitrogen , phosphorus, sulfur, selenium, or tellurium.

본 발명의 일 구현예에 있어서, 상기 헤테로 원자를 작용기로서 포함하는 경우, 아세톡시기, 아세틸기, 아크릴로일기, 아실기, 알데하이드, 알콕시기, 벤조일기, 카보닐기, 카복실기, 카복실산 무수물, 다이옥시란, 에폭사이드, 에스터, 에터, 에틸렌디이옥시기, 하이드록시기, 케톤, 메틸렌다이옥시기, 과산화물(유기 과산화물), 아민, 아조 화합물, 사이안산염, 하이드라존, 아미드, 이민, 아이소사이안산염, 아이소사이아나이드, 나이트렌, 나이트릴, 나이트로 화합물, 나이트로소기, 아마이드옥심, 포스폰산, 포스포나이트, 이황화물, 설폰, 설폰산, 설폭사이드, 싸이알, 싸이오에스터, 싸아오에터, 싸이오케톤, 싸이올, 셀레놀, 셀레논산, 셀레닌산, 셀레넨산, 셀론, 텔루롤, 텔루로케톤, 아이소싸이오사이아네이트, 포스포아마이드, 염화 설페닐, 설폰아마이드, 및 싸이오사이안산염으로 이루어지는 군으로부터 선택되는 1종 이상일 수 있다. 또한, 본 발명의 일 구현예에 있어서, 구체적으로 상기 화학식 1의 중심 규소와 상기 작용기의 α 탄소, β 탄소, 또는 γ 탄소와 결합을 이루는 것일 수 있다.In one embodiment of the present invention, when the hetero atom is included as a functional group, an acetoxy group, an acetyl group, an acryloyl group, an acyl group, an aldehyde, an alkoxy group, a benzoyl group, a carbonyl group, a carboxyl group, a carboxylic acid anhydride, Dioxirane, epoxide, ester, ether, ethylenedioxy group, hydroxyl group, ketone, methylenedioxy group, peroxide (organic peroxide), amine, azo compound, cyanate, hydrazone, amide, imine, iso Cyanate, isocyanide, nitrene, nitrile, nitro compound, nitroso group, amide oxime, phosphonic acid, phosphonite, disulfide, sulfone, sulfonic acid, sulfoxide, cyal, thioester, Thiaoether, thioketone, thiol, selenol, selenonic acid, selenic acid, selenic acid, selenic acid, tellurol, telluroketone, isothiocyanate, phosphoamide, sulfenyl chloride, sulfone It may be at least one selected from the group consisting of amides and thiocyanates. Further, in one embodiment of the present invention, specifically, the central silicon of Chemical Formula 1 may form a bond with α carbon, β carbon, or γ carbon of the functional group.

본 발명의 일부 구현예들에서, 상기 실란계 화합물은 구체적으로 아래의 화학식 2 또는 화학식 3으로 이루어지는 군에서 선택된 1종 이상일 수 있으나, 이는 예시에 불과한 것으로, 본 발명의 기술적 사상이 이들에 한정되는 것은 아니다.In some embodiments of the present invention, the silane-based compound may be specifically one or more selected from the group consisting of Formula 2 or Formula 3 below, but this is only an example, and the technical spirit of the present invention is limited thereto. It is not.

[화학식 2][Formula 2]

Figure pat00004
Figure pat00004

[화학식 3][Formula 3]

Figure pat00005
Figure pat00005

본 발명의 일 구현예에 있어서, 상기 실란계 무기산염은 산화막의 식각 속도를 조절하여 유효 산화막 높이(Effective Field Oxide Height, EFH) 조절을 용이하게 할 수 있다.In one embodiment of the present invention, the silane-based inorganic acid salt can easily control the effective field oxide height (EFH) by controlling the etching rate of the oxide film.

본 발명의 일 구현예에 있어서, 상기 실란계 무기산염은 상기 제2 무기산과 상기 실란계 화합물을 반응시켜 제조될 수 있다. 상기 실란계 무기산염은 상기 제2 무기산과 상기 실란계 화합물을 반응시켜 제조됨에 따라, 단일한 화학식 구조 또는 다양한 화학식 구조를 가지는 실란계 무기산염이 혼합된 것일 수 있다.In one embodiment of the present invention, the silane-based inorganic acid salt may be prepared by reacting the second inorganic acid and the silane-based compound. As the silane-based inorganic acid salt is prepared by reacting the second inorganic acid with the silane-based compound, silane-based inorganic acid salts having a single chemical structure or various chemical structures may be mixed.

본 발명의 일 구현예에 있어서, 상기 식각 조성물에 포함되는 제1 무기산 또는 제2 무기산은 식각 조성물이 산성의 pH(예를 들어, pH 2 내지 6)를 갖도록 하여 식각 대상(예를 들어, 절연막)을 식각할 수 있도록 한다.In one embodiment of the present invention, the first inorganic acid or the second inorganic acid included in the etching composition is such that the etching composition has an acidic pH (eg, pH 2 to 6) to be etched (eg, an insulating film) ) to be etched.

이러한 무기산은 특별히 한정되지 않으나, 황산, 질산, 인산, 규산, 불산, 붕산, 염산 및 과염소산으로 이루어진 군에서 선택된 1종 이상일 수 있다. 바람직하게는 제1 또는 제2 무기산은 인산, 황산, 또는 질산일 수 있다. 상기 제1 또는 제2 무기산으로 인산, 황산, 또는 질산을 사용하고, 식각 대상이 산화막과 질화막일 경우, 산화막에 대한 질화막의 식각 선택비를 높일 수 있고, 식각 조성물 내에 수소 이온이 제공되어 식각을 촉진시킬 수 있다.These inorganic acids are not particularly limited, but may be at least one selected from the group consisting of sulfuric acid, nitric acid, phosphoric acid, silicic acid, hydrofluoric acid, boric acid, hydrochloric acid and perchloric acid. Preferably, the first or second inorganic acid may be phosphoric acid, sulfuric acid, or nitric acid. When phosphoric acid, sulfuric acid, or nitric acid is used as the first or second inorganic acid and the etching target is an oxide film and a nitride film, the etching selectivity of the nitride film to the oxide film can be increased, and hydrogen ions are provided in the etching composition to perform etching. can promote

본 발명의 일 구현예에 있어서, 상기 제1 무기산은 질화막을 식각하는 식각제로서 첨가되는 것이며, 상기 질화막을 식각할 수 있는 것이면 어느 것이나 사용 가능하다. 바람직하게, 상기 산화막에 대한 상기 질화막의 식각 선택비를 얻기 위하여 상기 제1 무기산은 인산을 사용할 수 있다. 상기 인산은 상기 식각 조성물 내에 수소 이온을 제공하여 식각을 촉진시키는 역할을 할 수 있다. 상기 제1 무기산으로 상기 인산을 사용하는 경우, 상기 식각 조성물은 황산을 첨가제로 더 포함할 수 있다. 상기 황산은 상기 인산을 제1 무기산으로 포함하는 식각 조성물의 끓는점을 상승시켜 질화막 식각에 도움을 줄 수 있다.In one embodiment of the present invention, the first inorganic acid is added as an etchant for etching the nitride film, and any acid capable of etching the nitride film may be used. Preferably, phosphoric acid may be used as the first inorganic acid to obtain an etching selectivity of the nitride layer with respect to the oxide layer. The phosphoric acid may serve to promote etching by providing hydrogen ions in the etching composition. When phosphoric acid is used as the first inorganic acid, the etching composition may further include sulfuric acid as an additive. The sulfuric acid may increase the boiling point of the etching composition including the phosphoric acid as the first inorganic acid, thereby helping to etch the nitride film.

본 발명의 일 구현예에 있어서, 상기 제1 무기산의 함량은 상기 식각 조성물 총 중량에 대하여 70 내지 99 중량%, 바람직하게 70 내지 90 중량%, 더욱 바람직하게 75 내지 85 중량%일 수 있다. 상기 제1 무기산이 70 중량% 미만으로 포함되는 경우 질화막이 용이하게 제거되지 않을 수 있고 파티클 발생 우려가 있으며, 99 중량%를 초과하여 포함되는 경우 질화막에 대한 높은 선택비를 얻을 수 없다.In one embodiment of the present invention, the content of the first inorganic acid may be 70 to 99% by weight, preferably 70 to 90% by weight, more preferably 75 to 85% by weight based on the total weight of the etching composition. When the amount of the first inorganic acid is less than 70% by weight, the nitride film may not be easily removed and particles may be generated.

본 발명의 일 구현예에 있어서, 상기 실란계 무기산염은 상기 제2 무기산에 상기 실란계 화합물을 첨가한 후, 20 내지 300℃, 바람직하게 50 내지 200℃의 온도로 반응시키는 것에 의해 수득될 수 있다. 이 때, 공기 및 수분을 제거하면서 실시할 수 있다. 상기 반응 온도가 20℃ 미만인 경우 상기 실란계 화합물이 결정화 되거나 낮은 반응 속도로 인해 상기 실란계 화합물이 기화될 수 있고, 300℃를 초과하는 경우 상기 제2 무기산이 증발될 수 있다.In one embodiment of the present invention, the silane-based inorganic acid salt may be obtained by adding the silane-based compound to the second inorganic acid and then reacting at a temperature of 20 to 300 ° C, preferably 50 to 200 ° C. there is. At this time, it can be carried out while removing air and moisture. When the reaction temperature is less than 20°C, the silane-based compound may be crystallized or vaporized due to a low reaction rate, and when the reaction temperature exceeds 300°C, the second inorganic acid may be evaporated.

본 발명의 일 구현예에 있어서, 상기 제2 무기산과 상기 실란계 화합물은 상기 제2 무기산 100 중량부에 대하여 상기 실란계 화합물 0.001 내지 50중량부, 바람직하게 0.01 내지 30 중량부를 반응시킬 수 있다. 상기 실란계 화합물의 반응량이 0.01 중량부 미만인 경우 상기 실란계 화합물의 작은 함량비로 인해 선택비 구현이 어려울 수 있고, 50 중량부를 초과하는 경우 상기 실란계 화합물의 석출되거나, 비정형 구조가 생성될 수 있다.In one embodiment of the present invention, the second inorganic acid and the silane-based compound may be reacted in an amount of 0.001 to 50 parts by weight, preferably 0.01 to 30 parts by weight, based on 100 parts by weight of the second inorganic acid. When the reaction amount of the silane-based compound is less than 0.01 parts by weight, it may be difficult to implement the selectivity due to the small content ratio of the silane-based compound, and when it exceeds 50 parts by weight, the silane-based compound may be precipitated or an amorphous structure may be generated. .

본 발명의 일 구현예에 있어서, 상기 반응시에 발생하는 휘발성 부산물은 감압하에서 증류에 의하여 제거될 수 있다. 상기 반응의 생성물을 정제하여 상기 실란계 무기산염을 분리한 후 이를 상기 식각 조성물에 첨가할 수도 있고, 상기 반응 생성물을 정제 없이 상기 식각 조성물에 첨가하는 것도 가능하다.In one embodiment of the present invention, volatile by-products generated during the reaction may be removed by distillation under reduced pressure. A product of the reaction may be purified to separate the silane-based inorganic acid salt and then added to the etching composition, or the reaction product may be added to the etching composition without purification.

본 발명의 일 구현예에 있어서, 상기 반응은 비프로톤성 용제의 존재하 또는 부존재하에서 실시할 수 있는데, 비프로톤성 용제로는 디옥산, 테트라하이드로푸란, 디에틸 에테르, 디이소프로필 에테르, 디에틸렌글리콜디메틸 에테르; 염소화 탄화수소, 예를 들면 디클로로 메탄, 트리클로로 메탄, 테트라 클로로 메탄, 1,2-디클로로에탄, 트리클로로 에틸렌; 탄화수소, 예를 들면 펜탄, n-헥산, 헥산 이성체 혼합물, 헵탄, 옥탄, 벤진, 석유 에테르, 벤젠, 톨루엔, 크실렌; 케톤, 예를 들면 아세톤, 메틸 에틸 케톤, 디이소프로필 케톤, 메틸 이소부틸케톤(MIBK); 에스테르, 예를 들면 에틸 아세테이트, 부틸 아세테이트, 프로필 프로피오네이트, 에틸 부틸레이트에틸 이소부티레이트, 이황화 탄소 및 니트로벤젠 또는 이들의 용제의 혼합물일 수 있다.In one embodiment of the present invention, the reaction may be carried out in the presence or absence of an aprotic solvent, such as dioxane, tetrahydrofuran, diethyl ether, diisopropyl ether, Ethylene glycol dimethyl ether; Chlorinated hydrocarbons such as dichloromethane, trichloromethane, tetrachloromethane, 1,2-dichloroethane, trichloroethylene; Hydrocarbons such as pentane, n-hexane, hexane isomer mixture, heptane, Octane, benzine, petroleum ether, benzene, toluene, xylene; Ketones such as acetone, methyl ethyl ketone, diisopropyl ketone, methyl isobutyl ketone (MIBK); Esters such as ethyl acetate, butyl acetate, propyl ketone cionate, ethyl butylate, ethyl isobutyrate, carbon disulfide and nitrobenzene or mixtures of these solvents.

본 발명의 일 구현예에 있어서, 상기 실란계 무기산염의 함량은 상기 식각 조성물 전체 중량에 대하여 0.01 내지 15 중량%, 바람직하게는 0.5 내지 15 중량%, 더욱 바람직하게는 1 내지 15 중량%, 보다 더 바람직하게는 3 내지 7 중량%일 수 있다. 상기 실란계 무기산염의 함량이 0.01 중량% 미만인 경우 질화막에 대한 높은 식각 선택비를 얻을 수 없으며, 15 중량%를 초과하는 경우 함량 증가에 따른 더 이상의 효과 상승을 기대하기 어렵고 오히려 파티클 발생 등의 문제가 생길 수도 있다.In one embodiment of the present invention, the content of the silane-based inorganic acid salt is 0.01 to 15% by weight, preferably 0.5 to 15% by weight, more preferably 1 to 15% by weight, based on the total weight of the etching composition More preferably, it may be 3 to 7% by weight. If the content of the silane-based inorganic acid salt is less than 0.01% by weight, it is not possible to obtain a high etching selectivity to the nitride film, and if it exceeds 15% by weight, it is difficult to expect any further increase in the effect due to the increase in the content, and rather, problems such as particle generation may occur.

본 발명의 일 구현예에 있어서, 상기 실란계 무기산염의 함량이 0.3 중량% 이상일 때, 상기 식각 조성물의 실리콘 질화막/산화막 식각 선택비는 100 이상일 수 있고, 상기 실란계 무기산염의 함량이 2.0 중량% 이상일 때, 상기 식각 조성물의 실리콘 질화막/산화막 식각 선택비는 200 이상일 수 있다. 상기 식각 조성물은 상기와 같이 산화막에 대한 질화막의 식각 선택비가 높은 특징을 가지므로, 산화막의 식각 속도를 조절하여, EFH를 용이하게 조절할 수 있다.In one embodiment of the present invention, when the content of the silane-based inorganic acid salt is 0.3% by weight or more, the etching selectivity of the silicon nitride film / oxide film of the etching composition may be 100 or more, and the content of the silane-based inorganic acid salt is 2.0% by weight % or more, the silicon nitride film/oxide film etch selectivity of the etching composition may be 200 or more. Since the etching composition has a high etching selectivity of the nitride film to the oxide film as described above, the EFH can be easily controlled by adjusting the etching rate of the oxide film.

본 발명의 일 구현예에 있어서, 식각 조성물은 용매를 포함할 수 있다. 상기 용매는 구체적으로, 물 또는 탈이온수(deionized water, DIW)일 수 있다. 이러한 용매의 함량은 상기 제1 무기산 70 내지 99 중량% 및 상기 제1 첨가제 0.01 내지 10 중량%를 기준으로, 상기 성분들이 제외된 나머지 중량%(잔부량)일 수 있다.In one embodiment of the present invention, the etching composition may include a solvent. Specifically, the solvent may be water or deionized water (DIW). The content of the solvent may be the remaining weight% (balance amount) excluding the components based on 70 to 99% by weight of the first inorganic acid and 0.01 to 10% by weight of the first additive.

본 발명의 일 구현예에 있어서, 상기 식각 조성물은 암모늄계 화합물을 더 포함할 수 있다. 암모늄계 화합물은 수용액 조건에서, 암모늄(NH4+)을 형성하는 화합물을 의미할 수 있다. 암모늄계 화합물은 예를 들어, 암모니아, 암모늄 클로라이드, 암모늄 포스페이트, 암모늄 아세테이트, 암모늄 설페이트, 암모늄 포메이트, 및 금속 아민 착염 중에서 적어도 하나를 포함할 수 있다. 금속 아민 착염은 적어도 하나의 암모니아(NH3) 리간드를 포함하는 금속 착염일 수 있다. 실리콘 질화막의 식각 공정이 장시간 수행되면, 실리콘 이온의 농도가 증가될 수 있다. 일 예로, 상기 실리콘 이온은 상기 반응식 1의 생성물인 SiO2H2O에 의해 형성될 수 있다. 실리콘 이온에 의해 실리콘 산화막의 이상 성장이 발생할 수 있다. 실시예들에 따르면, 식각 공정에서, 암모늄계 화합물이 해리되어 암모늄(NH4+)을 형성할 수 있다. 암모늄은 실리콘 이온의 전구체(예를 들어, SiO2) 반응하여, 실리콘 이온의 전구체를 제거할 수 있다. 이에 따라, 실리콘 산화막의 이상 성장이 방지될 수 있다. 암모늄계 화합물은 식각 시간에 따른 식각 속도를 일정하게 유지시킬 수 있다. 상기 식각 조성물에 암모늄계 화합물이 포함됨으로써 상기 식각 조성물을 장시간 사용하는 경우에도 식각속도의 저하 또는 식각 선택비의 변화가 발생하는 것을 방지할 수 있다.In one embodiment of the present invention, the etching composition may further include an ammonium-based compound. The ammonium-based compound may refer to a compound that forms ammonium (NH 4+ ) in an aqueous solution. The ammonium-based compound may include, for example, at least one of ammonia, ammonium chloride, ammonium phosphate, ammonium acetate, ammonium sulfate, ammonium formate, and a metal amine complex salt. The metal amine complex salt may be a metal complex salt comprising at least one ammonia (NH 3 ) ligand. When the etching process of the silicon nitride film is performed for a long time, the concentration of silicon ions may increase. For example, the silicon ion may be formed by SiO 2 H 2 O, which is a product of Reaction Formula 1. Abnormal growth of the silicon oxide film may occur due to silicon ions. According to embodiments, in an etching process, an ammonium-based compound may be dissociated to form ammonium (NH 4+ ). Ammonium may react with a precursor of silicon ion (eg, SiO 2 ) to remove the precursor of silicon ion. Accordingly, abnormal growth of the silicon oxide film can be prevented. The ammonium-based compound may keep the etching rate constant according to the etching time. By including the ammonium-based compound in the etching composition, even when the etching composition is used for a long time, it is possible to prevent a decrease in the etching rate or a change in the etching selectivity from occurring.

본 발명의 일 구현예에 있어서, 상기 암모늄계 화합물은 상기 식각 조성물의 총량을 기준으로 약 0.01 내지 20 중량%의 양으로 포함될 수 있다. 암모늄계 화합물이 식각 조성물의 0.01 wt% 미만이면, 실리콘 산화막이 이상 성장되거나, 상기 식각 조성물을 장시간 사용할 때 질화막 식각 선택비를 일정하게 유지하는 효과가 감소될 수 있고, 암모늄계 화합물이 식각 조성물의 20 wt%를 초과하면, 실리콘 질화막 및 실리콘 산화막의 식각 속도가 시간에 따라 변화될 수 있으므로, 선택비도 변화될 수 있다. In one embodiment of the present invention, the ammonium-based compound may be included in an amount of about 0.01 to 20% by weight based on the total amount of the etching composition. If the ammonium-based compound is less than 0.01 wt% of the etching composition, the silicon oxide film may grow abnormally or the effect of maintaining the nitride film etching selectivity constant may be reduced when the etching composition is used for a long time, and the ammonium-based compound may be used in the etching composition If it exceeds 20 wt%, since the etching rate of the silicon nitride film and the silicon oxide film may change over time, the selectivity may also change.

본 발명의 일 구현예에 있어서, 상기 식각 조성물은 아민계 화합물을 더 포함할 수 있다. 상기 아민계 화합물은 메틸아민, 에틸아민, 프로필아민, 이소프로필 아민, 2-아미노펜탄, 디메틸아민, 메틸에탄올아민, 트리메틸아민, 트리페닐아민, 또는 이들의 조합으로 이루어질 수 있다. 상기 아민계 화합물은 상기 암모늄계 화합물과 유사하게, 질화막 식각시 상기 질화막과 함께 상기 식각 조성물에 노출되는 산화막의 표면에서의 이상 성장 현상을 억제하는 역할을 할 수 있다.In one embodiment of the present invention, the etching composition may further include an amine-based compound. The amine-based compound may be made of methylamine, ethylamine, propylamine, isopropylamine, 2-aminopentane, dimethylamine, methylethanolamine, trimethylamine, triphenylamine, or a combination thereof. Similar to the ammonium-based compound, the amine-based compound may serve to suppress abnormal growth on the surface of the oxide film exposed to the etching composition together with the nitride film during etching of the nitride film.

본 발명의 일 구현예에 있어서, 상기 아민계 화합물은 상기 식각 조성물의 총량을 기준으로 약 0.1 내지 10 중량%의 양으로 포함될 수 있다. 상기 아민계 화합물의 함량이 너무 적은 경우 질화막 식각시 상기 질화막과 함께 상기 식각 조성물에 노출되는 산화막의 표면에서의 이상 성장 현상을 제어하는 데 도움이 되기 어렵고, 너무 과다한 경우 산화막에 대한 질화막의 식각 선택비가 저하될 수 있다.In one embodiment of the present invention, the amine-based compound may be included in an amount of about 0.1 to 10% by weight based on the total amount of the etching composition. If the content of the amine-based compound is too small, it is difficult to help control the abnormal growth phenomenon on the surface of the oxide film exposed to the etching composition together with the nitride film during etching of the nitride film, and if the content is too excessive, etching selection of the nitride film for the oxide film Rain may decrease.

본 발명의 일 구현예에 있어서, 상기 식각 조성물은 상기 식각 조성물 전체에 대하여 불소계 화합물을 0.01 내지 1 중량%로 더 포함할 수 있다. 상기 불소계 화합물이 0.01 중량% 미만으로 첨가되는 경우 질화막의 식각 속도가 작아져 질화막 제거가 용이하지 않을 수 있으며, 1 중량%을 초과하는 경우 질화막의 식각 속도가 크게 향상되지만, 산화막 또한 식각되는 단점이 있다. 상기 불소계 화합물은 불화수소, 불화암모늄, 불화수소암모늄에서 선택되는 어느 하나 또는 둘 이상의 혼합물인 것을 사용할 수 있다. 보다 바람직하게는 불화수소암모늄을 사용하는 것이 장기간 사용시 선택도 유지하기 때문에 좋다.In one embodiment of the present invention, the etching composition may further include 0.01 to 1% by weight of a fluorine-based compound based on the total weight of the etching composition. When the fluorine-based compound is added in an amount of less than 0.01% by weight, the etching rate of the nitride film is reduced and it may not be easy to remove the nitride film. there is. The fluorine-based compound may be any one or a mixture of two or more selected from hydrogen fluoride, ammonium fluoride, and ammonium hydrogen fluoride. More preferably, ammonium bifluoride is used because selectivity is maintained during long-term use.

이외에 본 발명의 식각 조성물은 식각 성능을 향상시키기 위해 통상적으로 공지된 임의의 첨가제를 더 포함할 수 있다. 상기 첨가제는 계면활성제, 금속 이온 봉쇄제(sequestering agent), 또는 부식 방지제 등일 수 있다.In addition, the etching composition of the present invention may further include any commonly known additives to improve etching performance. The additive may be a surfactant, a metal ion sequestering agent, or a corrosion inhibitor.

본 발명의 일 구현예에 있어서, 상기 계면활성제는 상기 식각 조성물을 이용하여 질화막을 식각하는 동안 식각된 잔사를 제거하는 역할을 할 수 있다. 상기 계면활성제로는 음이온성 계면활성제, 양이온성 계면활성제, 비이온성 계면활성제, 또는 이들의 조합으로 이루어질 수 있다. 예를 들면, 상기 계면활성제로서 CTAC (cetyltrimethylammonium chloride), DTAC (dodecyltrimethylammonium chloride), MLS (monoethanolamine lauryl sulfate), DBSA (dodecylbenzenesulfonic acid) 등을 사용할 수 있으나, 본 발명의 기술적 사상이 상기 예시한 바에 한정되는 것은 아니다.In one embodiment of the present invention, the surfactant may serve to remove residues etched while the nitride film is etched using the etching composition. The surfactant may be composed of an anionic surfactant, a cationic surfactant, a nonionic surfactant, or a combination thereof. For example, as the surfactant, CTAC (cetyltrimethylammonium chloride), DTAC (dodecyltrimethylammonium chloride), MLS (monoethanolamine lauryl sulfate), DBSA (dodecylbenzenesulfonic acid), etc. may be used, but the technical idea of the present invention is limited to the bar exemplified above It is not.

본 발명의 일 구현예에 있어서, 상기 금속 이온 봉쇄제 및 상기 부식 방지제는 각각 상기 식각 조성물을 이용하여 질화막을 식각하는 동안 상기 질화막과 함께 상기 식각 조성물에 노출되는 금속막을 보호하는 역할을 할 수 있다. 일부 실시예들에서 상기 금속 이온 봉쇄제로서 EDTA (ethylenediamine tetraacetic acid)를 사용하고, 상기 부식 방지제로서 트리아졸류, 이미다졸류, 티올 화합물 등을 사용할 수 있으나, 본 발명의 기술적 사상이 상기 예시한 바에 한정되는 것은 아니다.In one embodiment of the present invention, the metal ion sequestering agent and the corrosion inhibitor may serve to protect a metal film exposed to the etching composition together with the nitride film while etching the nitride film using the etching composition, respectively. . In some embodiments, EDTA (ethylenediamine tetraacetic acid) may be used as the metal ion sequestering agent, and triazoles, imidazoles, thiol compounds, etc. may be used as the corrosion inhibitor, but the technical idea of the present invention is as exemplified above It is not limited.

상술한 바와 같은 본 발명의 식각 조성물은 무기산 및 실란계 화합물과 더불어 첨가제, 암모늄계 화합물, 아민계 화합물, 불소계 화합물 등을 선택적으로 더 포함함에 따라, 현저하게 높은 산화막에 대한 질화막의 식각 선택비를 나타낼 수 있다. 또한, 상기 식각 조성물은 질화막의 식각 과정에서 산화막의 막질 손상이나 산화막의 식각으로 인한 전기적 특성 저하가 방지되고 파티클 발생을 최소화할 수 있다. 따라서, 본 발명의 식각 조성물은 반도체 소자의 제조시 식각 공정에 유용하게 사용될 수 있다.As described above, the etching composition of the present invention selectively further includes an additive, an ammonium-based compound, an amine-based compound, a fluorine-based compound, and the like in addition to an inorganic acid and a silane-based compound, thereby providing a remarkably high etching selectivity of a nitride film to an oxide film. can indicate In addition, the etching composition can prevent damage to the film quality of the oxide film or deterioration of electrical characteristics due to etching of the oxide film during the etching process of the nitride film, and can minimize the generation of particles. Therefore, the etching composition of the present invention can be usefully used in an etching process in manufacturing a semiconductor device.

반도체 소자의 제조방법Manufacturing method of semiconductor device

본원의 제2 측면은,The second aspect of the present application is,

기판 상에 절연막 및 희생막이 적층하여 구조체를 형성하는 단계; 및 상기 식각 조성물을 사용한 식각 공정을 수행하여, 희생막을 제거하여 공간 영역을 형성하는 단계;를 포함하는 집적회로 소자의 제조방법을 제공한다.forming a structure by stacking an insulating film and a sacrificial film on a substrate; and forming a space region by removing the sacrificial layer by performing an etching process using the etching composition.

본원의 제1 측면과 중복되는 부분들에 대해서는 상세한 설명을 생략하였으나, 본원의 제1 측면에 대해 설명한 내용은 제2 측면에서 그 설명이 생략되었더라도 동일하게 적용될 수 있다.Detailed descriptions of portions overlapping with those of the first aspect of the present application have been omitted, but the contents described for the first aspect of the present application can be equally applied even if the description is omitted from the second aspect.

이하 본원의 제2 측면에 따른 반도체 소자의 제조방법을 상세히 설명한다.Hereinafter, a method of manufacturing a semiconductor device according to a second aspect of the present disclosure will be described in detail.

본 명세서에서 사용된 “공간 영역”, “공간부”에서의 “공간은 반도체 소자의 식각공정에서 희생막이 제거되어 형성되는 공간을 통칭하는 것으로서, 비제한적인 예시로서, 트렌치, 채널, 게이트, 스페이서 등이 포함될 수 있다.As used herein, “space” in “space region” and “space portion” collectively refers to a space formed by removing a sacrificial film in an etching process of a semiconductor device, and includes trenches, channels, gates, and spacers as non-limiting examples. etc. may be included.

또한 본 명세서에서 사용된 “증착부”는 상술한 공간 영역 또는 공간부에 도전재료 또는 절연재료가 증착되어 형성되는 부분을 포함하는 것을 의미하고, 패턴화되어 구성될 수 있다. In addition, the “deposited portion” used herein refers to a portion formed by depositing a conductive material or an insulating material on the above-described space region or space portion, and may be patterned.

본원의 도 1은 실시예들에 따른 반도체 소자의 평면도이다. 도 2 내지 도 8은 실시예들에 따른 반도체 소자의 제조 방법을 설명하기 위한 도면들로, 도 1의 I-I' 선을 따라 자른 단면들에 대응된다. 이하, 앞서 설명한 바와 중복되는 내용은 생략한다.1 of the present application is a plan view of a semiconductor device according to example embodiments. 2 to 8 are views for explaining a method of manufacturing a semiconductor device according to example embodiments, and correspond to cross-sections taken along the line II′ of FIG. 1 . Hereinafter, contents overlapping with those described above will be omitted.

본원의 도 1 및 도 2를 참조하면, 적층 구조체(200)가 기판(100) 상에 형성될 수 있다. 기판(100)은 벌크(bulk) 실리콘 기판, 실리콘-온-인슐레이터(silicon on insulator: SOI) 기판, 게르마늄 기판, 게르마늄-온-인슐레이터(germanium on insulator: GOI) 기판, 실리콘-게르마늄 기판, 또는 선택적 에피택시얼 성장(selective epitaxial growth: SEG)을 수행하여 획득한 에피택시얼 박막의 기판일 수 있다. 제1 방향(D1) 및 제2 방향(D2)은 기판(100)의 상부면(100a)에 평행할 수 있다. 제2 방향(D2)은 제1 방향(D1)과 교차할 수 있다. 제3 방향(D3)은 기판(100)의 상부면(100a)과 수직할 수 있다.Referring to FIGS. 1 and 2 of the present application, a laminated structure 200 may be formed on a substrate 100 . The substrate 100 may be a bulk silicon substrate, a silicon on insulator (SOI) substrate, a germanium substrate, a germanium on insulator (GOI) substrate, a silicon-germanium substrate, or an optional It may be a substrate of an epitaxial thin film obtained by performing selective epitaxial growth (SEG). The first direction D1 and the second direction D2 may be parallel to the upper surface 100a of the substrate 100 . The second direction D2 may cross the first direction D1. The third direction D3 may be perpendicular to the top surface 100a of the substrate 100 .

본 발명의 일 구현예에서 있어서, 적층 구조체(200)는 희생막들(SC) 및 절연막들(IL)을 포함할 수 있다. 적층 구조체(200)의 형성은 기판(100) 상에 희생막들(SC) 및 절연막들(IL)을 교대로 반복하여 형성하는 것을 포함할 수 있다. 희생막들(SC)은 절연막들(IL) 사이에 형성될 수 있다. 희생막들(SC)은 절연막들(IL)에 대해 식각 선택성을 가질 수 있다. 희생막들(SC)은 예를 들어, 실리콘 질화물(예를 들어, SixNy)을 포함할 수 있다. 절연막들(IL)은 실리콘 산화물(예를 들어, SixOy)을 포함할 수 있다. 절연막들(IL)은 tetraethoxysilane(TEOS)를 사용하여 형성될 수 있고, tetraethoxysilane는 (C2H5O)4Si로 표시될 수 있다.In one embodiment of the present invention, the stacked structure 200 may include sacrificial layers SC and insulating layers IL. The formation of the stacked structure 200 may include alternately and repeatedly forming sacrificial layers SC and insulating layers IL on the substrate 100 . The sacrificial layers SC may be formed between the insulating layers IL. The sacrificial layers SC may have etch selectivity with respect to the insulating layers IL. The sacrificial layers SC may include, for example, silicon nitride (eg, Si x N y ). The insulating layers IL may include silicon oxide (eg, Si x O y ). The insulating layers IL may be formed using tetraethoxysilane (TEOS), and tetraethoxysilane may be represented by (C 2 H 5 O) 4 Si.

본 발명의 실시예들에서, 희생막들(SC)은 서로 실질적으로 동일한 두께들를 가질 수 있다. 이와 달리, 희생막들(SC) 중 최하층의 희생막(SC) 및 최상층의 희생막(SC)은 그들 사이에 위치한 희생막들(SC)에 비해 두껍게 형성될 수 있다. 또한, 절연막들(IL)은 서로 동일한 두께들을 가지거나, 절연막들(IL) 중 적어도 2개의 두께들은 서로 다를 수 있다. 절연막들(IL) 중 최하층의 것은 그 상부 상에 형성된 희생막들(SC) 및 절연막들(IL)보다 얇은 두께를 가질 수 있다. 상기 절연막들(IL) 중 최하층의 것은 열산화 공정을 통해 형성되는 실리콘 산화막일 수도 있다. 본 명세서에서, 어떤 구성 요소의 두께는 상기 구성 요소의 제3 방향(D3)에서의 거리를 의미할 수 있다.In example embodiments, the sacrificial layers SC may have substantially the same thicknesses as each other. Unlike this, among the sacrificial layers SC, the lowermost sacrificial layer SC and the uppermost sacrificial layer SC may be formed thicker than the sacrificial layers SC located therebetween. In addition, the insulating layers IL may have the same thickness or the thicknesses of at least two of the insulating layers IL may be different from each other. A lowermost layer of the insulating layers IL may have a thickness smaller than that of the sacrificial layers SC and the insulating layers IL formed thereon. A lowermost layer of the insulating layers IL may be a silicon oxide layer formed through a thermal oxidation process. In the present specification, the thickness of a component may mean a distance of the component in the third direction D3.

본원의 도 1 및 도 3을 참조하면, 개구부들(210) 및 수직 구조체들(300)이 적층 구조체(200) 내에 형성될 수 있다. 개구부들(210)을 형성하는 것은 적층 구조체(200) 상에 개구부들(210)의 평면적 위치를 정의하는 마스크 패턴(미도시)을 형성하는 것 및 상기 마스크 패턴을 식각 마스크로 사용하여 적층 구조체(200)를 식각하는 것를 포함할 수 있다. 적층 구조체(200)의 식각은 이방성 식각 공정에 의해 수행될 수 있다.Referring to FIGS. 1 and 3 of the present application, openings 210 and vertical structures 300 may be formed in the laminated structure 200 . Forming the openings 210 includes forming a mask pattern (not shown) defining the planar positions of the openings 210 on the stacked structure 200 and using the mask pattern as an etching mask to form a stacked structure ( 200) may include etching. Etching of the laminated structure 200 may be performed by an anisotropic etching process.

본 발명의 일 구현예에서 있어서, 개구부들(210)은 적층 구조체(200)를 관통할 수 있다. 개구부들(210)의 측벽들은 희생막들(SC) 및 절연막들(IL)을 노출시킬 수 있다. 개구부들(210)은 기판(100)을 노출시킬 수 있다. 개구부들(210)을 형성하는 동안 기판(100)의 상부면(100a)이 오버 식각(over etch)될 수 있다. 이 경우, 개구부들(210)에 노출된 기판(100)의 상부면(100a)은 소정 깊이로 리세스될 수도 있다.In one embodiment of the present invention, the openings 210 may pass through the laminated structure 200 . Sidewalls of the openings 210 may expose the sacrificial layers SC and the insulating layers IL. The openings 210 may expose the substrate 100 . While forming the openings 210 , the upper surface 100a of the substrate 100 may be over-etched. In this case, the upper surface 100a of the substrate 100 exposed through the openings 210 may be recessed to a predetermined depth.

본 발명의 일 구현예에서 있어서, 개구부들(210) 각각은 원통형 또는 직육면체의 홀 형태로 형성될 수 있다. 개구부들(210)의 하부들은 그들의 상부들보다 더 작은 폭들을 가질 수 있다. 도 1과 같이, 개구부들(210)은 평면적 관점에서 제2 방향(D2)과 나란한 열들을 이룰 수 있다. 인접한 두 열들 사이의 개구부들(210)은 제2 방향(D2)으로 지그재그(zigzag) 형태로 배열될 수 있다. 도 1과 달리, 개구부들(210)은 제1 방향(D1) 및 제2 방향(D2)을 따라 정렬된 어레이를 이룰 수 있다. 예를 들어, 인접한 두 열들의 개구부들(210)은 제1 방향(D1)으로 정렬되어, 어레이를 이룰 수 있다.In one embodiment of the present invention, each of the openings 210 may be formed in a cylindrical or rectangular parallelepiped hole shape. The lower portions of the openings 210 may have smaller widths than their upper portions. As shown in FIG. 1 , the openings 210 may form rows parallel to the second direction D2 in a plan view. The openings 210 between two adjacent columns may be arranged in a zigzag shape in the second direction D2 . Unlike FIG. 1 , the openings 210 may form an array aligned along the first and second directions D1 and D2 . For example, two adjacent columns of openings 210 may be aligned in the first direction D1 to form an array.

본 발명의 일 구현예에서 있어서, 제1 유전 패턴들(310)이 개구부들(210) 내에 형성될 수 있다. 제1 유전 패턴들(310)은 개구부들(210)의 측벽들을 덮을 수 있다. 제1 유전 패턴들(310)은 기판(100)의 상부면(100a)을 노출시킬 수 있다. 제1 유전 패턴(310)은 단층의 절연층 또는 다층의 절연층들을 포함할 수 있다. 제1 유전 패턴(310)은 전하 트랩형 플래시 메모리 트랜지스터의 데이터 저장막의 일부로 기능할 수 있다. 제1 유전 패턴(310)의 예시적인 실시예들은 도 9에 관한 설명에서 후술한다.In one embodiment of the present invention, first dielectric patterns 310 may be formed in the openings 210 . The first dielectric patterns 310 may cover sidewalls of the openings 210 . The first dielectric patterns 310 may expose the upper surface 100a of the substrate 100 . The first dielectric pattern 310 may include a single insulating layer or multiple insulating layers. The first dielectric pattern 310 may function as a part of a data storage layer of a charge trap type flash memory transistor. Exemplary embodiments of the first dielectric pattern 310 are described later in the description with respect to FIG. 9 .

본 발명의 일 구현예에서 있어서, 반도체 패턴들(320)이 개구부들(210) 내에 형성될 수 있다. 반도체 패턴들(320)은 예를 들어, 실리콘(Si), 게르마늄(Ge) 또는 이들의 혼합물을 포함할 수 있다. 반도체 패턴들(320)은 단결정, 비정질(amorphous), 및 다결정(polycrystalline) 중에서 적어도 하나를 포함하는 결정 구조를 가질 수 있다. 반도체 패턴들(320)은 도핑된 불순물을 더 포함할 수 있다. 다른 예로, 반도체 패턴들(320)은 도핑되지 않은 상태의 진성 반도체(intrinsic semiconductor)일 수 있다. 반도체 패턴들(320)은 열적 화학기상증착(Thermal CVD), 플라즈마 인핸스드(Plasma enhanced CVD), 또는 원자층 증착(Atomic Layer Deposition; ALD) 기술을 이용하여 형성될 수 있다.In one embodiment of the present invention, semiconductor patterns 320 may be formed in the openings 210 . The semiconductor patterns 320 may include, for example, silicon (Si), germanium (Ge), or a mixture thereof. The semiconductor patterns 320 may have a crystal structure including at least one of single crystal, amorphous, and polycrystalline. The semiconductor patterns 320 may further include doped impurities. As another example, the semiconductor patterns 320 may be an undoped intrinsic semiconductor. The semiconductor patterns 320 may be formed using thermal CVD, plasma enhanced CVD, or atomic layer deposition (ALD) technology.

본 발명의 일 구현예에서 있어서, 반도체 패턴들(320)은 개구부들(210)의 측벽들 상에 형성되어, 제1 유전 패턴들(310)을 덮을 수 있다. 반도체 패턴들(320)은 기판(100) 상으로 연장되어, 개구부들(210)에 의해 노출된 기판(100)의 상부면(100a)의 일부와 접촉할 수 있다. 반도체 패턴들(320) 각각은 대응되는 각 개구부들(210) 내에 파이프 형태(pipe-shaped), 중공의 실린더 형태(hollow cylindrical shape), 또는 컵(cup) 모양으로 형성될 수 있다. 반도체 패턴들(320)은 개구부들(210)의 중심 부분들에 빈영역들(321)을 정의할 수 있다.In one embodiment of the present invention, the semiconductor patterns 320 may be formed on sidewalls of the openings 210 to cover the first dielectric patterns 310 . The semiconductor patterns 320 may extend onto the substrate 100 and contact portions of the upper surface 100a of the substrate 100 exposed by the openings 210 . Each of the semiconductor patterns 320 may be formed in a pipe-shaped, hollow cylindrical shape, or cup shape within corresponding openings 210 . The semiconductor patterns 320 may define blank areas 321 at central portions of the openings 210 .

본 발명의 일 구현예에서 있어서, 매립 절연 패턴들(330)이 상기 빈 영역들(321) 내에 각각 채워질 수 있다. 매립 절연 패턴들(330)은 갭필 특성이 우수한 절연재료로 형성될 수 있다. 매립 절연 패턴들(330)은 예를 들어, 고밀도 플라즈마 산화막, SOG막(Spin On Glass layer), ALD 산화막 및/또는 CVD 산화막 등으로 형성될 수 있다.In one embodiment of the present invention, the filling insulating patterns 330 may fill the empty regions 321 respectively. The filling insulating patterns 330 may be formed of an insulating material having excellent gap-fill characteristics. The filling insulating patterns 330 may be formed of, for example, a high-density plasma oxide layer, a spin on glass layer (SOG) layer, an ALD oxide layer, and/or a CVD oxide layer.

본 발명의 일 구현예에서 있어서, 패드들(340)이 수직 구조체들(300) 상에 형성될 수 있다. 패드들(340)은 불순물이 도핑된 반도체 물질 또는 금속과 같은 도전재료로 이루어질 수 있다. 패드들(340)의 하면은 최상층의 희생막(SC)의 상면보다 높은 레벨에 배치될 수 있다. 하부 캐핑막(510)이 수직 구조체들(300) 및 적층 구조체(200)의 상면 상에 형성될 수 있다. 하부 캐핑막(510)은 실리콘 산화물, 실리콘 질화물, 및/또는 실리콘 산질화물과 같은 절연재료를 포함할 수 있다.In one implementation of the invention, pads 340 may be formed on vertical structures 300 . The pads 340 may be formed of a conductive material such as a semiconductor material doped with impurities or a metal. The lower surfaces of the pads 340 may be disposed at a higher level than the upper surface of the uppermost sacrificial layer SC. A lower capping layer 510 may be formed on upper surfaces of the vertical structures 300 and the stacked structure 200 . The lower capping layer 510 may include an insulating material such as silicon oxide, silicon nitride, and/or silicon oxynitride.

본원의 도 4를 참조하면, 트렌치들(600)이 형성되어, 적층 구조체(200) 및 하부 캐핑막(510)을 관통할 수 있다. 트렌치 들(600)을 형성하는 것은, 하부 캐핑막(510) 상에 트렌치들(600)의 평면적 위치를 정의하는 마스크 패턴(미도시)을 형성하는 것 및 마스크 패턴을 식각 마스크로 사용하여 적층 구조체(200)를 식각하는 것를 포함할 수 있다. 적층 구조체(200)를 식각하는 것은 이방성 식각 공정에 의해 수행될 수 있다.Referring to FIG. 4 of the present application, trenches 600 may be formed to pass through the stacked structure 200 and the lower capping layer 510 . Forming the trenches 600 includes forming a mask pattern (not shown) defining the planar positions of the trenches 600 on the lower capping layer 510 and using the mask pattern as an etch mask to form a layered structure. (200). Etching the laminated structure 200 may be performed by an anisotropic etching process.

본 발명의 일 구현예에서 있어서, 트렌치들(600)은 인접하는 수직 구조체들(300) 사이에 형성될 수 있다. 트렌치들(600)은 수직 구조체들(300)로부터 이격되어, 희생막들(SC)의 측벽들 및 절연막들(IL)의 측벽들을 노출시킬 수 있다. 트렌치들(600)의 상부들은 그들의 하부들보다 더 큰 폭들을 가질 수 있다. 트렌치들(600)는 기판(100)의 상부면(100a)을 노출시킬 수 있다. 트렌치들(600)을 형성하는 동안 오버 식각에 의해 트렌치들(600)에 노출된 기판(100)의 상부면(100a)이 소정 깊이로 리세스될 수 있다. 도 1과 같이, 트렌치들(600)은 평면적 관점에서 제2 방향(D2)과 나란한 장축들을 가질 수 있다. 트렌치들(600)은 서로 제1 방향(D1)으로 이격될 수 있다.In one embodiment of the present invention, the trenches 600 may be formed between adjacent vertical structures 300 . The trenches 600 may be spaced apart from the vertical structures 300 to expose sidewalls of the sacrificial layers SC and sidewalls of the insulating layers IL. The tops of the trenches 600 may have larger widths than their bottoms. The trenches 600 may expose the top surface 100a of the substrate 100 . During formation of the trenches 600 , an upper surface 100a of the substrate 100 exposed to the trenches 600 may be recessed to a predetermined depth by over-etching. As shown in FIG. 1 , the trenches 600 may have long axes parallel to the second direction D2 in a plan view. The trenches 600 may be spaced apart from each other in the first direction D1.

본원의 도 5를 참조하면, 희생막들(SC)이 식각되어, 게이트 영역들(250)을 형성할 수 있다. 게이트 영역들(250)은 공극들일 수 있으며, 도 7에서 게이트 전극 패턴들(450)이 형성되는 영역들일 수 있다. 게이트 영역들(250)은 절연막들(IL) 사이에 형성되며, 트렌치들(600)과 연결될 수 있다. 게이트 영역들(250)은 수직 구조체들(300)의 측벽들(300c)의 일부분들을 노출시킬 수 있다. 게이트 영역들(250)의 두께들은 제거된 희생막들(SC)의 두께들과 실질적으로 동일할 수 있다. 희생막들(SC)의 식각은 식각 조성물을 이용한 식각 공정에 의해 수행될 수 있다. 상기 식각 공정은 습식 식각 공정일 수 있다.Referring to FIG. 5 of the present application, the sacrificial layers SC may be etched to form gate regions 250 . The gate regions 250 may be voids and may be regions where the gate electrode patterns 450 are formed in FIG. 7 . The gate regions 250 may be formed between the insulating layers IL and may be connected to the trenches 600 . The gate regions 250 may expose portions of the sidewalls 300c of the vertical structures 300 . Thicknesses of the gate regions 250 may be substantially the same as thicknesses of the removed sacrificial layers SC. Etching of the sacrificial layers SC may be performed by an etching process using an etching composition. The etching process may be a wet etching process.

본 발명의 일 구현예에서 있어서, 식각 조성물은 인산과 같은 무기산, 암모늄계 화합물, 및 실란계 화합물을 포함할 수 있다. 희생막들(SC)은 실리콘 질화물을 포함하므로, 반응식 1과 같이 인산에 의해 식각될 수 있다. In one embodiment of the present invention, the etching composition may include an inorganic acid such as phosphoric acid, an ammonium-based compound, and a silane-based compound. Since the sacrificial layers SC include silicon nitride, they may be etched with phosphoric acid as in Scheme 1.

본 발명의 일 구현예에서 있어서, 예컨대, 150℃ 내지 200℃, 상세하게는 155℃ 내지 170℃의 식각 조성물이 기판(100) 상에 공급될 수 있다. 상기 온도 조건에서, 인산은 희생막들(SC) 뿐만 아니라, 실리콘 산화물을 더 식각할 수 있다. 절연막들(IL)은 실리콘 산화물을 포함할 수 있다. 실시예들에 따르면, 식각 조성물은 실리콘 함유 화합물을 포함하여, 인산에 의한 절연막들(IL)의 식각이 방지/감소될 수 있다. 예를 들어, 상기 식각 공정에서, 상기 실리콘 함유 화합물의 산소는 절연막들(IL)의 표면에 결합되어 절연막들(IL)을 보호할 수 있다. 이에 따라, 상기 식각 공정 동안, 절연막들(IL)은 낮은 식각률을 나타낼 수 있다. 실리콘 함유 화합물의 산소 원자는 희생막들(SC)의 표면과 상호작용(예를 들어, 수소 결합)하지 않을 수 있다. 이에 따라, 절연막들(IL)에 대한 희생막들(SC)의 식각 선택성이 증가될 수 있다. 실리콘 함유 화합물이 불안정하면, 부산물들이 형성되고, 상기 부산물들은 파티클을 형성할 수 있다. 부산물들 및/또는 파티클은 반도체 소자의 제조 과정에서 불량을 야기시킬 수 있다. 예를 들어, 부산물들 및/또는 파티클은 절연막들(IL)에 흡착될 수 있다. 실리콘 함유 화합물의 실리콘 원자 및 산소 원자의 결합은 안정하므로, 식각 공정에서 부산물들의 형성이 방지될 수 있다. 희생막들(SC)은 식각되어, 실리콘 이온(예를 들어, SiO2H2O)을 형성할 수 있다. 암모늄계 화합물은 희생막들(SC)을 식각하는 동안, 발생되는 실리콘 이온을 제거할 수 있다. 이에 따라, 상기 실리콘 이온에 의한 절연막들(IL)의 이상 성장이 방지/감소될 수 있다.In one embodiment of the present invention, for example, an etching composition at 150°C to 200°C, specifically 155°C to 170°C, may be supplied on the substrate 100 . Under the temperature condition, phosphoric acid may further etch silicon oxide as well as the sacrificial layers SC. The insulating layers IL may include silicon oxide. According to example embodiments, etching of the insulating layers IL by phosphoric acid may be prevented/reduced by including a silicon-containing compound in the etching composition. For example, in the etching process, oxygen of the silicon-containing compound may be bonded to surfaces of the insulating layers IL to protect the insulating layers IL. Accordingly, during the etching process, the insulating layers IL may exhibit a low etching rate. Oxygen atoms of the silicon-containing compound may not interact (eg, hydrogen bond) with the surfaces of the sacrificial layers SC. Accordingly, etch selectivity of the sacrificial layers SC with respect to the insulating layers IL may be increased. If the silicon-containing compound is unstable, by-products are formed, which can form particles. By-products and/or particles may cause defects in the manufacturing process of semiconductor devices. For example, by-products and/or particles may be adsorbed to the insulating layers IL. Since a bond between a silicon atom and an oxygen atom of the silicon-containing compound is stable, formation of by-products in an etching process may be prevented. The sacrificial layers SC may be etched to form silicon ions (eg, SiO 2 H 2 O). The ammonium-based compound may remove silicon ions generated while etching the sacrificial layers SC. Accordingly, abnormal growth of the insulating layers IL by the silicon ions may be prevented/reduced.

본 발명의 일 구현예에서 있어서, 상기 식각 공정에서, 식각 조성물은 도포, 침적(dipping), 분무, 또는 분사의 방법으로 기판(100) 상에 가해질 수 있다. 식각 조성물이 침적법에 의해 가해지는 경우, 상기 식각 공정에서, 배치식 장치가 사용될 수 있다. 식각 조성물이 기판(100) 상에 분무되는 경우, 상기 식각 공정에서, 매엽식(single wafer type) 장치가 사용될 수 있다. 상기 식각 공정 후, 초순수 등을 사용한 세정 공정 및 건조 공정이 기판(100) 상에 수행될 수 있다. 초순수란 불순물이 100ppb이하인 물을 의미할 수 있다.In one embodiment of the present invention, in the etching process, the etching composition may be applied on the substrate 100 by coating, dipping, spraying, or spraying. When the etching composition is applied by an immersion method, in the etching process, a batch type device may be used. When the etching composition is sprayed onto the substrate 100, a single wafer type device may be used in the etching process. After the etching process, a cleaning process using ultrapure water and a drying process may be performed on the substrate 100 . Ultrapure water may mean water having impurities of 100 ppb or less.

본원의 도 6을 참조하면, 제2 유전 패턴(410) 및 게이트 도전막(451)이 적층 구조체(200) 상에 및 트렌치들(600) 내에 형성될 수 있다. 제2 유전 패턴(410)은 적층 구조체(200) 상에 및 트렌치들(600) 내에 실질적으로 콘포말하게 형성될 수 있다. 제2 유전 패턴(410)은 트렌치들(600) 및 게이트 영역들(250) 내로 연장될 수 있다. 제2 유전 패턴(410)은 절연막들(IL) 중 최상층의 절연막(IL)의 상면, 트렌치들(600)에 의해 노출된 절연막들(IL)의 측벽들, 게이트 영역들(250)에 의해 노출된 절연막들(IL)의 상면들 및 하면들, 게이트 영역들(250)에 의해 노출된 수직 구조체들(300)의 측벽들(300c), 및 기판(100)의 상부면(100a)을 실질적으로 콘포말하게 덮을 수 있다. 제2 유전 패턴(410)은 증착 공정에 의해 형성될 수 있다. 상기 증착 방법 및 증착 조건이 조절되어, 상기 제2 유전패턴(410)이 양호한 스텝 커버리지(step coverage)를 갖도록 형성될 수 있다. 예를 들어, 제2 유전 패턴(410)의 증착 공정은 화학 기상 증착 또는 원자층 증착법에 의해 수행될 수 있다, 제2 유전 패턴(410)은 단수의 층 또는 복수의 층들을 포함할 수 있다. 제2 유전 패턴(410)은 전하 트랩형 플래시 메모리 트랜지스터의 데이터 저장막(DS)의 일부일 수 있다. 제2 유전 패턴(410)의 예시적인 실시예들은 도 9에 관한 설명에서 후술한다. 게이트 도전막(451)이 제2 유전 패턴(410) 상에 형성될 수 있다. 게이트 도전막(451)은 트렌치들(600) 각각의 적어도 일부 및 게이트 영역들(250)을 채울 수 있다. 도시된 바와 달리, 게이트 도전막(451)은 트렌치들(600) 각각을 완전히 채울 수 있다. 도시되지는 않았으나, 배리어 금속막 및 금속막이 차례로 증착되어, 게이트 도전막(451)을 형성할 수 있다. 배리어 금속막은 예를 들어, 티타늄 질화물(TiN), 탄탈륨 질화물(TaN), 또는 텅스텐 질화물(WN)와 같은 금속 질화물을 포함할 수 있다. 금속막은 예를 들어, 텅스텐(W), 알루미늄(Al), 티타늄(Ti), 탄탈륨(Ta), 코발트(Co), 또는 구리(Cu)를 포함할 수 있다.Referring to FIG. 6 of the present application, a second dielectric pattern 410 and a gate conductive layer 451 may be formed on the stacked structure 200 and in the trenches 600 . The second dielectric pattern 410 may be substantially conformally formed on the stacked structure 200 and in the trenches 600 . The second dielectric pattern 410 may extend into the trenches 600 and the gate regions 250 . The second dielectric pattern 410 is exposed by the top surface of the uppermost insulating layer IL among the insulating layers IL, the sidewalls of the insulating layers IL exposed by the trenches 600, and the gate regions 250. The upper and lower surfaces of the insulating films IL, the sidewalls 300c of the vertical structures 300 exposed by the gate regions 250, and the upper surface 100a of the substrate 100 are substantially formed. It can be covered in conformity. The second dielectric pattern 410 may be formed by a deposition process. By adjusting the deposition method and deposition conditions, the second dielectric pattern 410 may be formed to have good step coverage. For example, the deposition process of the second dielectric pattern 410 may be performed by chemical vapor deposition or atomic layer deposition. The second dielectric pattern 410 may include a single layer or a plurality of layers. The second dielectric pattern 410 may be a part of the data storage layer DS of the charge trap type flash memory transistor. Exemplary embodiments of the second dielectric pattern 410 are described later in the description with respect to FIG. 9 . A gate conductive layer 451 may be formed on the second dielectric pattern 410 . The gate conductive layer 451 may fill at least a portion of each of the trenches 600 and the gate regions 250 . Unlike shown, the gate conductive layer 451 may completely fill each of the trenches 600 . Although not shown, a gate conductive layer 451 may be formed by sequentially depositing a barrier metal layer and a metal layer. The barrier metal layer may include, for example, a metal nitride such as titanium nitride (TiN), tantalum nitride (TaN), or tungsten nitride (WN). The metal layer may include, for example, tungsten (W), aluminum (Al), titanium (Ti), tantalum (Ta), cobalt (Co), or copper (Cu).

본원의 도 1 및 도 7을 참조하면, 게이트 도전막(451)이 패터닝되어, 게이트 전극 패턴들(450)이 게이트 영역들(250) 내에 각각 형성될 수 있다. 게이트 도전막(451)의 패터닝은 식각 공정에 의해 진행될 수 있다. 이 때, 제2 유전패턴(410)이 더 식각될 수 있다. 게이트 도전막(451)의 식각 공정에서, 기판(100) 상의 게이트 도전막(451)이 제거될 수 있다. 게이트 도전막(451)의 식각은 절연막들(IL)의 측벽들 상의 절연막들(IL)이 제거되고, 절연막들(IL)의 측벽들이 노출될 때까지 진행될 수 있다. 이에 따라, 게이트 전극 패턴들(450) 및 제2 유전 패턴(410)이 게이트 영역들(250)에 국소화되고, 게이트 구조체들(400)이 형성될 수 있다. 게이트 구조체들(400) 각각은 서로 인접한 2개의 트렌치들(600) 사이에 형성될 수 있다. 게이트 구조체들(400)의 측벽들은 트렌치들(600)에 노출될 수 있다. 게이트 구조체들(400)은 트렌치들(600) 내의 기판(100)의 상부면(100a)을 노출시킬 수 있다. 상기 노출된 기판(100)의 상부면(100a)이 더 식각될 수 있다. 도 1과 같이 게이트 구조체들(400)은 평면적 관점에서 제2 방향(D2)과 나란한 장축들을 가질 수 있다. 게이트 구조체들(400)은 서로 제1 방향(D1)으로 이격될 수 있다.Referring to FIGS. 1 and 7 of the present application, the gate conductive layer 451 may be patterned to form gate electrode patterns 450 in the gate regions 250 , respectively. Patterning of the gate conductive layer 451 may be performed by an etching process. At this time, the second dielectric pattern 410 may be further etched. In the etching process of the gate conductive layer 451 , the gate conductive layer 451 on the substrate 100 may be removed. Etching of the gate conductive layer 451 may be performed until the insulating layers IL on the sidewalls of the insulating layers IL are removed and the sidewalls of the insulating layers IL are exposed. Accordingly, the gate electrode patterns 450 and the second dielectric pattern 410 may be localized in the gate regions 250 , and gate structures 400 may be formed. Each of the gate structures 400 may be formed between two adjacent trenches 600 . Sidewalls of the gate structures 400 may be exposed in the trenches 600 . The gate structures 400 may expose the top surface 100a of the substrate 100 in the trenches 600 . The exposed upper surface 100a of the substrate 100 may be further etched. As shown in FIG. 1 , the gate structures 400 may have long axes parallel to the second direction D2 when viewed in plan view. The gate structures 400 may be spaced apart from each other in the first direction D1.

본 발명의 일 구현예에서 있어서, 게이트 구조체들(400) 각각은 적층된 게이트 전극 패턴들(450), 제2 유전 패턴(410), 및 절연막들(IL)을 포함할 수 있다. 게이트 구조체들(400) 각각에서, 게이트 전극 패턴들(450)은 절연막들(IL) 사이에 개재될 수 있다. 게이트 전극 패턴들(450)은 스트링 선택 라인, 접지 선택 라인 및 워드 라인들로 사용될 수 있다. 예를 들면, 적층된 게이트 전극 패턴들(450)의 최상부의 것 및 최하부의 것은 각각 스트링 선택 라인 및 접지 선택 라인으로 사용될 수 있다. 상기 최상부 및 최하부의 게이트 전극 패턴들(450) 사이의 게이트 전극 패턴들(450)은 워드 라인들로 사용될 수 있다.In an exemplary embodiment of the present invention, each of the gate structures 400 may include stacked gate electrode patterns 450 , second dielectric patterns 410 , and insulating layers IL. In each of the gate structures 400 , the gate electrode patterns 450 may be interposed between the insulating layers IL. The gate electrode patterns 450 may be used as string selection lines, ground selection lines, and word lines. For example, the uppermost and lowermost portions of the stacked gate electrode patterns 450 may be used as a string selection line and a ground selection line, respectively. The gate electrode patterns 450 between the top and bottom gate electrode patterns 450 may be used as word lines.

본 발명의 일 구현예에서 있어서, 게이트 구조체들(400)에서, 제2 유전 패턴(410)은 게이트 전극 패턴들(450)과 절연막들(IL) 사이 및 수직 구조체(300)와 절연막들(IL) 사이에 개재될 수 있다.In one embodiment of the present invention, in the gate structures 400, the second dielectric pattern 410 is interposed between the gate electrode patterns 450 and the insulating films IL and between the vertical structure 300 and the insulating films IL. ) can be interposed between

본 발명의 일 구현예에서 있어서, 공통 소스 영역들(CSR)이 트렌치들(600)에 노출된 기판(100) 내에 형성될 수 있다. 공통 소스 영역들(CSR)은 서로 제2 방향(D2)으로 이격될 수 있다. 공통 소스 영역들(CSR)은 게이트 구조체들(400)를 이온 마스크로 사용한 이온 주입 공정을 통해 형성될 수 있다. 공통 소스 영역들(CSR)은 불순물의 확산에 의해 게이트 구조체들(400)의 하부의 일부분과 평면적 관점에서 중첩될 수 있다. 공통 소스 영역들(CSR)은 기판(100)의 도전형과 다른 도전형을 가질 수 있다. 다른 예로, 공통 소스 영역들(CSR)은 도 4의 트렌치들(600)의 형성 이후에 수행될 수 있다.In one embodiment of the present invention, common source regions CSR may be formed in the substrate 100 exposed to the trenches 600 . The common source regions CSR may be spaced apart from each other in the second direction D2. The common source regions CSR may be formed through an ion implantation process using the gate structures 400 as ion masks. The common source regions CSR may overlap lower portions of the gate structures 400 in plan view by diffusion of impurities. The common source regions CSR may have a conductivity type different from that of the substrate 100 . As another example, the common source regions CSR may be formed after forming the trenches 600 of FIG. 4 .

본원의 도 1 및 도 8를 참조하면, 스페이서들(550) 및 공통 소스 플러그들(CSP)이 트렌치들(600) 내에 각각 형성될 수 있다. 스페이서들(550)은 게이트 구조체들(400)의 측벽들을 덮을 수 있다. 스페이서들(550)은 절연재료를 포함할 수 있다. 스페이서들(550)을 예를 들어 실리콘 산화물, 실리콘 질화물, 실리콘 산질화물, 또는 low-k 물질로 형성될 수 있다. 스페이서들(550)을 형성하는 것은 기판(100) 상에 스페이서막(미도시)을 균일한 두께로 증착하여, 게이트 구조체들(400)을 덮는 것 및 스페이서막에 대한 에치백 공정을 수행하여 공통 소스 영역들(CSR)을 노출시키는 것을 포함할 수 있다.Referring to FIGS. 1 and 8 of the present application, spacers 550 and common source plugs CSP may be formed in trenches 600 , respectively. The spacers 550 may cover sidewalls of the gate structures 400 . The spacers 550 may include an insulating material. The spacers 550 may be formed of, for example, silicon oxide, silicon nitride, silicon oxynitride, or a low-k material. The formation of the spacers 550 is performed by depositing a spacer film (not shown) with a uniform thickness on the substrate 100 to cover the gate structures 400 and by performing an etch-back process on the spacer film. It may include exposing the source regions (CSR).

본 발명의 일 구현예에서 있어서, 공통 소스 플러그들(CSP)이 스페이서들(550) 상에 형성되어, 트렌치들(600)을 채울 수 있다. 공통 소스 플러그들(CSP)은 공통 소스 영역들(CSR)과 각각 접속할 수 있다. 공통 소스 플러그(CSP)를 형성하는 것은 스페이서들(550)의 측벽들을 덮는 배리어 금속막(미도시)을 증착하는 것 및 배리어 금속막 상에 금속막(미도시)을 증착하는 것을 포함할 수 있다. 배리어 금속막은 예를 들어, 탄탈륨(Ta), 탄탈륨 질화물(TaN), 티타늄(Ti), 티타늄 질화물(TiN), 텅스텐(W), 텅스텐 질화물(WN), 및 이들의 조합 중에서 적어도 하나를 포함할 수 있다. 금속막은 텅스텐(W), 알루미늄(Al), 티타늄(Ti), 탄탈륨(Ta), 코발트(Co), 또는 구리(Cu)를 포함할 수 있다. 도 1과 같이 평면적 관점에서, 공통 소스 플러그들(CSP)의 장축들은 제2 방향(D2)과 나란히 연장될 수 있다.In one embodiment of the present invention, common source plugs (CSP) are formed on the spacers 550 to fill the trenches 600 . The common source plugs CSP may respectively connect to the common source regions CSR. Forming the common source plug CSP may include depositing a barrier metal layer (not shown) covering sidewalls of the spacers 550 and depositing a metal layer (not shown) on the barrier metal layer. . The barrier metal layer may include, for example, at least one of tantalum (Ta), tantalum nitride (TaN), titanium (Ti), titanium nitride (TiN), tungsten (W), tungsten nitride (WN), and combinations thereof. can The metal layer may include tungsten (W), aluminum (Al), titanium (Ti), tantalum (Ta), cobalt (Co), or copper (Cu). As shown in FIG. 1 , long axes of the common source plugs CSP may extend parallel to the second direction D2 .

본 발명의 일 구현예에서 있어서, 상부 캐핑막(520)이 하부 캐핑막(510) 상에 형성되어, 공통 소스 플러그(CSP)의 상면들을 덮을 수 있다. 상부 캐핑막(520)은 절연성 물질을 포함할 수 있다.In an exemplary embodiment, an upper capping layer 520 may be formed on the lower capping layer 510 to cover upper surfaces of the common source plug CSP. The upper capping layer 520 may include an insulating material.

본 발명의 일 구현예에서 있어서, 비트 라인 콘택 플러그들(530)이 상부 캐핑막(520) 내에 형성될 수 있다. 비트 라인 콘택 플러그들(530)은 상부 캐핑막(520) 및 하부 캐핑막(510)을 관통하며 패드들(340)과 각각 접속할 수 있다. 비트 라인 콘택 플러그들(530)은 패드들(340)을 통해 수직 구조체들(300)(예를 들어, 반도체 패턴들(320))과 각각 전기적으로 연결될 수 있다. 비트 라인들(BL)이 상부 캐핑막(520) 상에 형성되어, 비트 라인 콘택 플러그들(530)과 접속할 수 있다. 도 1과 같이 비트 라인들(BL)은 평면적 관점에서 제1 방향(D1)으로 연장될 수 있다. 비트 라인 콘택 플러그들(530) 및 비트 라인들(BL)은 금속과 같은 도전재료를 포함할 수 있다. 이에 따라, 반도체 소자(1)의 제조가 완성될 수 있다. 반도체 소자(1)는 3차원 메모리 소자일 수 있다.In an exemplary embodiment of the present invention, bit line contact plugs 530 may be formed in the upper capping layer 520 . The bit line contact plugs 530 may pass through the upper capping layer 520 and the lower capping layer 510 and may be respectively connected to the pads 340 . The bit line contact plugs 530 may be electrically connected to the vertical structures 300 (eg, the semiconductor patterns 320 ) through the pads 340 . Bit lines BL may be formed on the upper capping layer 520 and may be connected to the bit line contact plugs 530 . As shown in FIG. 1 , the bit lines BL may extend in the first direction D1 in a plan view. The bit line contact plugs 530 and the bit lines BL may include a conductive material such as metal. Accordingly, manufacturing of the semiconductor element 1 can be completed. The semiconductor device 1 may be a 3D memory device.

본원의 도 9는 실시예들에 따른 반도체 소자의 절연 패턴들을 설명하기 위한 도면으로, 도 8의 A영역을 확대 도시하였다. 이하, 도 9의 설명에서, 설명의 간소화를 위해 단수의 절연막, 단수의 게이트 전극 패턴, 및 단수의 수직 구조체에 대하여 기술한다.FIG. 9 of the present application is a diagram for explaining insulating patterns of a semiconductor device according to example embodiments, and is an enlarged view of region A of FIG. 8 . Hereinafter, in the description of FIG. 9 , a single insulating film, a single gate electrode pattern, and a single vertical structure will be described for simplicity of description.

본원의 도 8 및 도 9를 참조하면, 제1 유전 패턴(310)은 터널 절연막(311), 전하 저장막(312), 및 제1 블록킹 절연막(313)을 포함할 수 있다. 터널 절연막(311)은 수직 구조체를 따라 연장될 수 있다. 전하 저장막(312) 및 제1 블록킹 절연막(313)은 터널 절연막(311) 상에 적층될 수 있다. 터널 절연막(311)은 제1 블록킹 절연막(313)보다 낮은 유전 상수를 갖는 물질로 형성될 수 있다. 터널 절연막(311)은 예를 들어, 산화물, 질화물 또는 산화질화물 등에서 선택된 적어도 하나를 포함할 수 있다. 이와 달리, 터널 절연막(311)은 고유전 물질을 포함할 수 있다. 고유전 물질은 실리콘 산화물보다 높은 유전 상수를 갖는 절연성 물질을 의미하며, 지르코늄 산화물, 알루미늄 산화물, 및/또는 하프늄 산화물 등을 포함할 수 있다. 전하 저장막(312)은 터널 절연막(311) 및 제1 블록킹 절연막(313) 사이에 개재될 수 있다. 전하 저장막(312)은 전하트랩 절연막, 플로팅 게이트 전극 또는 도전성 나노 도트들(conductive nano dots) 중 적어도 하나를 포함할 수 있다. 제1 블록킹 절연막(313)은 고유전 물질을 포함할 수 있다.Referring to FIGS. 8 and 9 of the present application, the first dielectric pattern 310 may include a tunnel insulating layer 311 , a charge storage layer 312 , and a first blocking insulating layer 313 . The tunnel insulating layer 311 may extend along the vertical structure. The charge storage layer 312 and the first blocking insulating layer 313 may be stacked on the tunnel insulating layer 311 . The tunnel insulating layer 311 may be formed of a material having a lower dielectric constant than the first blocking insulating layer 313 . The tunnel insulating layer 311 may include, for example, at least one selected from oxide, nitride, and oxynitride. Alternatively, the tunnel insulating layer 311 may include a high dielectric material. The high dielectric material refers to an insulating material having a higher dielectric constant than silicon oxide, and may include zirconium oxide, aluminum oxide, and/or hafnium oxide. The charge storage layer 312 may be interposed between the tunnel insulating layer 311 and the first blocking insulating layer 313 . The charge storage layer 312 may include at least one of a charge trap insulating layer, a floating gate electrode, or conductive nano dots. The first blocking insulating layer 313 may include a high dielectric material.

본 발명의 일 구현예에서 있어서, 제2 유전 패턴(410)은 제2 블록킹 절연막을 포함할 수 있다. 제2 블록킹 절연막은 게이트 전극 패턴(450)과 제1 유전 패턴(310) 사이 및 게이트 전극 패턴(450)과 절연막(IL) 사이에 개재될 수 있다. 제2 블록킹 절연막은 고유전 물질을 포함할 수 있다. 일 예로, 제1 블록킹 절연막(313)은 고유전 물질을 포함하고, 제2 블록킹 절연막은 제1 블록킹 절연막(313)보다 작은 유전 상수를 갖는 물질일 수 있다. 다른 예로, 제2 블록킹 절연막은 고유전 물질들 중의 하나이고, 제1 블록킹 절연막(313)은 제2 블록킹 절연막보다 작은 유전 상수를 갖는 물질일 수 있다.In one embodiment of the present invention, the second dielectric pattern 410 may include a second blocking insulating layer. The second blocking insulating layer may be interposed between the gate electrode pattern 450 and the first dielectric pattern 310 and between the gate electrode pattern 450 and the insulating layer IL. The second blocking insulating layer may include a high dielectric material. For example, the first blocking insulating layer 313 may include a high dielectric material, and the second blocking insulating layer may be a material having a dielectric constant smaller than that of the first blocking insulating layer 313 . As another example, the second blocking insulating layer may be one of high dielectric materials, and the first blocking insulating layer 313 may be a material having a smaller dielectric constant than the second blocking insulating layer.

본 발명의 일 구현예에서 있어서, 제1 유전 패턴(310) 및 제2 유전 패턴(410)은 데이터 저장막으로 기능할 수 있다. 데이터 저장막에 저장되는 데이터는 파울러-노던하임 터널링을 이용하여 변경될 수 있고, 상기 파울러-노던하임 터널링은 수직 구조체(300) 및 게이트 전극 패턴(450) 사이의 전압 차이에 의해 유발될 수 있다.In one embodiment of the present invention, the first dielectric pattern 310 and the second dielectric pattern 410 may function as a data storage layer. Data stored in the data storage layer may be changed using Fowler-Northernheim tunneling, and the Fowler-Northernheim tunneling may be caused by a voltage difference between the vertical structure 300 and the gate electrode pattern 450. .

본 발명의 일 구현예에서 있어서, 도시된 바와 달리, 제2 유전 패턴(410)은 형성되지 않을 수 있다. 다른 예로, 제1 블록킹 절연막(313)은 형성되지 않을 수 있다.In one embodiment of the present invention, unlike the drawing, the second dielectric pattern 410 may not be formed. As another example, the first blocking insulating layer 313 may not be formed.

집적회로 소자integrated circuit element

본원의 제3 측면은,The third aspect of the present application,

절연막 및 희생막이 적층되어 형성되는 구조체; 상기 구조체에서 희생막이 식각 조성물에 의해 식각되어 형성되는 공간부; 및 상기 공간부에 도전재료 또는 절연재료가 증착되어 형성되는 증착부;를 포함하고, 상기 식각 조성물은 제1 무기산; 및 제2 무기산과 상기 화학식 1의 실란계 화합물을 반응시켜 제조된 실란계 무기산염;을 포함하는 것을 특징으로 하는 집적회로 소자를 제공한다.a structure formed by stacking an insulating film and a sacrificial film; a space portion formed by etching the sacrificial layer with an etching composition in the structure; and a deposition portion formed by depositing a conductive material or an insulating material on the space portion, wherein the etching composition includes a first inorganic acid; and a silane-based inorganic acid salt prepared by reacting a second inorganic acid with the silane-based compound of Chemical Formula 1.

본원의 제1 및 제2 측면과 중복되는 부분들에 대해서는 상세한 설명을 생략하였으나, 본원의 제1 및 제2 측면에 대해 설명한 내용은 제3 측면에서 그 설명이 생략되었더라도 동일하게 적용될 수 있다.Detailed descriptions of portions overlapping with the first and second aspects of the present application have been omitted, but the contents described for the first and second aspects of the present application can be equally applied even if the description is omitted in the third aspect.

이하, 본 발명이 속하는 기술 분야에서 통상의 지식을 가진 자가 용이하게 실시할 수 있도록 본 발명의 실시예에 대하여 상세히 설명한다. 그러나 본 발명은 여러 가지 상이한 형태로 구현될 수 있으며 여기에서 설명하는 실시예에 한정되지 않는다.Hereinafter, embodiments of the present invention will be described in detail so that those skilled in the art can easily implement the present invention. However, the present invention may be embodied in many different forms and is not limited to the embodiments described herein.

실시예. 식각 조성물의 제조Example. Preparation of etching composition

실란계 화합물로 상기 화학식 2 내지 화학식 3에서 선택된 어느 1종을 사용하였고, 제1 무기산 및 제2 무기산으로서 인산을 사용하였으며, 상기 제2 무기산과 상기 실란계 화합물을 반응시켜 실란계 무기산염을 생성하였고, 첨가제로서, 암모늄계 화합물로 암모늄 클로라이드(AC), 또는 암모늄 포스페이트 2염기산(AP2)를 혼합하여 식각 조성물을 제조하였다. 이 때, 인산은 85% 인산 수용액을 사용하였으며, 각 실시예에 따른 구체적인 조성을 하기 표 1에 나타내었다.As the silane-based compound, any one selected from Formulas 2 to 3 was used, phosphoric acid was used as the first inorganic acid and the second inorganic acid, and a silane-based inorganic acid salt was generated by reacting the second inorganic acid with the silane-based compound. As an additive, an etching composition was prepared by mixing ammonium chloride (AC) or ammonium phosphate dibasic acid (AP2) as an ammonium-based compound. At this time, 85% phosphoric acid aqueous solution was used for phosphoric acid, and specific compositions according to each example are shown in Table 1 below.

비교예. 식각 조성물의 제조comparative example. Preparation of etching composition

비교예 1에서는 인산만을 식각 조성물로서 인산만을 포함(85% 수용액)하였으며, 상기 실시예들과 비교예 1의 조성을 아래 표 1과 같이 나타내었다.In Comparative Example 1, only phosphoric acid was included as an etching composition (85% aqueous solution), and the compositions of the Examples and Comparative Example 1 are shown in Table 1 below.

Figure pat00006
Figure pat00006

실험예. 식각속도 및 선택비 측정experimental example. Etch rate and selectivity measurement

실시예 및 비교예에서 각각 제조된 식각 조성물을 이용하여 질화막 및 산화막에 대한 식각을 실시하였고, 박막 두께 측정 장비인 엘립소미터(NANO VIEW, SEMG-1000)를 이용하여 질화막 및 산화막에 대한 식각 속도를 측정하여 하기 표 2에 나타내었다. 구체적으로, 하기 표 2의 식각 속도는 각 막을 300초 동안 식각한 후, 각 막의 식각 처리 전의 막 두께와 식각 처리 후의 막 두께의 차이를 식각 시간(분)으로 나누어 산출한 수치이다.Etching was performed on nitride and oxide films using the etching compositions prepared in Examples and Comparative Examples, and etching rates for nitride and oxide films were performed using an ellipsometer (NANO VIEW, SEMG-1000), a thin film thickness measurement equipment. was measured and shown in Table 2 below. Specifically, the etching rate in Table 2 below is a value calculated by etching each film for 300 seconds and then dividing the difference between the film thickness before etching and the film thickness after etching by the etching time (minutes).

Figure pat00007
Figure pat00007

위 표 2에 따르면, 본 발명의 식각 조성물에 해당하는 실시예의 식각 조성물은 비교예의 식각 조성물에 비해 질화막의 식각 속도가 산화막의 식각 속도보다 현저하게 높은 것을 확인할 수 있다. 이러한 점은 본 발명의 식각 조성물이 실란계 화합물과 제2 무기산과의 반응을 통해 생성된 실란계 무기산염을 포함하는 것에 의해, 질화막을 선택적으로 식각한다는 것을 뒷받침하는 것으로 볼 수 있다.According to Table 2 above, it can be seen that the etching composition of Examples corresponding to the etching composition of the present invention has a significantly higher etching rate of the nitride film than the etching rate of the oxide film, compared to the etching composition of Comparative Example. This can be seen as supporting that the etching composition of the present invention selectively etches the nitride film by including the silane-based inorganic acid salt generated through the reaction of the silane-based compound and the second inorganic acid.

또한, 실리콘 산화막으로부터 나온 용액을 모으고, 상기 용액 내의 실리콘 이온의 농도가 100 ppm이 되었을 때, 필터링 전후의 식각 속도를 측정하여(이하, 더미(dummy) 식각 속도라 한다), 표 3에 결과를 나타내었다.In addition, the solution from the silicon oxide film was collected, and when the concentration of silicon ions in the solution reached 100 ppm, the etching rate before and after filtering was measured (hereinafter referred to as a dummy etching rate), and the results are shown in Table 3 showed up

Figure pat00008
Figure pat00008

위 표 3에 따라, 비교예 및 실시예들에서 필터 전과 필터 후의 실리콘 산화막의 식각 속도 및 식각 선택비를 살펴보면, 비교예 1, 실시예 3 및 실시예 9에서는 필터 전과 필터 후의 실리콘 산화막의 식각 속도의 차이 및 식각 선택비의 차이가 발생하였다. 그러나, 실시예 5, 실시예 6, 실시예 11 및 실시예 12에서는 필터 전과 필터 후의 실리콘 산화막의 식각속도 및 식각 선택비가 동일한 수준으로 유지되었다. 즉, 식각 조성물 내에서 TEOS의 실리콘-산소 결합이 깨져서 다량의 반응 부산물을 생성하고, 생성된 부산물들이 실리콘 산화막에 흡착되는 것에 의해서 두께가 상승된다. 이후에, 필터 공정에 의해서 반응 부산물들이 제거되면 식각된 실리콘 산화막의 실제 두께를 측정할 수 있으므로, 식각 속도가 필터 전보다 증가하게 되는 것이다.According to Table 3 above, looking at the etching rate and etching selectivity of the silicon oxide film before and after the filter in Comparative Examples and Examples, in Comparative Example 1, Example 3, and Example 9, the etching rate of the silicon oxide film before and after the filter A difference in and a difference in etching selectivity occurred. However, in Example 5, Example 6, Example 11, and Example 12, the etching rate and the etching selectivity of the silicon oxide film before and after the filter were maintained at the same level. That is, the silicon-oxygen bond of TEOS is broken in the etching composition to generate a large amount of reaction by-products, and the generated by-products are adsorbed on the silicon oxide layer, thereby increasing the thickness. Subsequently, when the reaction by-products are removed by the filtering process, the actual thickness of the etched silicon oxide film can be measured, so that the etching rate is increased compared to before filtering.

반면, 암모늄계 화합물을 더 포함한 실시예 5, 실시예 6, 실시예 11 및 실시예 12에서는 필터 후에도 산화막 식각속도가 증가되지 않고 유지되는 것을 볼 수 있으며, 여전히 비교예 대비 높은 질화막 선택비를 나타내는 것을 확인할 수 있다. 이는 실리콘 질화막을 식각하는 동안 발생하는 반응 부산물이 식각 조성물에 포함된 암모늄 이온과 결합하여 수용성 화합물로 전환되었고, 따라서, 반응 부산물들이 석출되는 현상을 최소화된 것을 의미하는 것이다.On the other hand, in Example 5, Example 6, Example 11 and Example 12 further including an ammonium compound, it can be seen that the oxide film etch rate is maintained without increasing even after the filter, and still shows a high nitride film selectivity compared to Comparative Example can confirm that This means that reaction by-products generated during the etching of the silicon nitride film are converted into water-soluble compounds by combining with ammonium ions included in the etching composition, and thus, the precipitation of reaction by-products is minimized.

상기 본 발명은 전술한 실시예 및 첨부된 도면에 의해 한정되는 것이 아니고, 본 발명의 기술적 사상을 벗어나지 않는 범위 내에서 여러 가지 치환, 변형 및 변경이 가능하다는 것이 본 발명이 속하는 기술분야에서 통상의 지식을 가진 자에게 명백할 것이다.The present invention is not limited by the above-described embodiments and the accompanying drawings, and it is common in the technical field to which the present invention belongs that various substitutions, modifications, and changes are possible within the scope without departing from the technical spirit of the present invention. It will be clear to those who have knowledge.

Claims (17)

제1 무기산; 및
제2 무기산과 하기 화학식 1의 실란계 화합물을 반응시켜 제조된 실란계 무기산염;을 포함하는 것을 특징으로 하는 식각 조성물:
[화학식 1]
Figure pat00009

(상기 화학식 1에서,
R1 내지 R5, R7 및 R8은 각각 독립적으로 수소, 할로겐, 탄소수 1 내지 10의 치환 또는 비치환된 알킬기, 탄소수 1 내지 10의 치환 또는 비치환된 시클로알킬기, 탄소수 1 내지 10의 치환 또는 비치환된 알케닐기, 탄소수 1 내지 10의 치환 또는 비치환된 알콕시기, 탄소수 5 내지 20의 치환 또는 비치환된 아릴기, 탄소수 1 내지 10의 치환 또는 비치환된 아미노알킬기, 탄소수 1 내지 10의 치환 또는 비치환된 알킬아미노기, 및 탄소수 5 내지 20의 치환 또는 비치환된 헤테로아릴기로 이루어진 군으로부터 선택되는 어느 하나이고,
R6은 단일결합 또는 탄소수 1 내지 10의 치환 또는 비치환된 알킬렌기, 탄소수 3 내지 10의 치환 또는 비치환된 시클로알킬렌기, 탄소수 1 내지 10의 치환 또는 비치환된 알케닐렌기, 탄소수 5 내지 20의 치환 또는 비치환된 아릴렌기, 탄소수 5 내지 20의 치환 또는 비치환된 헤테로아릴렌기, 산소 원자, 카르보닐렌기, 및 탄소수 1 내지 20의 치환 또는 비치환된 옥시 알킬렌기로 이루어진 군으로부터 선택되는 어느 하나이며,
상기 R1 내지 R3 중 적어도 어느 하나는 할로겐 원자 또는 탄소수 1 내지 10의 치환 또는 비치환된 알콕시기이다)
a first inorganic acid; and
An etching composition comprising: a silane-based inorganic acid salt prepared by reacting a second inorganic acid with a silane-based compound represented by Formula 1
[Formula 1]
Figure pat00009

(In Formula 1 above,
R 1 to R 5 , R 7 and R 8 are each independently hydrogen, halogen, a substituted or unsubstituted alkyl group having 1 to 10 carbon atoms, a substituted or unsubstituted cycloalkyl group having 1 to 10 carbon atoms, or a substituted or unsubstituted cycloalkyl group having 1 to 10 carbon atoms. Or an unsubstituted alkenyl group, a substituted or unsubstituted alkoxy group having 1 to 10 carbon atoms, a substituted or unsubstituted aryl group having 5 to 20 carbon atoms, a substituted or unsubstituted aminoalkyl group having 1 to 10 carbon atoms, or a substituted or unsubstituted aminoalkyl group having 1 to 10 carbon atoms. Any one selected from the group consisting of a substituted or unsubstituted alkylamino group and a substituted or unsubstituted heteroaryl group having 5 to 20 carbon atoms,
R 6 is a single bond or a substituted or unsubstituted alkylene group having 1 to 10 carbon atoms, a substituted or unsubstituted cycloalkylene group having 3 to 10 carbon atoms, a substituted or unsubstituted alkenylene group having 1 to 10 carbon atoms, or a substituted or unsubstituted alkenylene group having 5 to 10 carbon atoms Selected from the group consisting of a substituted or unsubstituted arylene group of 20, a substituted or unsubstituted heteroarylene group of 5 to 20 carbon atoms, an oxygen atom, a carbonylene group, and a substituted or unsubstituted oxyalkylene group of 1 to 20 carbon atoms which one is
At least one of R 1 to R 3 is a halogen atom or a substituted or unsubstituted alkoxy group having 1 to 10 carbon atoms)
제1항에 있어서,
상기 R1 내지 R3 중 적어도 어느 하나는 할로겐 원자이고,
상기 R4 및 R5는 각각 독립적으로 수소, 탄소수 1 내지 5의 치환 또는 비치환된 알킬기, 탄소수 1 내지 5의 치환 또는 비치환된 아미노알킬기, 탄소수 1 내지 5의 치환 또는 비치환된 알킬아미노기, 및 적어도 하나의 헤테로 원자를 포함하는 탄소수 1 내지 5의 치환 또는 비치환된 알킬기로 이루어진 군으로부터 선택되는 어느 하나이며,
상기 R6는 단일결합 또는 탄소수 1 내지 10의 치환 또는 비치환된 알킬렌기, 또는 카르보닐렌기인 것을 특징으로 하는, 식각 조성물.
According to claim 1,
At least one of R 1 to R 3 is a halogen atom,
Wherein R 4 and R 5 are each independently hydrogen, a substituted or unsubstituted alkyl group having 1 to 5 carbon atoms, a substituted or unsubstituted aminoalkyl group having 1 to 5 carbon atoms, a substituted or unsubstituted alkylamino group having 1 to 5 carbon atoms, And any one selected from the group consisting of a substituted or unsubstituted alkyl group having 1 to 5 carbon atoms containing at least one hetero atom,
Wherein R 6 is a single bond or a substituted or unsubstituted alkylene group having 1 to 10 carbon atoms, or a carbonylene group, characterized in that, the etching composition.
제1항에 있어서,
상기 제1 무기산 또는 제2 무기산은 황산, 질산, 인산, 규산, 불산, 붕산, 염산 및 과염소산으로 이루어진 군에서 선택된 1종 이상을 포함하는 식각 조성물.
According to claim 1,
The first inorganic acid or the second inorganic acid is an etching composition comprising at least one selected from the group consisting of sulfuric acid, nitric acid, phosphoric acid, silicic acid, hydrofluoric acid, boric acid, hydrochloric acid and perchloric acid.
제1항에 있어서,
상기 식각 조성물 전체 중량에 대하여,
제1 무기산 70 내지 99 중량%;
상기 실란계 무기산염 0.01 내지 15 중량%; 및
잔부의 용매를 포함하는 것을 특징으로 하는, 식각 조성물.
According to claim 1,
With respect to the total weight of the etching composition,
70 to 99% by weight of a first inorganic acid;
0.01 to 15% by weight of the silane-based inorganic acid salt; and
Etching composition comprising the remainder of the solvent.
제1항에 있어서,
상기 실란계 무기산염은, 상기 제2 무기산에 상기 실란계 화합물을 첨가한 후, 20 내지 300℃에서 반응시키는 것에 의해 수득되는 것을 특징으로 하는, 식각 조성물.
According to claim 1,
The silane-based inorganic acid salt is obtained by adding the silane-based compound to the second inorganic acid and then reacting at 20 to 300 ° C., the etching composition.
제1항에 있어서,
상기 실란계 무기산염은, 상기 제2 무기산 100 중량부에 대하여 상기 실란계 화합물 0.001 내지 50 중량부를 반응시키는 것에 의해 제조된 것을 특징으로 하는, 식각 조성물.
According to claim 1,
The silane-based inorganic acid salt is prepared by reacting 0.001 to 50 parts by weight of the silane-based compound with respect to 100 parts by weight of the second inorganic acid, the etching composition.
제1항에 있어서,
상기 식각 조성물은 암모늄계 화합물을 더 포함하는 식각 조성물.
According to claim 1,
The etching composition further comprises an ammonium-based compound.
제7항에 있어서,
상기 암모늄계 화합물은 암모늄 클로라이드, 암모늄 포스페이트, 암모늄 아세테이트, 암모늄 설페이트, 암모늄 포메이트, 및 금속 아민 착염 중에서 적어도 하나를 포함하는 식각 조성물.
According to claim 7,
The etching composition of claim 1, wherein the ammonium-based compound includes at least one of ammonium chloride, ammonium phosphate, ammonium acetate, ammonium sulfate, ammonium formate, and a metal amine complex salt.
제1항에 있어서,
상기 식각 조성물은 실리콘 질화막 식각에 이용되는 것을 특징으로 하는 식각 조성물.
According to claim 1,
The etching composition is an etching composition, characterized in that used for etching a silicon nitride film.
제1항에 있어서,
상기 실란계 무기산염의 함량이 0.3 중량% 이상일 때, 상기 식각 조성물의 실리콘 질화막/산화막 식각 선택비는 100 이상인 것을 특징으로 하는 식각 조성물.
According to claim 1,
Etching composition, characterized in that when the content of the silane-based inorganic acid salt is 0.3% by weight or more, the silicon nitride film / oxide film etching selectivity of the etching composition is 100 or more.
제1항에 있어서,
상기 실란계 무기산염의 함량이 2.0 중량% 이상일 때, 상기 식각 조성물의 실리콘 질화막/산화막 식각 선택비는 200 이상인 것을 특징으로 하는 식각 조성물.
According to claim 1,
Etching composition, characterized in that when the content of the silane-based inorganic acid salt is 2.0% by weight or more, the silicon nitride film / oxide film etching selectivity of the etching composition is 200 or more.
기판 상에 절연막 및 희생막이 적층하여 구조체를 형성하는 단계; 및
제1항에 따른 식각 조성물을 사용한 식각 공정을 수행하여, 희생막을 제거하여 공간 영역을 형성하는 단계;를 포함하는 집적회로 소자의 제조방법.
forming a structure by stacking an insulating film and a sacrificial film on a substrate; and
A method of manufacturing an integrated circuit device comprising: performing an etching process using the etching composition according to claim 1 to remove the sacrificial layer to form a space region.
제12항에 있어서,
상기 희생막은 실리콘 질화물을 포함하고, 상기 절연막은 실리콘 산화물을 포함하는 집적회로 소자 제조 방법.
According to claim 12,
The method of claim 1 , wherein the sacrificial layer includes silicon nitride, and the insulating layer includes silicon oxide.
제12항에 있어서,
상기 식각 공정에서. 상기 희생막은 상기 절연막보다 높은 식각률을 갖는 것을 특징으로 하는 집적회로 소자의 제조방법.
According to claim 12,
in the etching process. The method of manufacturing an integrated circuit device, characterized in that the sacrificial layer has a higher etching rate than the insulating layer.
제12항에 있어서,
상기 식각 공정을 수행하여, 희생막을 제거하여 공간 영역을 형성하는 단계;에서, 상기 공간 영역은 상기 절연막 사이에 형성되는 게이트 영역 및 상기 게이트 영역과 연결되는 트렌치를 포함하는 것을 특징으로 하는 집적회로 소자의 제조방법.
According to claim 12,
In the step of performing the etching process to remove the sacrificial layer to form a space region, the space region includes a gate region formed between the insulating layers and a trench connected to the gate region. Manufacturing method of.
제12항에 있어서,
상기 적층 구조체를 관통하는 오프닝들을 형성하는 것; 및
상기 오프닝들 내에 상기 트렌치와 이격된 집적회로 패턴을 형성하는 것을 더 포함하고,
상기 집적회로 패턴을 형성하는 것은 상기 트렌치를 형성하기 이전에 수행되는 집적회로 소자의 제조방법.
According to claim 12,
forming openings penetrating the laminated structure; and
Further comprising forming an integrated circuit pattern spaced apart from the trench in the openings,
Forming the integrated circuit pattern is a method of manufacturing an integrated circuit device performed before forming the trench.
절연막 및 희생막이 적층되어 형성되는 구조체;
상기 구조체에서 희생막이 식각 조성물에 의해 식각되어 형성되는 공간부; 및
상기 공간부에 도전재료 또는 절연재료가 증착되어 형성되는 증착부;를 포함하고,
상기 식각 조성물은
제1 무기산; 및
제2 무기산과 하기 화학식 1의 실란계 화합물을 반응시켜 제조된 실란계 무기산염;을 포함하는 것을 특징으로 하는 집적회로 소자:
[화학식 1]
Figure pat00010

(상기 화학식 1에서,
R1 내지 R5, R7 및 R8은 각각 독립적으로 수소, 할로겐, 탄소수 1 내지 10의 치환 또는 비치환된 알킬기, 탄소수 1 내지 10의 치환 또는 비치환된 시클로알킬기, 탄소수 1 내지 10의 치환 또는 비치환된 알케닐기, 탄소수 1 내지 10의 치환 또는 비치환된 알콕시기, 탄소수 5 내지 20의 치환 또는 비치환된 아릴기, 탄소수 1 내지 10의 치환 또는 비치환된 아미노알킬기, 탄소수 1 내지 10의 치환 또는 비치환된 알킬아미노기, 및 탄소수 5 내지 20의 치환 또는 비치환된 헤테로아릴기로 이루어진 군으로부터 선택되는 어느 하나이고,
R6는 단일결합 또는 탄소수 1 내지 10의 치환 또는 비치환된 알킬렌기, 탄소수 3 내지 10의 치환 또는 비치환된 시클로알킬렌기, 탄소수 1 내지 10의 치환 또는 비치환된 알케닐렌기, 탄소수 5 내지 20의 치환 또는 비치환된 아릴렌기, 탄소수 5 내지 20의 치환 또는 비치환된 헤테로아릴렌기, 산소 원자, 카르보닐렌기, 및 탄소수 1 내지 20의 치환 또는 비치환된 옥시 알킬렌기로 이루어진 군으로부터 선택되는 어느 하나이며,
상기 R1 내지 R3 중 적어도 어느 하나는 할로겐 원자 또는 탄소수 1 내지 10의 치환 또는 비치환된 알콕시기이다)
a structure formed by stacking an insulating film and a sacrificial film;
a space portion formed by etching the sacrificial layer with an etching composition in the structure; and
A deposition unit formed by depositing a conductive material or an insulating material on the space unit;
The etching composition is
a first inorganic acid; and
An integrated circuit device comprising: a silane-based inorganic acid salt prepared by reacting a second inorganic acid with a silane-based compound represented by Formula 1 below:
[Formula 1]
Figure pat00010

(In Formula 1 above,
R 1 to R 5 , R 7 and R 8 are each independently hydrogen, halogen, a substituted or unsubstituted alkyl group having 1 to 10 carbon atoms, a substituted or unsubstituted cycloalkyl group having 1 to 10 carbon atoms, or a substituted or unsubstituted cycloalkyl group having 1 to 10 carbon atoms. Or an unsubstituted alkenyl group, a substituted or unsubstituted alkoxy group having 1 to 10 carbon atoms, a substituted or unsubstituted aryl group having 5 to 20 carbon atoms, a substituted or unsubstituted aminoalkyl group having 1 to 10 carbon atoms, or a substituted or unsubstituted aminoalkyl group having 1 to 10 carbon atoms. Any one selected from the group consisting of a substituted or unsubstituted alkylamino group and a substituted or unsubstituted heteroaryl group having 5 to 20 carbon atoms,
R 6 is a single bond or a substituted or unsubstituted alkylene group having 1 to 10 carbon atoms, a substituted or unsubstituted cycloalkylene group having 3 to 10 carbon atoms, a substituted or unsubstituted alkenylene group having 1 to 10 carbon atoms, or a substituted or unsubstituted alkenylene group having 5 to 10 carbon atoms Selected from the group consisting of a substituted or unsubstituted arylene group of 20, a substituted or unsubstituted heteroarylene group of 5 to 20 carbon atoms, an oxygen atom, a carbonylene group, and a substituted or unsubstituted oxyalkylene group of 1 to 20 carbon atoms which one is
At least one of R 1 to R 3 is a halogen atom or a substituted or unsubstituted alkoxy group having 1 to 10 carbon atoms)
KR1020210146811A 2021-06-18 2021-10-29 Etchant compositions and methods of manufacturing integrated circuit device using the same KR20220169356A (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
KR20210079588 2021-06-18
KR1020210079588 2021-06-18

Publications (1)

Publication Number Publication Date
KR20220169356A true KR20220169356A (en) 2022-12-27

Family

ID=84567871

Family Applications (13)

Application Number Title Priority Date Filing Date
KR1020210146818A KR20220169363A (en) 2021-06-18 2021-10-29 Etchant compositions and methods of manufacturing integrated circuit device using the same
KR1020210146816A KR20220169361A (en) 2021-06-18 2021-10-29 Etchant compositions and methods of manufacturing integrated circuit device using the same
KR1020210146820A KR20220169365A (en) 2021-06-18 2021-10-29 Etchant compositions and methods of manufacturing integrated circuit device using the same
KR1020210146817A KR20220169362A (en) 2021-06-18 2021-10-29 Etchant compositions and methods of manufacturing integrated circuit device using the same
KR1020210146819A KR20220169364A (en) 2021-06-18 2021-10-29 Etchant compositions and methods of manufacturing integrated circuit device using the same
KR1020210146813A KR20220169358A (en) 2021-06-18 2021-10-29 Etchant compositions and methods of manufacturing integrated circuit device using the same
KR1020210146821A KR20220169366A (en) 2021-06-18 2021-10-29 Etchant compositions and methods of manufacturing integrated circuit device using the same
KR1020210146822A KR20220169367A (en) 2021-06-18 2021-10-29 Etchant compositions and methods of manufacturing integrated circuit device using the same
KR1020210146585A KR20220169355A (en) 2021-06-18 2021-10-29 Etchant compositions and methods of manufacturing integrated circuit device using the same
KR1020210146811A KR20220169356A (en) 2021-06-18 2021-10-29 Etchant compositions and methods of manufacturing integrated circuit device using the same
KR1020210146815A KR20220169360A (en) 2021-06-18 2021-10-29 Etchant compositions and methods of manufacturing integrated circuit device using the same
KR1020210146814A KR20220169359A (en) 2021-06-18 2021-10-29 Etchant compositions and methods of manufacturing integrated circuit device using the same
KR1020210146812A KR20220169357A (en) 2021-06-18 2021-10-29 Etchant compositions and methods of manufacturing integrated circuit device using the same

Family Applications Before (9)

Application Number Title Priority Date Filing Date
KR1020210146818A KR20220169363A (en) 2021-06-18 2021-10-29 Etchant compositions and methods of manufacturing integrated circuit device using the same
KR1020210146816A KR20220169361A (en) 2021-06-18 2021-10-29 Etchant compositions and methods of manufacturing integrated circuit device using the same
KR1020210146820A KR20220169365A (en) 2021-06-18 2021-10-29 Etchant compositions and methods of manufacturing integrated circuit device using the same
KR1020210146817A KR20220169362A (en) 2021-06-18 2021-10-29 Etchant compositions and methods of manufacturing integrated circuit device using the same
KR1020210146819A KR20220169364A (en) 2021-06-18 2021-10-29 Etchant compositions and methods of manufacturing integrated circuit device using the same
KR1020210146813A KR20220169358A (en) 2021-06-18 2021-10-29 Etchant compositions and methods of manufacturing integrated circuit device using the same
KR1020210146821A KR20220169366A (en) 2021-06-18 2021-10-29 Etchant compositions and methods of manufacturing integrated circuit device using the same
KR1020210146822A KR20220169367A (en) 2021-06-18 2021-10-29 Etchant compositions and methods of manufacturing integrated circuit device using the same
KR1020210146585A KR20220169355A (en) 2021-06-18 2021-10-29 Etchant compositions and methods of manufacturing integrated circuit device using the same

Family Applications After (3)

Application Number Title Priority Date Filing Date
KR1020210146815A KR20220169360A (en) 2021-06-18 2021-10-29 Etchant compositions and methods of manufacturing integrated circuit device using the same
KR1020210146814A KR20220169359A (en) 2021-06-18 2021-10-29 Etchant compositions and methods of manufacturing integrated circuit device using the same
KR1020210146812A KR20220169357A (en) 2021-06-18 2021-10-29 Etchant compositions and methods of manufacturing integrated circuit device using the same

Country Status (1)

Country Link
KR (13) KR20220169363A (en)

Also Published As

Publication number Publication date
KR20220169364A (en) 2022-12-27
KR20220169365A (en) 2022-12-27
KR20220169363A (en) 2022-12-27
KR20220169358A (en) 2022-12-27
KR20220169367A (en) 2022-12-27
KR20220169366A (en) 2022-12-27
KR20220169360A (en) 2022-12-27
KR20220169355A (en) 2022-12-27
KR20220169362A (en) 2022-12-27
KR20220169361A (en) 2022-12-27
KR20220169359A (en) 2022-12-27
KR20220169357A (en) 2022-12-27

Similar Documents

Publication Publication Date Title
JP7173729B2 (en) Etching composition and method for manufacturing integrated circuit device using the same
KR101627181B1 (en) Composition for etching and manufacturing method of semiconductor device using the same
KR102545799B1 (en) Composition for etching and manufacturing method of semiconductor device using the same
KR101539375B1 (en) Composition for etching and manufacturing method of semiconductor device using the same
US20160126107A1 (en) Etchant compositions for nitride layers and methods of manufacturing semiconductor devices using the same
KR101539373B1 (en) Composition for etching and manufacturing method of semiconductor device using the same
KR102424391B1 (en) Etchant compositions and methods of manufacturing integrated circuit device using the same
KR101539374B1 (en) Composition for etching and manufacturing method of semiconductor device using the same
KR20190051656A (en) Composition for etching, method of etching silicon nitride layer, and method for manufacturing semiconductor device
KR101730453B1 (en) Etchant compositions for nitride layers and methods of manufacturing semiconductor devices using the same
KR101730454B1 (en) Etchant compositions for nitride layers and methods of manufacturing semiconductor devices using the same
KR20200086141A (en) Etchant composition for silicon nitride and method of fabricating semiconductor device
KR20220169356A (en) Etchant compositions and methods of manufacturing integrated circuit device using the same
KR20220019600A (en) Etchant compositions and methods of manufacturing semiconductor device using the same
KR20220061861A (en) Etchant compositions and methods of manufacturing semiconductor device using the same
KR20220019642A (en) Etchant compositions and methods of manufacturing semiconductor device using the same
KR20240061398A (en) Etchant compositions and methods of manufacturing integrated circuit device using the same
KR20230149801A (en) Composition for etching and manufacturing method of semiconductor device using the same