KR20220118282A - 다중 캡슐화된 기판 블록들을 갖는 패키지들 - Google Patents

다중 캡슐화된 기판 블록들을 갖는 패키지들 Download PDF

Info

Publication number
KR20220118282A
KR20220118282A KR1020210090282A KR20210090282A KR20220118282A KR 20220118282 A KR20220118282 A KR 20220118282A KR 1020210090282 A KR1020210090282 A KR 1020210090282A KR 20210090282 A KR20210090282 A KR 20210090282A KR 20220118282 A KR20220118282 A KR 20220118282A
Authority
KR
South Korea
Prior art keywords
substrate
package
substrate blocks
encapsulant
blocks
Prior art date
Application number
KR1020210090282A
Other languages
English (en)
Inventor
첸-시엔 첸
쿠오-칭 수
웨이-훙 린
후이-민 후앙
밍-다 쳉
미릉-지 리이
Original Assignee
타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 filed Critical 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Publication of KR20220118282A publication Critical patent/KR20220118282A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5385Assembly of a plurality of insulating substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/93Batch processes
    • H01L24/95Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips
    • H01L24/97Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips the devices being connected to a common substrate, e.g. interposer, said common substrate being separable into individual assemblies after connecting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0652Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00 the devices being arranged next and on each other, i.e. mixed assemblies
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3107Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed
    • H01L23/3114Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed the device being a chip scale package, e.g. CSP
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/48Manufacture or treatment of parts, e.g. containers, prior to assembly of the devices, using processes not provided for in a single one of the subgroups H01L21/06 - H01L21/326
    • H01L21/4814Conductive parts
    • H01L21/4846Leads on or in insulating or insulated substrates, e.g. metallisation
    • H01L21/4857Multilayer substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6835Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3107Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed
    • H01L23/3121Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed a substrate forming part of the encapsulation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5384Conductive vias through the substrate with or without pins, e.g. buried coaxial conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5386Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5389Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates the chips being integrally enclosed by the interconnect and support structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L24/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68345Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used as a support during the manufacture of self supporting substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68359Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used as a support during manufacture of interconnect decals or build up layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68368Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used in a transfer process involving at least two transfer steps, i.e. including an intermediate handle substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/93Batch processes
    • H01L2224/95Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips
    • H01L2224/97Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips the devices being connected to a common substrate, e.g. interposer, said common substrate being separable into individual assemblies after connecting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5383Multilayer substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L24/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Geometry (AREA)
  • Ceramic Engineering (AREA)
  • Structures Or Materials For Encapsulating Or Coating Semiconductor Devices Or Solid State Devices (AREA)
  • Auxiliary Devices For And Details Of Packaging Control (AREA)
  • Packaging For Recording Disks (AREA)

Abstract

방법은 재구성된 패키지 기판을 형성하는 단계를 포함하며, 재구성된 패키지 기판을 형성하는 단계는: 캐리어 위에 복수의 기판 블록들을 배치하는 단계; 인캡슐런트에 복수의 기판 블록들을 캡슐화하는 단계, 복수의 기판 블록들의 재배선 라인들을 드러내기 위해 인캡슐런트 및 복수의 기판 블록들을 평탄화하는 단계; 및 복수의 기판 블록들 및 인캡슐런트 모두와 중첩하는 재배선 구조물을 형성하는 단계를 포함한다. 재구성된 패키지 기판 위에 패키지 컴포넌트가 본딩된다.

Description

다중 캡슐화된 기판 블록들을 갖는 패키지들{PACKAGES WITH MULTIPLE ENCAPSULATED SUBSTRATE BLOCKS}
우선권 주장 및 교차 참조
이 출원은 다음의 가출원된 미국 특허 출원의 우선권을 주장한다: “Multi-cell Package”라는 제목으로 2021년 2월 18일자로 출원된 출원 제63/150,725호(이 출원은 인용에 의해 본 명세서에 포함됨)
패키지 기판들은 집적 회로들의 패키징에 사용되었다. 통상적인 패키징 프로세스는 패키지 기판에 디바이스 다이들을 본딩하는 단계, 몰딩 컴파운드에 디바이스 다이들을 몰딩하는 단계, 및 디바이스 다이들 및 몰딩 컴파운드 위에 팬-아웃(fan-out) 재배선 구조물을 형성하는 단계를 포함할 수 있다.
본 개시물의 양상들은 첨부 도면들과 함께 읽을 때 아래의 상세한 설명으로부터 가장 잘 이해된다. 업계의 표준 관행에 따라, 다양한 피처들은 실척도로 작도되지 않았다는 것을 알아야 한다. 실제로, 다양한 피처들의 치수들은 논의의 명료성을 위해 임의적으로 증가되거나 또는 감소될 수 있다.
도 1은 몇몇 실시예들에 따른 복수의 개별 기판 블록들로의 패키지 컴포넌트의 싱귤레이션을 예시한다.
도 2 내지 도 18은 몇몇 실시예들에 따른, 집적 팬-아웃 패키지의 형성 및 다른 패키지 컴포넌트들로의 그것의 본딩에서 중간 스테이지들의 단면도들을 예시한다.
도 19 및 도 20은 몇몇 실시예들에 따른 몇몇 기판 블록들 및 위에 놓인 팬-아웃 재배선 라인들의 평면도들을 예시한다.
도 21 내지 도 23은 몇몇 실시예들에 따른 캡슐화된 기판 블록들 및 패키지 컴포넌트들의 평면도들을 예시한다.
도 24 및 도 26은 몇몇 실시예들에 따른 상이한 타입의 기판 블록들을 갖는 패키지들의 단면도들을 예시한다.
도 27 및 도 28는 몇몇 실시예들에 따른 기판 블록들의 예시적인 배열들을 예시한다.
도 29 내지 도 34는 몇몇 실시예들에 따른 기판 블록들 및 디바이스 다이들의 예시적인 배열들을 예시한다.
도 35 및 도 36은 몇몇 실시예들에 따른 패키지의 일부 부분들의 확대도들을 예시한다.
도 37은 몇몇 실시예들에 따른 패키지를 형성하기 위한 프로세스 흐름을 예시한다.
아래의 개시내용은 발명의 상이한 피처들을 구현하기 위한 많은 상이한 실시예들 또는 예시들을 제공한다. 본 개시내용을 단순화하기 위해 컴포넌트들 및 배열들의 특정 예시들이 아래에서 설명된다. 물론, 이것들은 단지 예시들에 불과하며, 한정하는 것으로 의도된 것은 아니다. 예를 들어, 이후의 상세설명에서 제2 피처 상의 또는 제2 피처 위의 제1 피처의 형성은 제1 피처 및 제2 피처가 직접적으로 접촉하여 형성되는 실시예를 포함할 수 있으며, 또한 제1 피처 및 제2 피처가 직접적으로 접촉하지 않을 수 있도록 추가적인 피처들이 제1 피처와 제2 피처 사이에서 형성될 수 있는 실시예를 포함할 수 있다. 또한, 본 개시물은 상이한 예들에서 도면 번호들 및/또는 문자들을 반복할 수 있다. 이러한 반복은 간략화 및 명료화를 위한 것이지, 그러한 반복 그 자체가 개시된 다양한 실시예들 및/또는 구성 사이의 관계를 설명하는 것은 아니다.
또한, "아래 놓인", "아래에", "하부에", "위에 놓인", "상부에” 등과 같은 공간적으로 상대적인 용어들은 도면들에 예시될 때 다른 엘리먼트(들) 또는 피처(들)에 대한 하나의 엘리먼트 또는 피처의 관계를 설명하기 위하여 설명의 용이성을 위해 본 명세서에서 사용될 수 있다. 공간적으로 상대적인 용어들은 도면들에 도시된 배향에 부가하여 사용시 또는 동작시 디바이스의 상이한 배향들을 포함하도록 의도된다. 장치는 다른 방식으로 배향될 수 있거나(90도 또는 다른 배향으로 회전될 수 있음), 본 명세서에서 사용된 공간적으로 상대적인 디스크립터는 그에 따라 유사하게 해석될 수 있다.
패키지 및 그 형성 방법이 제공된다. 본 개시물의 몇몇 실시예들에 따라, 재구성된 기판이 형성된다. 재구성된 기판을 형성하기 위해, 패키지 기판 스트립은 복수의 개별 기판 블록들로 싱귤레이팅되고, 이는 인캡슐런트에 캡슐화된다. 재구성된 패키지 기판을 형성하기 위해 캡슐화된 기판 블록들 위에 팬-아웃 재배선 구조물이 형성된다. 디바이스 다이들이 재구성된 패키지 기판 위에 본딩된다. 본 명세서에서 논의된 실시예들은 본 개시물의 주제를 만들거나 사용할 수있는 예들을 제공하기 위한 것이며, 당업자는 상이한 실시예들의 고려되는 범위 내에서 이루어질 수 있는 수정들을 쉽게 이해할 것이다. 다양한 도면들과 예시적인 실시예들 전반에 걸쳐, 동일한 엘리먼트들을 지정하기 위해 동일한 참조 번호들이 이용된다. 방법 실시예들은 특정 순서로 수행되는 것으로서 논의되나, 다른 방법 실시예들은 또 다른 논리적 순서로 수행될 수 있다.
도 1 내지 도 18은 본 개시물의 몇몇 실시예들에 따른, 재구성된 패키지 기판의 형성 및 재구성된 패키지 기판들의 다른 패키지 컴포넌트들로의 본딩에 있어서의 중간 스테이지들의 단면도들을 예시한다. 대응 프로세스들은 또한 도 37에 도시된 바와 같은 프로세스 흐름(200)에 개략적으로 반영된다.
도 1은 복수의 동일한 패키지 컴포넌트들(12)을 내부에 포함하는 패키지 컴포넌트(10)의 단면도를 예시한다. 몇몇 실시예들에 따라, 패키지 컴포넌트(10)는 내부에 복수의 패키지 컴포넌트들(12)을 포함하는 패키지 기판 스트립이다. 패키지 컴포넌트들(12)은 코어들을 포함하는 코어드(cored) 패키지 기판들(도 25에 도시된 바와 같은)일 수 있거나, 또는 내부에 코어들이 없는 코어리스(core-less) 패키지 기판들(도 1, 도 24 및 도 26에 도시된 바와 같은)일 수 있다. 예시적인 패키지 컴포넌트들(12)의 상세한 구조들은 후속 단락들에서 논의된다. 대안적인 실시예들에 따르면, 패키지 컴포넌트(10)는 인터포저들, 인쇄 회로 보드들 등과 같은 다른 타입의 패키지 컴포넌트들을 포함할 수 있다. 패키지 컴포넌트(10)는 내부에 트랜지스터들 및 다이오드들과 같은 능동 소자들이 없다. 패키지 컴포넌트(10)는 또한 내부에 커패시터들, 인덕터들, 레지스터들 등과 같은 수동 소자들이 없을 수 있다(또는 포함할 수 있다).
패키지 컴포넌트(10)는 예를 들어 쏘잉(sawing) 프로세스를 통해 싱귤레이팅되어, 복수의 패키지 컴포넌트들(12)이 개별 패키지 컴포넌트들로서 서로 분리된다. 각각의 프로세스는 도 37에 도시된 바와 같은 프로세스 흐름(200)에서 프로세스(202)로서 예시된다. 복수의 패키지 컴포넌트들(12)은 서로 동일할 수 있다. 몇몇 실시예들에 따라, 패키지 컴포넌트들(12)은 패키지 기판들이고, 따라서 이하에서 기판 블록들(12)로서 지칭되는 한편, 용어 "기판 블록"은 또한 패키지 기판들이 아닌 다른 타입의 패키지 컴포넌트들을 포함한다.
도 2를 참조하면, 캐리어(20)가 제공되고, 및 릴리즈(release) 필름(20)이 캐리어(20) 상에 코팅된다. 캐리어(20)는 투명한 재료로 형성되며, 유리 캐리어, 세라믹 캐리어 등일 수 있다. 릴리즈 필름(22)은 LTHC(Light-To-Heat-Conversion) 코팅 재료로 형성될 수 있다. 본 개시물의 몇몇 실시예들에 따르면, LTHC 코팅 재료는 (레이저 빔과 같은) 광/복사선의 열 하에서 분해될 수 있고, 따라서 그 위에 형성된 구조물로부터 캐리어(20)를 방출할 수 있다. 버퍼 층(24)은 릴리즈 필름(22) 상에 형성될 수 있다. 몇몇 실시예들에 따라, 버퍼 층(24)은 폴리이미드, 폴리벤조옥사졸(PBO, polybenzoxazole), 벤조사이클로부텐(BCB, benzocyclobutene) 등과 같은 폴리머로 형성되거나 또는 이를 포함한다. 대안적인 실시예들에 따르면, 버퍼 층(24)은 형성되지 않는다.
도 3은 금속 기둥들(26)의 형성을 예시한다. 각각의 프로세스는 도 37에 도시된 바와 같은 프로세스 흐름(200)에서 프로세스(204)로서 예시된다. 설명 전반에 걸쳐, 금속 기둥들(26)은 후속적으로 형성된 인캡슐런트(42)(도 7)를 관통할 것이기 때문에, 금속 기둥들(26)은 대안적으로 관통 비아들(26)로 지칭된다. 몇몇 실시예들에 따르면, 금속 기둥들(26)의 형성은 예를 들어 물리 기상 증착(PVD)을 통해 금속 시드 층을 성막하는 단계, 도금 마스크(포토레지스트로 형성될 수 있음)를 분배 및 패터닝하는 단계, 도전성 재료를 도금 마스크의 개구에 도금하는 단계, 도금 마스크를 제거하는 단계, 금속 시드 층의 노출된 부분들을 에칭하는 단계를 포함할 수 있다. 본 개시물의 몇몇 실시예들에 따라, 금속 시드 층은 티타늄 층 및이 티타늄 층 위의 구리 층을 포함한다. 도금된 재료는 구리 또는 구리 합금을 포함할 수 있다.
도 4는 몇몇 실시예들에 따른 패키지 컴포넌트(들)(28)의 배치/부착을 예시한다. 각각의 프로세스는 도 37에 도시된 바와 같은 프로세스 흐름(200)에서 프로세스(206)로서 예시된다. 패키지 컴포넌트(28)는 디바이스 다이, 브리지 칩(상호연결 칩), 독립 수동 소자(커패시터, 인덕터 또는 레지스터과 같은), 패키지, 다이 스택 등일 수 있다. 다이 스택은 메모리 다이 스택일 수 있으며, 이는 동적 랜덤 액세스 메모리(DRAM, Dynamic Random Access Memory) 다이들, 정적 랜덤 액세스 메모리(SRAM, Static Random Access Memory) 다이들, 자기-저상 랜덤 액세스 메모리(MRAM, Magneto-resistive Random Access Memory) 다이들, 저항성 랜덤 액세스 메모리(RRAM, Resistive Random Access Memory) 다이들, 또는 다른 타입의 메모리 다이들과 같은 메모리 다이들을 포함할 수 있다. 메모리 스택은 또한 HBM(High Bandwidth Memory) 다이 스택일 수 있다. 패키지 컴포넌트(28)는 패키지 컴포넌트(28)가 버퍼 층(24) 상에 배치되기 전에 패키지 컴포넌트(28) 상에 사전 부착된 접착 필름인 다이-부착 필름(DAF, Die-Attach Film)(32)을 통해 폴리머 버퍼 층(24)에 부착된다. 패키지 컴포넌트(28)는 DAF(32)와 물리적으로 접촉하는 후면(아래를 향하는 표면)을 갖는 반도체 기판을 포함할 수 있다. 캐리어(20)가 웨이퍼 레벨에 있기 때문에, 하나의 패키지 컴포넌트(28)가 예시되어 있지만, 이는 릴리즈 필름(22) 위에 배치된 복수의 동일한 패키지 컴포넌트들(28)을 나타낸다. 패키지 컴포넌트들(28)은 복수의 행들 및 복수의 열들을 포함하는 어레이와 같은 반복 패턴을 갖는 것으로 할당될 수 있다.
몇몇 실시예들에 따르면, 도전성 피처들(30)(예컨대, 구리 필라들)은 패키지 컴포넌트(28)의 표면 피처들로서 미리 형성되고, 도전성 피처들(30)은 트랜지스터들(패키지 컴포넌트(28)가 디바이스 다이를 포함하는 경우), 수동 소자(들)(패키지 컴포넌트(28)가 IPD를 포함하는 경우) 등과 같은 집적 회로 디바이스들에 전기적으로 커플링된다. 본 개시물의 몇몇 실시예들에 따르면, 폴리머 층과 같은 유전체 층(미도시)이 이웃하는 도전성 피처들(30) 사이의 갭들을 채워 상단 유전체 층을 형성한다. 폴리머 층은 본 개시물의 몇몇 실시예들에 따라 PBO 또는 폴리이미드로 형성될 수 있다.
대안적인 실시예들에 따르면, 버퍼 층(24)에는 패키지 컴포넌트가 부착되지 않는다. 따라서, 이후에 형성되는 인캡슐런트(42)(도 7)에는 인캡슐런트(42)에 캡슐화된 능동/수동 소자들을 포함하는 임의의 디바이스/칩이 없을 수 있다.
도 5를 참조하면, 기판 블록들(12)이 버퍼 층(24) 위에 배치되고 거기 부착된다. 각각의 프로세스는 도 37에 도시된 바와 같은 프로세스 흐름(200)에서 프로세스(208)로서 예시된다. 기판 블록들(12) 각각은 DAF들(32) 중 하나를 통해 버퍼 층(24)에 부착될 수 있다. 몇몇 실시예들에 따르면, 기판 블록들(12)은 유전체 층(34), 유전체 층(34) 위의 코어 유전체 층(36), 및 코어 유전체 층(36) 위의 유전체 층(38)을 포함한다. 유전체 층들(34)의 총 개수는 1 이상일 수 있다. 유전체 층들(34)의 총 개수는 2 이상일 수 있다. 유전체 층들(38)의 총 개수는 1 이상일 수 있다. 유전체 층(34, 38)은 ABF(Ajinomoto Build-up Film)들, PBO, 폴리이미드 등과 같은 건식 필름들로 형성될 수 있으며, 이는 유동성 형태로 코팅된 후 경화될 수 있다. 코어 유전체 층들(36)은 에폭시, 수지, 유리 섬유, 프리프레그(prepreg)(에폭시, 수지 및/또는 유리 섬유를 포함함), 유리, 몰딩 컴파운드, 플라스틱, 이들의 조합들 및/또는 이들의 다층들로 형성될 수 있다. 유전체 층들(34 및 38) 각각의 두께는 약 7 ㎛ 내지 약 9 ㎛의 범위일 수 있다. 금속 라인들/패드들 및 비아들을 포함하는 재배선 라인들(40)은 유전체 층들(34, 36 및 38)에 형성된다. 재배선 라인들(40)은 상호연결되어 기판 블록들(12)에 관통 연결부들을 형성한다. 몇몇 실시예들에 따르면, 도 5에 도시된 바와 같이, 유전체 층들(36)의 재배선 라인들(40)은 주로 전력 및 접지를 라우팅하기 위한 것이며, 따라서 얇은 금속 트레이스들보다는 전력 평면들(대형 금속 플레이트들)의 형태일 수 있다. 기판 블록들(12)은 서로 동일할 수도 있고, 또는 서로 상이한 구조를 가질 수도 있다. 예를 들어, 상이한 기판 블록들(12) 내의 층들의 수, 사이즈 및/또는 재료는 서로 동일하거나 상이할 수 있다.
다음으로, 기판 블록들(12), 금속 기둥들(26) 및 패키지 컴포넌트들(28)은 도 6에 도시된 바와 같이 인캡슐런트(42)에 캡슐화된다. 각각의 프로세스는 도 37에 도시된 바와 같은 프로세스 흐름(200)에서 프로세스(210)로서 예시된다. 인캡슐런트(42)는 몰딩 컴파운드, 몰딩 언더필, 에폭시 및/또는 수지를 포함할 수 있다. 몰딩 컴파운드는 폴리머, 수지, 에폭시 등일 수 있는 베이스 재료(42A, 도 35 및 도 36) 및 베이스 재료(42A)의 충전제 입자들(42B)을 포함할 수 있다. 충전제 입자들은 SiO2, Al2O3, 실리카 등의 유전체 입자들일 수 있으며, 구형 형상들을 가질 수 있다. 또한, 구형 충전제 입자들은 동일하거나 상이한 직경들을 가질 수 있다.
후속 단계에서, 도 7에 도시된 바와 같이, 기판 블록들(12), 금속 기둥들(26) 및 패키지 컴포넌트(28)의 도전성 피처들(30)는 모두가 노출될 때까지, 화학 기계적 연마(CMP) 프로세스 또는 기계적 그라인딩 프로세스와 같은 평탄화 프로세스가 인캡슐런트(42)를 연마하기 위해 수행된다. 각각의 프로세스는 도 37에 도시된 바와 같은 프로세스 흐름(200)에서 프로세스(212)로서 예시된다. 평탄화 프로세스의 결과로, 금속 기둥들(26)은 인캡슐런트(42)를 관통하고, 따라서 이하에서는 대안 적으로 관통 비아들(26)로 대안적으로 지칭된다. 평탄화 프로세스로 인해, 관통 비아들(26)의 상단 단부들 및 상단 재배선 라인들(40)은 도전성 피처들(30)의 상부면들과 실질적으로 수평(동일 평면 상)이고, 인캡슐런트(42)의 상부면들과 실질적으로 동일 평면 상에 있다.
도 8 및 도 9는 전면 재배선 구조물(44)의 형성을 예시한다. 도 8을 참조하면, 유전체 층(46)은 기판 블록들(12), 금속 기둥들(26), 패키지 컴포넌트들(28) 및 인캡슐런트(42)를 위에 형성된다. 그 후 유전체 층(46)이 패터닝된다. 각각의 프로세스는 도 37에 도시된 바와 같은 프로세스 흐름(200)에서 프로세스(214)로서 예시된다. 금속 기둥들(26), RDL들(40) 및 도전성 피처들(30)을 드러내기 위해 패터닝 프로세스에서 개구들(48)이 형성된다. 본 개시물의 몇몇 실시예들에 따라, 유전체 층(46)은 PBO, 폴리이미드, 벤조사이클로부텐(BCB, benzocyclobutene) 등과 같은 폴리머로 형성되거나 또는 이를 포함한다. 형성 프로세스는 유동성 형태로 유전체 층(46)을 코팅한 다음 유전체 층(46)을 경화시키는 것을 포함할 수 있다. 유전체 층(46)이 PBO 또는 폴리이미드와 같은 감광선 재료로 형성되는 몇몇 실시예들에 따라, 개구들(48)의 형성은 유전체 층(46)을 광 노출시키기 위해 리소그래피 마스크(미도시)를 사용하고 그 후 유전체 재료(46)를 현상시키는 노광 프로세스를 포함할 수 있다. 본 개시물의 대안적인 실시예들에 따라, 유전체 층(46)은 실리콘 질화물, 실리콘 산화물 등과 같은 무기 유전체 재료로 형성된다. 형성 프로세스는 화학 기상 증착(CVD), 원자 층 증착(ALD), 플라즈마 강화 화학 기상 증착(PECVD) 또는 기타 적용가능한 성막 프로세스들을 포함할 수 있다. 관통 비아들(26) 및 도전성 피처들(30)이 개구들(48)을 통해 노출된다.
RDL들(50)이 형성되고 개구들(48) 내로 연장된다. 각각의 프로세스는 도 37에 도시된 바와 같은 프로세스 흐름(200)에서 프로세스(216)로서 예시된다. 몇몇 실시예들에 따르면, 금속 기둥들(26)의 형성은 예를 들어 물리 기상 증착(PVD)을 통해 금속 시드 층을 성막하는 것을 포함할 수 있다. 금속 시드 층은 개구들(48) 내로 연장되고, 또한 유전체 층(46)을 커버한다. 포토레지스트로 형성될 수 있는 도금 마스크(미도시)가 그 후 형성된다. 도전성 재료가 도금 마스크의 개구들에 도금된 후 도금 마스크가 제거되어, 금속 시드 층의 일부 부분들을 노출시킨다. 금속 시드 층의 노출된 부분들은 그 후 에칭되어 RDL들(50)을 형성한다.
다음으로, 도 9에 또한 도시된 바와 같이, 더 많은 유전체 층들(56) 및 RDL들(58)이 유전체 층(46) 및 RDL들(50) 위에 형성된다. 각각의 프로세스는 도 37에 도시된 바와 같은 프로세스 흐름(200)에서 프로세스(218)로서 예시된다. 형성 프로세스들 및 재료들은 각각 유전체층(46) 및 RDL들(50)의 프로세스들 및 재료들과 유사할 수 있다. RDL들(58)의 2 개의 층들이 예시되어 있지만, 라우팅 요건에 따라 더 많은 RDL(58) 층들이 형성될 수 있다는 것이 이해된다. RDL들(50 및 58)은 기판 블록들(12) 내의 아래 놓인 RDL들(40)의 라인 폭들 및 라인 피치들보다 각각 더 작은 라인 폭들 및 라인 피치들을 갖는 미세 라인 RDL들일 수 있다.
도 9는 도금을 통해 형성될 수 있는 전기 커넥터들(60)의 형성을 추가로 예시하며, RDL들(50)의 대응 프로세스들 및 재료들과 유사한 프로세스들 및 재료들을 사용한다. 니켈, 무전해 니켈 침수 금(ENIG, Electroless Nickel Immersion Gold), 무전해 니켈 무전해 팔라듐 침수 금(ENEPIG, Electroless Nickel Electroless Palladium Immersion Gold) 등을 포함할 수 있는 표면 마감 층들(62)이 또한 형성될 수 있다. 대안적인 실시예들에 따르면, 전기 커넥터들(60)의 형성은 언더범프 금속(UBM)을 형성하는 것, UBM들에 솔더 볼들을 배치하는 것, 그 후 솔더 볼들을 리플로우하는 것을 포함할 수 있다. 따라서 결과적인 전기 커넥터들(60)은 솔더 영역들을 포함할 수 있다. 본 개시물의 대안적인 실시예들에 따르면, 전기 커넥터들(60)의 형성은 UBM들 위에 솔더 층들을 형성하기 위해 도금 프로세스를 수행하는 것, 그 후 솔더 층들을 리플로우하는 것을 포함한다. 설명 전반에 걸쳐, 버퍼 층(24) 위의 구조물은 재구성된 웨이퍼(64)로 지칭된다.
도 10 및 도 11은 캐리어 스위치 프로세스를 예시한다. 도 10을 참조하면, 캐리어(68)는 예를 들어 접착 필릅(66)을 통해 재구성된 웨이퍼(64)에 부착된다. 각각의 프로세스는 도 37에 도시된 바와 같은 프로세스 흐름(200)에서 프로세스(220)로서 예시된다. 다음으로, 도 10에 도시된 구조물은 거꾸로 뒤집힌다. 재구성된 웨이퍼(64)는 그 후 캐리어(20)로부터 디-본딩된다. 각각의 프로세스는 도 37에 도시된 바와 같은 프로세스 흐름(200)에서 프로세스(222)로서 예시된다. 몇몇 실시예들에 따르면, 레이저 빔과 같은 광 빔이 릴리즈 필름(22) 상에 투사되고, 릴리즈 필름(22)이 광 빔의 열에 의해 분해된다. 후속 프로세스에서, 후면 그라인딩 프로세스가 재구성된 웨이퍼(64)에 대해 수행되어 버퍼 층(24)이 제거된다. 패키지 컴포넌트(28)의 관통 비아들(26) 및 재배선 라인들(40)이 노출된다. DAF들(32)이 또한 후면 그라인딩 공정 동안 제거된다. 결과적인 재구성된 웨이퍼(64)가 도 11에 도시된다.
도 12을 참조하면, 금속 시드C 층(71)이 성막된다. 몇몇 실시예들에 따라, 금속 시드 층(71)은 티타늄 층 및이 티타늄 층 위의 구리 층을 포함한다. 형성 프로세스는 PVD 또는 다른 애플리케이션 프로세스들을 포함할 수 있다. 패터닝된 도금 마스크(70)가 그 후 형성된다. 각각의 프로세스는 도 37에 도시된 바와 같은 프로세스 흐름(200)에서 프로세스(224)로서 예시된다. 개구들(72)은 도금 마스크(70)에 형성되어, 금속 시드 층(71)의 아래 놓인 부분들을 노출시키며, 이 부분들은 재배선 라인들(40) 및 관통 비아들(26) 바로 위에 있다. 몇몇 실시예들에 따르면, 도금 마스크(70)는 라미네이팅된 후 포토리소그래피 프로세스에서 패터닝되는 건식 필름을 포함한다. 대안적인 실시예들에 따르면, 도금 마스크(70)는 노광 프로세스 및 현상 프로세스를 통해 형성될 수 있는 포토레지스트를 포함한다. 도전성 패드들(74)은 도금 프로세스를 통해 개구들(72)에 형성된다. 각각의 프로세스는 도 37에 도시된 바와 같은 프로세스 흐름(200)에서 프로세스(224)로서 또한 예시된다. 몇몇 실시예들에 따르면, 도전성 패드들(74)은 구리 또는 구리 합금을 포함한다.
후속 프로세스에서, 패터닝된 도금 마스크(70)가 제거되어 아래 놓인 금속 시드 층(71)을 노출시킨다. 각각의 프로세스는 도 37에 도시된 바와 같은 프로세스 흐름(200)에서 프로세스(226)로서 예시된다. 다음으로, 금속 시드 층(71)의 노출된 부분들은 에칭 마스크로서 도전성 패드들(74)을 사용하여 에칭된다. 도금된 도전성 패드들(74) 바로 아래 놓이는 금속 시드 층(71)의 나머지 부분들도 또한 도전성 패드들(74)의 일부로서 간주된다. 결과적인 구조물이 도 13에 도시된다.
도 14는 솔더 마스크로서 작용하는 유전체 층(76)의 형성을 예시한다. 각각의 프로세스는 도 37에 도시된 바와 같은 프로세스 흐름(200)에서 프로세스(228)로서 예시된다. 몇몇 실시예들에 따르면, 유전체 층(76)의 형성은 블랭킷 유전체 층을 코팅 또는 성막하는 것(도전성 패드들(74)이 커버됨) 및 그 후 블랭킷 유전체 층을 패터닝하는 것을 포함한다. 대안적으로, 유전체 층(76)의 형성은 인쇄 프로세스 또는 리프팅 프로세스를 포함한다. 몇몇 실시예들에 따르면, 유전체 층(76)은 도전성 패드들(74)의 에지 부분들을 커버하면서, 도전성 패드들(74) 각각의 중앙 부분을 노출시킨다. 대안적인 실시예들에 따르면, 도 14에 도시된 바와 같이, 유전체 층(76)은 도전성 패드들(74)의 에지들과 접촉하는 에지들을 가지며, 도전성 패드들(74) 위로 직접 연장되지 않는다.
몇몇 실시예들에 따르면, 도 14에 도시된 바와 같이, 패키지 컴포넌트(28)의 후면 상에 도전성 패드들/라인들(74)의 단일 층이 형성된다. 도전성 패드들/라인들 및 대응 유전체 층들(있다면)은 총칭하여 후면 재배선 구조물(75)로 지칭된다. 대안적인 실시예들에 따르면, 후면 재배선 구조물(75)은 유사한 구조를 갖는 복수의 RDL 층들 및 유전체 층들을 포함하며, 각각 RDL들(50 및 58) 및 유전체 층들(46 및 56)과 유사한 재료들로 형성될 수 있다. 따라서, 재구성된 웨이퍼(64)는 기판 블록들(12)의 양측 상에 있고 기판 블록들(12)을 통해 상호연결되는 재배선 구조물들(44 및 75)을 포함한다.
도 15는 니켈, ENIG, ENEPIG 등을 포함할 수 있는 금속 마감 층들(78)의 형성을 예시한다. 각각의 프로세스는 도 37에 도시된 바와 같은 프로세스 흐름(200)에서 프로세스(230)로서 예시된다. 금속 마감 층들(78)은 (예를 들어, 무전해 도금을 통해) 도금될 수 있고, 상이한 재료들의 복수의 층들 또는 단일 층을 포함할 수 있다. 대안적인 실시예들에 따라, 금속 마감 층들(78)을 형성하는 프로세스는 생략된다.
다음으로, 도 16을 참조하면, 재구성된 웨이퍼(64)가 테이프(80)에 부착되고, 이는 프레임(82) 상에 추가로 고정된다. 각각의 프로세스는 도 37에 도시된 바와 같은 프로세스 흐름(200)에서 프로세스(232)로서 예시된다. 전기 커넥터들(60/62)은 테이프(80)와 접촉한다. 다음으로, 도 17에 도시된 바와 같이, 재구성된 웨이퍼(64)는 뒤집히고, 또한 캐리어(68)로부터 디-본딩된다. 접착 필름(66)(도 16)은 또한 제거된다. 결과적인 구조물이 도 17에 도시된다.
다음으로, 추가로 도 17을 참조하면, 스크라이브 라인들(84)을 따라 재구성된 웨이퍼(64)를 분리시키기 위해 싱귤레이션(다이-쏘우) 프로세스가 수행되어,. 재구성된 웨이퍼(64)는 서로 동일한 개별 재구성된 패키지 기판(64')으로 분리된다. 각각의 프로세스는 도 37에 도시된 바와 같은 프로세스 흐름(200)에서 프로세스(232)로서 또한 예시된다. 싱귤레이션 프로세스는 테이프(80)에 수행될 수 있다. 싱귤레이션은 블레이드를 사용하여 수행될 수도 있고, 레이저를 사용하여 홈(groove)들을 미리 형성한 다음, 블레이드를 사용하여 홈들을 절단할 수도 있다. 재구성된 패키지 기판(64')은, 이것이 개별 기판 블록들(12)을 형성하기 위해 패키지 기판 스트립(10)(도 1)을 쏘잉한 다음, 기판 블록들(12)을 재몰딩하고 재배선 구조물을 형성함으로써 형성되기 때문에 그렇게 명명된다.
도 18은 패키지 컴포넌트(86)에 재구성된 패키지 기판(64')의 본딩을 포함하는 패키지(96)의 형성을 예시한다. 각각의 프로세스는 도 37에 도시된 바와 같은 프로세스 흐름(200)에서 프로세스(234)로서 예시된다. 본딩은 솔더 영역들(88)을 통해 수행될 수 있는 반면, 금속 대 금속 직접 본딩, 하이브리드 본딩 등과 같은 다른 본딩 프로세스들이 사용될 수 있다. 본 개시물의 몇몇 실시예들에 따르면, 패키지 컴포넌트(86)는 인쇄 회로 보드, 패키지 등이다. 디바이스 다이들, 패키지들, IPD들, 소켓들 등일 수 있는 패키지 컴포넌트들(90)은 예를 들어, 솔더 영역들(92)을 통해 전기 커넥터들(60)에 본딩된다. 언더필(94)은 또한 패키지 컴포넌트들(90)과 아래 놓인 재구성된 패키지 기판(64') 사이의 갭들로 분배된다. 몇몇 실시예들에 따르면, 몰딩 컴파운드, 몰딩 언더필, 수지, 에폭시 등일 수 있는 추가 인캡슐런트(97)가 내부에 패키지 컴포넌트들(90)을 캡슐화하기 위해 형성될 수 있다. 대안적인 실시예들에 따르면, 인캡슐런트(97)는 형성되지 않는다. 따라서, 인캡슐런트(97)는 그것이 형성될 수 있거나 형성되지 않을 수 있음을 나타내기 위해 점선으로 도시된다.
도 18에 도시된 바와 같은 패키지에서, 복수의 기판 블록들(12)이 인캡슐런트(42)에 캡슐화되고 인캡슐런트(42)에 의해 서로 분리된다. 팬-아웃 재배선 구조물(44)은 복수의 기판 블록들(12) 및 대응 인캡슐런트(42) 위에 형성된다. 디바이스 다이들은 재구성된 패키지 기판에 본딩될 수 있다. 이것은 복수의 디바이스 다이들이 패키지 기판에 본딩되고 디바이스 다이들이 캡슐화되는 종래의 구조물들과는 상이하다. 따라서, 달리 대형 패키지 기판이 컷팅되어 재구성된다. 따라서 대형 패키지 기판에 의해 야기되는 뒤틀림이 방지된다. 뒤틀림의 감소로 인해, 수율 손실 없이 패키지 기판의 총 층 수를 증가시키는 것이 또한 가능하다.
도 18에 도시된 바와 같은 패키지(96)에서, 기판 블록들(12)은 복수의 유전체 층들(34, 36 및 38)을 포함한다. 이들 유전체 층들은 패키지(96)의 좌측 에지로부터 우측 에지로 수평으로 연장되는 대신에, 복수의 개별 기판 블록들(12)으로 분리되고, 인캡슐런트(44)에 의해 서로 분리된다. 또한, 기판 블록들(12)의 상부면들 및 하부면들은 평탄화 프로세스들로 인해 인캡슐런트(42)의 대응 상부면 및 하부면과 동일 평면 상에 있다.
도 19 및 도 20은 몇몇 실시예들에 따른 그들의 위에 놓인 재배선 구조물(44)에서의 일부 예시적인 기판 블록(12) 및 RDL들(50 및 58)의 평면도들을 예시한다. 몇몇 실시예들에 따르면, RDL들(50 및 58)은 기판 블록들(12)을 패키지 컴포넌트들(90)(도 18)에 연결하는 것 외에도 또한 기판 블록들(12)을 상호연결할 수 있다. 기판 블록들(12)은 각각 인캡슐런트(42)에 의해 둘러싸인다. 2 개의 기판 블록들(12)이 예시적인 실시예에 예시되어 있지만, 예를 들어 도 20에 도시된 바와 같이 더 많은 기판 블록들(12)이 있을 수 있으며, 이는 더 나은 확장성을 위해 어레이로 배열될 수 있다. 향상된 확장성은 인공 지능(AI, Artificial Intelligence) 모듈들과 같은 고성능 컴퓨팅(HPC, High-Performance Computing) 모듈에서 유용하다.
도 21 내지 도 23는 몇몇 실시예들에 따른 기판 블록들(12) 및 패키지 컴포넌트들(28)의 평면도들을 예시한다. 패키지 컴포넌트들(28)은 능동 및/또는 수동 소자들을 포함하고, 디바이스 다이들, 집적된 수동 디바이스(IPD, Integrated Passive Device)들, 패키지들 등일 수 있다. 예시된 직사각형들 각각은 기판 블록(12) 또는 패키지 컴포넌트(28)를 나타낼 수 있다. 몇몇 실시예들에 따르면, 도 21 및 도 22의 예시적인 직사각형들은 모두 기판 블록들(12)이다. 기판 블록들(12)은 서로 동일하거나 또는 서로 상이할 수 있다. 예를 들어, 도 21 및 도 22에 도시된 바와 같이, 기판 블록들(12)의 사이즈들(및 구조들)은 서로 상이하다. 대안적인 실시예들에 따르면, 직사각형들 중 하나(예컨대, 큰 것)는 기판 블록이고 다른 하나는 패키지 컴포넌트(28)이다. 도 23에는 복수의 기판 블록들(12) 및 패키지 컴포넌트들(28)이 있다. 몇몇 예시적인 실시예들에 따르면, 기판 블록들(12) 사이의 공간에 분포된 패키지 컴포넌트들(28)(더 작은 직사각형들)을 갖는 4 개의 기판 블록들(12)(더 큰 직사각형들)이 있다. 또한 상이한 타입의 패키지 컴포넌트(28)가 있을 수 있다. 예를 들어, 도 23의 중간에 있는 직사각형은 디바이스 다이 또는 다이 스택을 나타낼 수 있으며, 가장 작은 직사각형은 IPD들을 나타낼 수 있다.
도 24 내지 도 26은 본 개시물의 대안적인 실시예들에 따라 형성된 패키지들(96)을 예시한다. 달리 명시되지 않는 한, 이들 실시예들에서 컴포넌트들의 재료들 및 형성 프로세스들은 본질적으로 유사한 컴포넌트들과 동일하며, 이는 도 1 내지 도 23에 도시된 선행 실시예들 및 도 27 내지 도 34에 도시된 바와 같은 후속 논의되는 실시예들에서 동일한 참조 번호로 표시된다. 따라서, 도 24 내지 도 26에 도시된 컴포넌트들의 형성 프로세스들 및 재료들에 관한 세부사항들은 이전 실시예들의 논의에서 발견될 수 있다.
도 24는 몇몇 실시예들에 따른 패키지(96)를 예시한다. 이러한 실시예들은 인캡슐런트(42)에 캡슐화된 패키지 컴포넌트(28)(수동 및/또는 능동 소자들을 포함함)가 없다는 점을 제외하고는 도 18에 도시된 바와 같은 실시예들과 유사하다.
도 25는 몇몇 실시예들에 따른 패키지(96)를 예시한다. 이들 실시예들은 기판 블록(12)이 코어 유전체 층(36), 코어 유전체 층(36)을 관통하는 도전성 (금속) 파이프들(40P) 및 도전성 파이프들(40P)에 의해 둘러싸인 유전체 충전 재료(37)를 포함하는 코어를 포함하는 것을 제외하고는 도 18 및 도 24에 도시된 실시예들과 유사하다. 몇몇 실시예들에 따라, 코어 유전체(36)는 에폭시, 수지, 유리 섬유, 프리프레그(에폭시, 수지 및/또는 유리 섬유를 포함함), 유리, 몰딩 컴파운드, 플라스틱, 이들의 조합들 및 이들의 다층들로부터 선택된 하나 이상의 재료로 형성된다. 패키지 컴포넌트(28)는 인캡슐런트(42)에 캡슐화될 수 있거나 캡슐화되지 않을 수 있다.
도 26은 몇몇 실시예들에 따른 패키지(96)를 예시한다. 이들 실시예들은 기판 블록들(12)이 코어리스인 것을 제외하고는 도 18에 도시된 실시예들과 유사하다. 몇몇 실시예들에 따라, 수동 및/또는 능동 소자들을 포함하는 패키지 컴포넌트(28)는 인캡슐런트(42)에 캡슐화되지 않는다. 대안적인 실시예들에 따르면, 수동 및/또는 능동 소자들 중 적어도 하나를 포함하는 패키지 컴포넌트(28)(도 18)는 인캡슐런트(42)에 캡슐화된다.
도 27 및 도 28는 몇몇 실시예들에 따른 기판 블록들(12)의 예시적인 배열을 예시한다. 도 27은 2 개의 기판 블록들(12)을 예시한다. 도 28은 어레이를 형성하는 4 개의 기판 블록들(12)을 예시한다. 몇몇 실시예들에 따라, 기판 블록들(12)은 그들 사이에 관통 비아(도 18에 도시된 26과 같은) 및 패키지 컴포넌트들(도 18에 도시된 28과 같은)이 없이 서로 밀접하게 위치된다. 대안적인 실시예들에 따라, 도 18 및 도 24 내지 도 26에 도시된 바와 같이, 관통 비아(26) 및 가능한 패키지 컴포넌트들(28)이 인웃한 기판 블록들(12) 사이에 위치될 수 있다.
도 29 및 도 30은 몇몇 실시예들에 따른 몇몇 예시적인 기판 블록들(12) 및 패키지 컴포넌트들(90)(예컨대, 디바이스 다이들)의 레이아웃을 예시한다. 몇몇 실시예들에 따라, 도 29에 도시된 바와 같이, 기판 블록들(12) 및 패키지 컴포넌트들(90)은 하나의 패키지 컴포넌트(90)가 하나의 기판 블록(12)과 중첩하는 일대일 대응을 갖는다. 대안적인 실시예들에 따르면, 도 30에 도시된 바와 같이, 패키지 컴포넌트(90)는 기판 블록들(12) 중 둘 이상에 중첩될 수 있고 그에 전기적으로 연결될 수 있다.
도 31 내지 도 34은 몇몇 실시예들에 따른 몇몇 예시적인 기판 블록들(12) 및 패키지 컴포넌트들(90)(90A 및 90B 포함함)의 레이아웃을 예시한다. 도 31은 패키지 컴포넌트들(90A 및 90B)을 포함하는 패키지 컴포넌트들(90)을 예시한다. 몇몇 실시예들에 따르면, 패키지 컴포넌트들(90A)은 더 적은 수의 I/O 핀들을 갖는 디바이스 다이들이고, 패키지 컴포넌트들(90B)은 더 많은 수의 I/O 핀들을 갖는 디바이스 다이들이다.
도 32 내지 도 34는 패키지 컴포넌트들(90A 및 90B)의 위치들에 관하여 레이아웃된 예시적인 기판 블록들(12)을 예시한다. 도 32에 도시된 바와 같이, 기판 블록(12)은 아래 놓인 패키지 컴포넌트(90B)이고, 더 낮은 I/O 핀 번호를 갖는 패키지 컴포넌트들(90A)은 패키지 컴포넌트들(90B)의 양측 상에 있다. 도 33에서, 3 개의 기판 블록들(12)이 사용되며, 기판 블록들(12) 중 둘은 패키지 컴포넌트들(90A)의 2 개의 열 아래에 놓이고, 기판 블록들(12) 중 하나는 패키지 컴포넌트들(90B)의 하나의 열 아래에 놓인다. 도 34에서, 4 개의 기판 블록들(12)이 사용되며, 기판 블록들(12) 중 둘은 패키지 컴포넌트들(90A)의 2 개의 열 아래에 놓이고, 기판 블록들(12) 중 둘은 각각 아래 놓인 패키지 컴포넌트들(90B)를 갖는다.
도 35 및 도 36은 각각 도 18의 영역들(102 및 104)의 확대도들을 예시한다. 영역(102)은 인캡슐런트(42)의 상부면에 있고, 영역(104)은 인캡슐런트(42)의 하부면에 있다. 인캡슐런트(42)는 베이스 재료(42A) 및 베이스 재료(42A)에 충전제 입자들(42B)를 포함한다. 평탄화 프로세스가 도 7에 도시된 바와 같이 평탄화 프로세스 동안 인캡슐런트(42)의 상부면에 수행되기 때문에, 유전체 층(46)과 접촉하는 구형 입자들(42B)은 부분적으로 연마되는 부분 입자들이고 실질적으로 평면인 상부면들을 갖는다. 유전체 층(솔더 마스크)(76)(또는 일부 금속 패드들(74))과 접촉하는 인캡슐런트(42)의 일부는 도 11에 도시된 프로세스 동안 평탄화되었다. 따라서, 인캡슐런트(42)의 하부면에 있는 구형 입자들(42B)은 또한 평탄화 프로세스 동안 부분적으로 연마되는 부분 입자들이며, 따라서 실질적으로 평면인 하부면들을 가질 것이다.
상기 예시된 실시예들에서, 몇몇 프로세스들 및 피처들이 3차원(3D) 패키지를 형성하기 위해 본 개시물의 몇몇 실시예들에 따라 논의된다. 다른 피처들 및 프로세스들이 또한 포함될 수 있다. 예를 들어 3D 패키징 또는 3DIC 디바이스들의 검증 테스트를 돕기 위해 테스트 구조물들이 포함될 수 있다. 테스트 구조물들은 예를 들어 3D 패키징 또는 3DIC의 테스트, 프로브들 및/또는 프로브 카드들의 사용 등을 허용하는 재배선 층 내에 또는 기판 상에 형성된 테스트 패드들을 포함할 수 있다. 검증 테스트는 중간 구조물 뿐 아니라 최종 구조물에도 수행될 수 있다. 또한, 본 명세서에 개시된 구조물들 및 방법들은 수율을 증가시키고 비용을 감소시키기 위해 알려진 양호한 다이들의 중간 검증을 통합하는 테스트 방법들과 관련하여 사용될 수 있다.
본 개시물의 실시예들은 몇몇 이로운 피처들을 갖는다. 큰 패키지 기판들을 더 작은 기판 블록들로 컷팅하고, 개별 기판 블록들을 사용하여 재구성된 패키지 기판들을 형성함으로써, 대형 패키지 기판에 의해 야기되는 심각한 뒤틀림이 감소된다. 대형 패키지 기판들에 의해 야기되는 수율 손실 문제가 감소된다.
본 개시물의 몇몇 실시예들에 따라, 방법은 재구성된 패키지 기판을 형성하는 단계; 및 재구성된 패키지 기판 위에 제1 패키지 컴포넌트를 본딩하는 단계를 포함하고, 재구성된 패키지 기판을 형성하는 단계는: 캐리어 위에 복수의 기판 블록들을 배치하는 단계; 인캡슐런트에 복수의 기판 블록들을 캡슐화하는 단계, 복수의 기판 블록들의 재배선 라인들을 드러내기 위해 인캡슐런트 및 복수의 기판 블록들을 평탄화하는 단계; 및 복수의 기판 블록들 및 인캡슐런트 모두와 중첩하는 재배선 구조물을 형성하는 단계를 포함한다. 실시예에서, 방법은 패키지 기판 스트립을 개별 기판 블록들로 쏘잉(sawing)하는 단계를 더 포함하며, 캐리어 위에 배치된 복수의 기판 블록들 중 적어도 하나는 개별 기판 블록들 중 하나이다. 실시예에서, 복수의 기판 블록들 중 2개는 서로 동일하다. 실시예에서, 복수의 기판 블록들에는 능동 소자들 및 수동 소자들이 없다. 실시예에서, 방법은 캐리어 위에 금속 기둥을 형성하는 단계를 더 포함하며, 금속 기둥은 인캡슐런트에 캡슐화되고, 평탄화하는 단계는 금속 기둥을 추가로 드러낸다. 실시예에서, 방법은 복수의 기판 블록들 및 인캡슐런트로부터 캐리어를 디-본딩하는 단계; 및 재구성된 패키지 기판 상에 도전성 피처를 형성하는 단계를 더 포함하고, 도전성 피처 및 재배선 구조물은 금속 기둥을 통해 전기적으로 상호연결된다. 실시예에서, 방법은 캐리어 위에 추가 패키지 컴포넌트를 배치하는 단계를 더 포함하며, 추가 패키지 컴포넌트는 인캡슐런트에 캡슐화되고, 평탄화하는 단계에 의해 드러나고, 추가 패키지 컴포넌트는 디바이스 다이 또는 집적된 수동 소자를 포함한다. 실시예에서, 방법은 재배선 구조물 위에 제2 패키지 컴포넌트를 본딩하는 단계를 더 포함하며, 제1 패키지 컴포넌트 및 제2 패키지 컴포넌트는 각각 복수의 기판 블록들 중의 제1 기판 블록 및 제2 기판 블록과 중첩한다.
본 개시물의 몇몇 실시예들에 따라, 구조물은 재구성된 패키지 기판; 및 재구성된 패키지 기판 위에 놓이고 재구성된 패키지 기판에 본딩되는 제1 디바이스 다이를 포함하며, 재구성된 패키지 기판은: 내부에 제1 복수의 재배선 라인들을 각각 포함하는 복수의 기판 블록들; 내부에 복수의 기판 블록들을 캡슐화하는 인캡슐런트 ― 복수의 기판 블록들은 인캡슐런트의 일부 부분들에 의해 서로 분리됨 ― ; 복수의 기판 블록들 및 인캡슐런트와 중첩하고, 내부에 제2 복수의 재배선 라인들을 포함하는 재배선 구조물; 및 재배선 구조물 아래에 놓이고, 복수의 기판 블록들을 통해 재배선 구조물에 전기적으로 연결되는 복수의 도전성 피처들을 포함한다. 실시예에서, 구조물은 복수의 도전성 피처들에 본딩되는 패키지 컴포넌트를 더 포함하며, 패키지 컴포넌트는 복수의 기판 블록들 중 적어도 2 개에 의해 중첩된다. 실시예에서, 구조물은 인캡슐런트에 관통 비아를 더 포함하며, 복수의 도전성 피처들 중 하나는 관통 비아를 통해 재배선 구조물에 전기적으로 연결된다. 실시예에서, 구조물은 인캡슐런트에 캡슐화된 패키지 컴포넌트를 더 포함하며, 패키지 컴포넌트는 디바이스 다이 및 집적된 수동 소자로부터 선택되고, 패키지 컴포넌트는 재배선 구조물에 전기적으로 연결되는 추가 도전성 피처들을 포함한다. 실시예에서, 구조물은 패키지 컴포넌트의 제1 하부면 및 인캡슐런트의 제2 하부면 모두 아래에 놓이고 그와 접촉하는 유전체 층을 더 포함한다. 실시예에서, 복수의 기판 블록들은 서로 동일하다. 실시예에서, 복수의 기판 블록들에는 능동 소자들 및 수동 소자들 모두가 없다. 실시예에서, 복수의 기판 블록들은 코어리스(coreless) 패키지 기판을 포함한다. 실시예에서, 복수의 기판 블록들은 코어를 포함한 패키지 기판을 포함한다.
본 개시물의 몇몇 실시예들에 따라, 구조물은 제1 유전체 층; 제1 유전체 층 내의 복수의 도전성 피처들; 제1 유전체 층 위에 놓이고 그와 접촉하는 인캡슐런트; 인캡슐런트를 관통하는 복수의 기판 블록들 ― 복수의 기판 블록들은 제1 유전체 층 위에 놓이고 그와 접촉함 ― ; 복수의 기판 블록들 및 인캡슐런트 모두 위에 있고 그와 접촉하는 제2 유전체 층; 및 제2 유전체 층 내로 연장되는 복수의 재배선 라인들 ― 복수의 재배선 라인들은 복수의 기판 블록들을 통해 복수의 도전성 피처들에 전기적으로 연결됨 ― 를 포함한다. 실시예에서, 구조물은 인캡슐런트를 관통하는 관통 비아를 더 포함하며, 관통 비아는 복수의 도전성 피처들 중 하나를 복수의 재배선 라인들 중 하나에 전기적으로 연결한다. 실시예에서, 구조물은 인캡슐런트를 관통하는 다이를 더 포함하고, 다이는 복수의 재배선 라인들에 전기적으로 연결된다.
전술한 내용은 본 기술분야의 당업자들이 본 개시물의 양상들을 더 잘 이해할 수 있도록 몇몇 실시예들의 피처들을 약술하였다. 본 기술분야의 당업자들은 본 명세서에서 소개한 실시예들의 동일한 목적들을 수행하고 그리고/또는 동일한 장점들을 달성하기 위한 다른 프로세스들 및 구조들을 설계하거나 또는 수정하기 위한 기초로서 본 개시내용을 자신들이 손쉽게 이용할 수 있다는 것을 인식해야 한다. 본 기술분야의 당업자들은 또한 이와 같은 등가적 구성들은 본 개시물의 사상과 범위를 벗어나지 않으며, 본 개시물의 사상과 범위를 벗어나지 않고 당업자들이 다양한 변경들, 대체들, 및 개조들을 본 발명에서 행할 수 있음을 알아야 한다.
실시예들
실시예 1. 방법에 있어서,
재구성된 패키지 기판을 형성하는 단계; 및
상기 재구성된 패키지 기판 위에 제1 패키지 컴포넌트를 본딩하는 단계
를 포함하고, 상기 재구성된 패키지 기판을 형성하는 단계는:
캐리어 위에 복수의 기판 블록들을 배치하는 단계;
인캡슐런트에 상기 복수의 기판 블록들을 캡슐화하는 단계;
상기 복수의 기판 블록들의 재배선 라인들을 드러내기 위해 상기 인캡슐런트 및 상기 복수의 기판 블록들을 평탄화하는 단계; 및
상기 복수의 기판 블록들 및 인캡슐런트 모두와 중첩하는 재배선 구조물을 형성하는 단계
를 포함하는 것인, 방법.
실시예 2. 실시예 1에 있어서,
패키지 기판 스트립을 개별 기판 블록들로 쏘잉(sawing)하는 단계를 더 포함하며, 상기 캐리어 위에 배치된 상기 복수의 기판 블록들 중 적어도 하나는 상기 개별 기판 블록들 중 하나인 것인, 방법.
실시예 3. 실시예 1에 있어서,
상기 복수의 기판 블록들 중 2개는 서로 동일한 것인, 방법.
실시예 4. 실시예 1에 있어서,
상기 복수의 기판 블록들에는 능동 소자들 및 수동 소자들이 없는 것인, 방법.
실시예 5. 실시예 1에 있어서,
상기 캐리어 위에 금속 기둥을 형성하는 단계를 더 포함하며, 상기 금속 기둥은 상기 인캡슐런트에 캡슐화되고, 상기 평탄화하는 단계는 상기 금속 기둥을 추가로 드러내는 것인, 방법.
실시예 6. 실시예 5에 있어서,
상기 복수의 기판 블록들 및 상기 인캡슐런트로부터 상기 캐리어를 디-본딩하는 단계; 및
상기 재구성된 패키지 기판 상에 도전성 피처를 형성하는 단계 ― 상기 도전성 피처 및 상기 재배선 구조물은 상기 금속 기둥을 통해 전기적으로 상호연결됨 ―
를 더 포함하는, 방법.
실시예 7. 실시예 1에 있어서,
상기 캐리어 위에 추가 패키지 컴포넌트를 배치하는 단계를 더 포함하며, 상기 추가 패키지 컴포넌트는 상기 인캡슐런트에 캡슐화되고, 상기 평탄화하는 단계에 의해 드러나고, 상기 추가 패키지 컴포넌트는 디바이스 다이 또는 집적된 수동 소자를 포함하는 것인, 방법.
실시예 8. 실시예 1에 있어서,
상기 재배선 구조물 위에 제2 패키지 컴포넌트를 본딩하는 단계를 더 포함하며, 상기 제1 패키지 컴포넌트 및 상기 제2 패키지 컴포넌트는 각각 상기 복수의 기판 블록들 중의 제1 기판 블록 및 제2 기판 블록과 중첩하는 것인, 방법.
실시예 9. 구조물에 있어서,
재구성된 패키지 기판; 및
상기 재구성된 패키지 기판 위에 놓이고 상기 재구성된 패키지 기판에 본딩되는 제1 디바이스 다이
를 포함하며, 상기 재구성된 패키지 기판은:
내부에 제1 복수의 재배선 라인들을 각각 포함하는 복수의 기판 블록들;
내부에 상기 복수의 기판 블록들을 캡슐화하는 인캡슐런트 ― 상기 복수의 기판 블록들은 상기 인캡슐런트의 일부 부분들에 의해 서로 분리됨 ― ;
상기 복수의 기판 블록들 및 상기 인캡슐런트와 중첩하고, 내부에 제2 복수의 재배선 라인들을 포함하는 재배선 구조물; 및
상기 재배선 구조물 아래에 놓이고, 상기 복수의 기판 블록들을 통해 상기 재배선 구조물에 전기적으로 연결되는 복수의 도전성 피처들
을 포함하는 것인, 구조물.
실시예 10. 실시예 9에 있어서,
상기 복수의 도전성 피처들에 본딩되는 패키지 컴포넌트를 더 포함하며, 상기 패키지 컴포넌트는 상기 복수의 기판 블록들 중 적어도 2 개에 의해 중첩되는 것인, 구조물.
실시예 11. 실시예 9에 있어서,
상기 인캡슐런트에 관통 비아를 더 포함하며, 상기 복수의 도전성 피처들 중 하나는 상기 관통 비아를 통해 상기 재배선 구조물에 전기적으로 연결되는 것인, 구조물.
실시예 12. 실시예 9에 있어서,
상기 인캡슐런트에 캡슐화된 패키지 컴포넌트를 더 포함하며, 상기 패키지 컴포넌트는 디바이스 다이 및 집적된 수동 소자로부터 선택되고, 상기 패키지 컴포넌트는 상기 재배선 구조물에 전기적으로 연결되는 추가 도전성 피처들을 포함하는 것인, 구조물.
실시예 13. 실시예 12에 있어서,
상기 패키지 컴포넌트의 제1 하부면 및 상기 인캡슐런트의 제2 하부면 모두 아래에 놓이고 그와 접촉하는 유전체 층을 더 포함하는, 구조물.
실시예 14. 실시예 9에 있어서,
상기 복수의 기판 블록들은 서로 동일한 것인, 구조물.
실시예 15. 실시예 9에 있어서,
상기 복수의 기판 블록들에는 능동 소자들 및 수동 소자들 모두가 없는 것인, 구조물.
실시예 16. 실시예 9에 있어서,
상기 복수의 기판 블록들은 코어리스(coreless) 패키지 기판을 포함하는 것인, 구조물.
실시예 17. 실시예 9에 있어서,
상기 복수의 기판 블록들은 코어를 포함한 패키지 기판을 포함하는 것인, 구조물.
실시예 18. 구조물에 있어서,
제1 유전체 층;
상기 제1 유전체 층 내의 복수의 도전성 피처들;
상기 제1 유전체 층 위에 놓이고 그와 접촉하는 인캡슐런트;
상기 인캡슐런트를 관통하는 복수의 기판 블록들 ― 상기 복수의 기판 블록들은 상기 제1 유전체 층 위에 놓이고 그와 접촉함 ― ;
상기 복수의 기판 블록들 및 상기 인캡슐런트 모두 위에 있고 그와 접촉하는 제2 유전체 층; 및
상기 제2 유전체 층 내로 연장되는 복수의 재배선 라인들 ― 상기 복수의 재배선 라인들은 상기 복수의 기판 블록들을 통해 상기 복수의 도전성 피처들에 전기적으로 연결됨 ―
을 포함하는, 구조물.
실시예 19. 실시예 18에 있어서,
상기 인캡슐런트를 관통하는 관통 비아를 더 포함하며, 상기 관통 비아는 상기 복수의 도전성 피처들 중 하나를 상기 복수의 재배선 라인들 중 하나에 전기적으로 연결하는 것인, 구조물.
실시예 20. 실시예 18에 있어서,
상기 인캡슐런트를 관통하는 다이를 더 포함하고, 상기 다이는 상기 복수의 재배선 라인들에 전기적으로 연결되는 것인, 구조물.

Claims (10)

  1. 방법에 있어서,
    재구성된 패키지 기판을 형성하는 단계; 및
    상기 재구성된 패키지 기판 위에 제1 패키지 컴포넌트를 본딩하는 단계
    를 포함하고, 상기 재구성된 패키지 기판을 형성하는 단계는:
    캐리어 위에 복수의 기판 블록들을 배치하는 단계;
    인캡슐런트에 상기 복수의 기판 블록들을 캡슐화하는 단계;
    상기 복수의 기판 블록들의 재배선 라인들을 드러내기 위해 상기 인캡슐런트 및 상기 복수의 기판 블록들을 평탄화하는 단계; 및
    상기 복수의 기판 블록들 및 인캡슐런트 모두와 중첩하는 재배선 구조물을 형성하는 단계
    를 포함하는 것인, 방법.
  2. 제1항에 있어서,
    패키지 기판 스트립을 개별 기판 블록들로 쏘잉(sawing)하는 단계를 더 포함하며, 상기 캐리어 위에 배치된 상기 복수의 기판 블록들 중 적어도 하나는 상기 개별 기판 블록들 중 하나인 것인, 방법.
  3. 제1항에 있어서,
    상기 복수의 기판 블록들 중 2개는 서로 동일한 것인, 방법.
  4. 제1항에 있어서,
    상기 복수의 기판 블록들에는 능동 소자들 및 수동 소자들이 없는 것인, 방법.
  5. 제1항에 있어서,
    상기 캐리어 위에 금속 기둥을 형성하는 단계를 더 포함하며, 상기 금속 기둥은 상기 인캡슐런트에 캡슐화되고, 상기 평탄화하는 단계는 상기 금속 기둥을 추가로 드러내는 것인, 방법.
  6. 제5항에 있어서,
    상기 복수의 기판 블록들 및 상기 인캡슐런트로부터 상기 캐리어를 디-본딩하는 단계; 및
    상기 재구성된 패키지 기판 상에 도전성 피처를 형성하는 단계 ― 상기 도전성 피처 및 상기 재배선 구조물은 상기 금속 기둥을 통해 전기적으로 상호연결됨 ―
    를 더 포함하는, 방법.
  7. 제1항에 있어서,
    상기 캐리어 위에 추가 패키지 컴포넌트를 배치하는 단계를 더 포함하며, 상기 추가 패키지 컴포넌트는 상기 인캡슐런트에 캡슐화되고, 상기 평탄화하는 단계에 의해 드러나고, 상기 추가 패키지 컴포넌트는 디바이스 다이 또는 집적된 수동 소자를 포함하는 것인, 방법.
  8. 제1항에 있어서,
    상기 재배선 구조물 위에 제2 패키지 컴포넌트를 본딩하는 단계를 더 포함하며, 상기 제1 패키지 컴포넌트 및 상기 제2 패키지 컴포넌트는 각각 상기 복수의 기판 블록들 중의 제1 기판 블록 및 제2 기판 블록과 중첩하는 것인, 방법.
  9. 구조물에 있어서,
    재구성된 패키지 기판; 및
    상기 재구성된 패키지 기판 위에 놓이고 상기 재구성된 패키지 기판에 본딩되는 제1 디바이스 다이
    를 포함하며, 상기 재구성된 패키지 기판은:
    내부에 제1 복수의 재배선 라인들을 각각 포함하는 복수의 기판 블록들;
    내부에 상기 복수의 기판 블록들을 캡슐화하는 인캡슐런트 ― 상기 복수의 기판 블록들은 상기 인캡슐런트의 일부 부분들에 의해 서로 분리됨 ― ;
    상기 복수의 기판 블록들 및 상기 인캡슐런트와 중첩하고, 내부에 제2 복수의 재배선 라인들을 포함하는 재배선 구조물; 및
    상기 재배선 구조물 아래에 놓이고, 상기 복수의 기판 블록들을 통해 상기 재배선 구조물에 전기적으로 연결되는 복수의 도전성 피처들
    을 포함하는 것인, 구조물.
  10. 구조물에 있어서,
    제1 유전체 층;
    상기 제1 유전체 층 내의 복수의 도전성 피처들;
    상기 제1 유전체 층 위에 놓이고 그와 접촉하는 인캡슐런트;
    상기 인캡슐런트를 관통하는 복수의 기판 블록들 ― 상기 복수의 기판 블록들은 상기 제1 유전체 층 위에 놓이고 그와 접촉함 ― ;
    상기 복수의 기판 블록들 및 상기 인캡슐런트 모두 위에 있고 그와 접촉하는 제2 유전체 층; 및
    상기 제2 유전체 층 내로 연장되는 복수의 재배선 라인들 ― 상기 복수의 재배선 라인들은 상기 복수의 기판 블록들을 통해 상기 복수의 도전성 피처들에 전기적으로 연결됨 ―
    을 포함하는, 구조물.
KR1020210090282A 2021-02-18 2021-07-09 다중 캡슐화된 기판 블록들을 갖는 패키지들 KR20220118282A (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202163150725P 2021-02-18 2021-02-18
US63/150,725 2021-02-18
US17/318,703 2021-05-12
US17/318,703 US11842935B2 (en) 2021-02-18 2021-05-12 Method for forming a reconstructed package substrate comprising substrates blocks

Publications (1)

Publication Number Publication Date
KR20220118282A true KR20220118282A (ko) 2022-08-25

Family

ID=82610864

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020210090282A KR20220118282A (ko) 2021-02-18 2021-07-09 다중 캡슐화된 기판 블록들을 갖는 패키지들

Country Status (5)

Country Link
US (2) US11842935B2 (ko)
KR (1) KR20220118282A (ko)
CN (1) CN114975383A (ko)
DE (1) DE102021112657A1 (ko)
TW (1) TWI802210B (ko)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI781049B (zh) * 2022-01-24 2022-10-11 欣興電子股份有限公司 電路板結構及其製作方法

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8227904B2 (en) 2009-06-24 2012-07-24 Intel Corporation Multi-chip package and method of providing die-to-die interconnects in same
US8399306B2 (en) * 2011-03-25 2013-03-19 Stats Chippac Ltd. Integrated circuit packaging system with transparent encapsulation and method of manufacture thereof
TWI476888B (zh) 2011-10-31 2015-03-11 Unimicron Technology Corp 嵌埋穿孔中介層之封裝基板及其製法
US9111949B2 (en) 2012-04-09 2015-08-18 Taiwan Semiconductor Manufacturing Company, Ltd. Methods and apparatus of wafer level package for heterogeneous integration technology
TWM455255U (zh) 2012-06-18 2013-06-11 Unimicron Technology Corp 具中介層之封裝基板及其封裝結構
US9385006B2 (en) * 2012-06-21 2016-07-05 STATS ChipPAC Pte. Ltd. Semiconductor device and method of forming an embedded SOP fan-out package
US9048222B2 (en) 2013-03-06 2015-06-02 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating interconnect structure for package-on-package devices
US10319607B2 (en) * 2014-08-22 2019-06-11 Taiwan Semiconductor Manufacturing Company, Ltd. Package-on-package structure with organic interposer
US9589903B2 (en) * 2015-03-16 2017-03-07 Taiwan Semiconductor Manufacturing Company, Ltd. Eliminate sawing-induced peeling through forming trenches
US10062648B2 (en) * 2016-02-26 2018-08-28 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and method of forming the same
US10797025B2 (en) * 2016-05-17 2020-10-06 Taiwan Semiconductor Manufacturing Company, Ltd. Advanced INFO POP and method of forming thereof
US9837359B1 (en) 2016-09-30 2017-12-05 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated fan-out package and method of fabricating the same
US10163802B2 (en) * 2016-11-29 2018-12-25 Taiwan Semicondcutor Manufacturing Company, Ltd. Fan-out package having a main die and a dummy die, and method of forming
US10770405B2 (en) * 2017-05-31 2020-09-08 Taiwan Semiconductor Manufacturing Company, Ltd. Thermal interface material having different thicknesses in packages
US10784203B2 (en) * 2017-11-15 2020-09-22 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and method
US10854552B2 (en) 2018-06-29 2020-12-01 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
US11004803B2 (en) 2018-07-02 2021-05-11 Taiwan Semiconductor Manufacturing Company, Ltd. Dummy dies for reducing warpage in packages
US11133282B2 (en) 2019-05-31 2021-09-28 Taiwan Semiconductor Manufacturing Company, Ltd. COWOS structures and methods forming same

Also Published As

Publication number Publication date
US20220262694A1 (en) 2022-08-18
CN114975383A (zh) 2022-08-30
US11842935B2 (en) 2023-12-12
TW202234636A (zh) 2022-09-01
US20220384287A1 (en) 2022-12-01
TWI802210B (zh) 2023-05-11
DE102021112657A1 (de) 2022-08-18

Similar Documents

Publication Publication Date Title
US11908706B2 (en) Cross-wafer RDLs in constructed wafers
CN110660725B (zh) 具有可控间隙的扇出封装件
CN110676223B (zh) 封装件和形成封装件的方法
US20220359403A1 (en) Packages with Thick RDLs and Thin RDLs Stacked Alternatingly
TWI716852B (zh) 積體扇出型封裝及其製造方法
US20220173003A1 (en) Warpage Control of Packages Using Embedded Core Frame
US20220093498A1 (en) Hybrid Dielectric Scheme in Packages
US20220384287A1 (en) Packages with Multiple Encapsulated Substrate Blocks
US20240021441A1 (en) Info Packages Including Thermal Dissipation Blocks
US20240019486A1 (en) Alignment Mark Design for Wafer-Level Testing and Method Forming the Same
US20230154764A1 (en) Staggered Metal Mesh on Backside of Device Die and Method Forming Same
US20230395519A1 (en) Semiconductor package with substrate recess and methods for forming the same
CN117012709A (zh) 形成封装件的方法

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right