KR20220105562A - Substrate processing apparatus, method of manufacturing semiconductor device and non-transitory computer-readable recording medium - Google Patents

Substrate processing apparatus, method of manufacturing semiconductor device and non-transitory computer-readable recording medium Download PDF

Info

Publication number
KR20220105562A
KR20220105562A KR1020210035044A KR20210035044A KR20220105562A KR 20220105562 A KR20220105562 A KR 20220105562A KR 1020210035044 A KR1020210035044 A KR 1020210035044A KR 20210035044 A KR20210035044 A KR 20210035044A KR 20220105562 A KR20220105562 A KR 20220105562A
Authority
KR
South Korea
Prior art keywords
inert gas
region
wall
chamber
transfer chamber
Prior art date
Application number
KR1020210035044A
Other languages
Korean (ko)
Other versions
KR102509263B1 (en
Inventor
테루오 요시노
나오후미 오하시
타다시 타카사키
Original Assignee
가부시키가이샤 코쿠사이 엘렉트릭
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 가부시키가이샤 코쿠사이 엘렉트릭 filed Critical 가부시키가이샤 코쿠사이 엘렉트릭
Publication of KR20220105562A publication Critical patent/KR20220105562A/en
Application granted granted Critical
Publication of KR102509263B1 publication Critical patent/KR102509263B1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F27FURNACES; KILNS; OVENS; RETORTS
    • F27DDETAILS OR ACCESSORIES OF FURNACES, KILNS, OVENS, OR RETORTS, IN SO FAR AS THEY ARE OF KINDS OCCURRING IN MORE THAN ONE KIND OF FURNACE
    • F27D3/00Charging; Discharging; Manipulation of charge
    • F27D3/0084Charging; Manipulation of SC or SC wafers
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F27FURNACES; KILNS; OVENS; RETORTS
    • F27BFURNACES, KILNS, OVENS, OR RETORTS IN GENERAL; OPEN SINTERING OR LIKE APPARATUS
    • F27B17/00Furnaces of a kind not covered by any preceding group
    • F27B17/0016Chamber type furnaces
    • F27B17/0025Especially adapted for treating semiconductor wafers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67126Apparatus for sealing, encapsulating, glassing, decapsulating or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67754Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber horizontal transfer of a batch of workpieces
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F27FURNACES; KILNS; OVENS; RETORTS
    • F27DDETAILS OR ACCESSORIES OF FURNACES, KILNS, OVENS, OR RETORTS, IN SO FAR AS THEY ARE OF KINDS OCCURRING IN MORE THAN ONE KIND OF FURNACE
    • F27D9/00Cooling of furnaces or of charges therein
    • F27D2009/0002Cooling of furnaces
    • F27D2009/001Cooling of furnaces the cooling medium being a fluid other than a gas
    • F27D2009/0013Cooling of furnaces the cooling medium being a fluid other than a gas the fluid being water

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Mechanical Engineering (AREA)
  • General Engineering & Computer Science (AREA)
  • Robotics (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Drying Of Semiconductors (AREA)
  • Formation Of Insulating Films (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)
  • Recrystallisation Techniques (AREA)
  • Photovoltaic Devices (AREA)

Abstract

The purpose of the present invention is to reduce the amount of moisture in the low-temperature region of a transfer chamber in a substrate processing apparatus including a vacuum transfer chamber. The present invention provides technology that includes: a processing chamber including a heater; a load lock chamber; a transfer chamber installed between the processing chamber and the load lock chamber, including the first area of the processing chamber and the second area of the load lock chamber and having a lower temperature than the first area; a detection part for detecting the amount of moisture in the transfer chamber; and an inert gas supply part capable of supplying an inert gas from the inside of the transfer chamber toward the second area.

Description

기판 처리 장치, 반도체 장치의 제조 방법 및 기록 매체{SUBSTRATE PROCESSING APPARATUS, METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE AND NON-TRANSITORY COMPUTER-READABLE RECORDING MEDIUM}SUBSTRATE PROCESSING APPARATUS, METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE AND NON-TRANSITORY COMPUTER-READABLE RECORDING MEDIUM

기판 처리 장치, 반도체 장치의 제조 방법 및 기록 매체에 관한 것이다.It relates to a substrate processing apparatus, a method for manufacturing a semiconductor device, and a recording medium.

반도체 디바이스를 제조하는 장치로서, 기판을 처리하는 처리실 및 처리실에 기판을 반송하는 로봇이 설치되는 반송실을 포함하는 장치가 존재한다. 반송실 중에 기판 처리와 관련되지 않는 물질, 예컨대 수분이 존재하면, 제품 비율의 저하로 이어질 우려가 있다. 그렇기 때문에 반송실 중의 이물을 적게 하는 것이 요구된다. 이에 관해서는 예컨대 특허문헌 1에 기재되어 있다. 여기서는 반송실 전체를 가열하여 수분을 제거하고 있다.As an apparatus for manufacturing a semiconductor device, there is an apparatus including a processing chamber for processing a substrate and a transfer chamber in which a robot for transferring the substrate is installed in the processing chamber. Substances not related to substrate processing, such as moisture, are present in the transfer chamber, which may lead to a decrease in the product ratio. For this reason, it is calculated|required to reduce the foreign material in a conveyance room. This is described in Patent Document 1, for example. Here, the entire transfer chamber is heated to remove moisture.

1. 일본 특개 2001-338967호 공보1. Japanese Patent Laid-Open No. 2001-338967

수분은 반송실 중의 저온 영역에서 다량 발생하는 경우가 있다. 그렇게 되면 종래와 같이 반송실 전체를 처리하고자 한 경우에 아무래도 수분을 완전히 제거하지 못하는 경우가 있다.A large amount of moisture may be generated in a low-temperature region in the transfer chamber. In that case, when the entire transfer chamber is treated as in the prior art, there is a case where the moisture cannot be completely removed.

본 기술은 반송실을 포함하는 기판 처리 장치에서 저온 영역의 수분량을 저감시키는 것을 목적으로 한다.An object of the present technology is to reduce the amount of moisture in a low-temperature region in a substrate processing apparatus including a transfer chamber.

히터를 포함하는 처리실; 로드록 실; 상기 처리실과 상기 로드록 실 사이에 설치되고, 상기 처리실측의 제1 영역과 상기 제1 영역보다 상기 로드록 실측이며 상기 제1 영역보다 낮은 온도인 제2 영역을 포함하는 반송실; 상기 반송실에서의 수분량을 검출하는 검출부; 및 상기 반송실의 내부에서 상기 제2 영역을 향해서 불활성 가스를 공급 가능한 불활성 가스 공급부를 포함하는 기술을 제공한다.a processing chamber including a heater; load lock seal; a transfer chamber provided between the processing chamber and the load lock chamber, the transfer chamber including a first region on the processing chamber side and a second region on the load lock chamber side of the first region and having a lower temperature than the first region; a detection unit for detecting the amount of moisture in the transfer chamber; and an inert gas supply unit capable of supplying an inert gas from the inside of the transfer chamber toward the second region.

반송실을 포함하는 기판 처리 장치에서 저온 영역의 수분량을 저감시키는 것을 목적으로 한다.An object of the present invention is to reduce the amount of moisture in a low-temperature region in a substrate processing apparatus including a transfer chamber.

도 1은 제1 실시 형태에 따른 기판 처리 장치를 설명하는 설명도.
도 2는 제1 실시 형태에 따른 기판 처리 장치를 설명하는 설명도.
도 3a 및 도 3b는 제1 실시 형태에 따른 분산부를 설명하는 설명도.
도 4는 제1 실시 형태에 따른 RC를 설명하는 설명도.
도 5는 제1 실시 형태에 따른 가스 공급부를 설명하는 설명도.
도 6은 제1 실시 형태에 따른 기판 처리 장치의 컨트롤러를 설명하는 설명도.
도 7은 제2 실시 형태에 따른 기판 처리 장치를 설명하는 설명도.
도 8은 제2 실시 형태에 따른 기판 처리 장치를 설명하는 설명도.
도 9는 제3 실시 형태에 따른 기판 처리 장치를 설명하는 설명도.
도 10은 제4 실시 형태에 따른 기판 처리 장치를 설명하는 설명도.
도 11은 제4 실시 형태에 따른 기판 처리 장치를 설명하는 설명도.
BRIEF DESCRIPTION OF THE DRAWINGS It is explanatory drawing explaining the substrate processing apparatus which concerns on 1st Embodiment.
2 is an explanatory view for explaining the substrate processing apparatus according to the first embodiment;
3A and 3B are explanatory views for explaining a dispersion unit according to the first embodiment;
4 is an explanatory diagram for explaining RC according to the first embodiment;
5 is an explanatory view for explaining a gas supply unit according to the first embodiment;
6 is an explanatory diagram for explaining a controller of the substrate processing apparatus according to the first embodiment;
7 is an explanatory view for explaining a substrate processing apparatus according to a second embodiment;
8 is an explanatory view for explaining a substrate processing apparatus according to a second embodiment;
9 is an explanatory view for explaining a substrate processing apparatus according to a third embodiment;
10 is an explanatory view for explaining a substrate processing apparatus according to a fourth embodiment;
11 is an explanatory view for explaining a substrate processing apparatus according to a fourth embodiment;

이하, 실시 형태에 대해서 도면을 참조하면서 설명한다.EMBODIMENT OF THE INVENTION Hereinafter, embodiment is demonstrated, referring drawings.

[제1 실시 형태][First embodiment]

제1 실시 형태를 설명한다.A first embodiment will be described.

(1) 기판 처리 장치의 구성(1) Configuration of substrate processing apparatus

도 1 내지 도 6을 이용하여 기판 처리 장치의 구성을 설명한다. 또한 이하의 설명에서 이용되는 도면은 모두 모식적인 것이며, 도면상의 각 요소의 치수의 관계, 각 요소의 비율 등은 현실의 것과 반드시 일치하지 않는다. 또한 복수의 도면의 상호간에서도 각 요소의 치수의 관계, 각 요소의 비율 등은 반드시 일치하지 않는다.The configuration of the substrate processing apparatus will be described with reference to FIGS. 1 to 6 . In addition, the drawings used in the following description are all schematic, and the relationship of the dimension of each element on a drawing, the ratio of each element, etc. do not necessarily correspond with the real thing. Moreover, the relationship between the dimensions of each element, the ratio of each element, etc. do not necessarily coincide with each other in a plurality of drawings.

도 1, 도 2는 기판 처리 장치의 개략을 설명하는 설명도이며, 도 3a 및 도 3b는 반송실에 설치되는 불활성 가스 공급부의 분산부를 설명하는 설명도이다. 도 4, 도 5는 기판 처리 장치가 포함하는 RC(리액터)를 설명하는 설명도이다. 도 6은 기판 처리 장치의 컨트롤러를 설명하는 설명도이다. 이하, 각 구성을 구체적으로 설명한다.1 and 2 are explanatory views for explaining the outline of the substrate processing apparatus, and FIGS. 3A and 3B are explanatory views for explaining the dispersion unit of the inert gas supply unit installed in the transfer chamber. 4 and 5 are explanatory diagrams for explaining an RC (reactor) included in the substrate processing apparatus. It is explanatory drawing explaining the controller of a substrate processing apparatus. Hereinafter, each structure is demonstrated concretely.

기판 처리 장치의 개요 구성을 도 1, 도 2를 이용하여 설명한다. 도 1은 기판 처리 장치의 구성예를 도시하는 횡단면도(橫斷面圖)이다. 도 2는 도 1 α-α'에서의 종단면도(縱斷面圖)이다.The outline structure of a substrate processing apparatus is demonstrated using FIG. 1, FIG. BRIEF DESCRIPTION OF THE DRAWINGS It is a cross-sectional view which shows the structural example of a substrate processing apparatus. FIG. 2 is a longitudinal cross-sectional view taken in FIG. 1 α-α'.

기판 처리 장치(200)는 기판(100)을 처리하는 것으로, IO 스테이지(110), 대기(大氣) 반송실(120), 로드록 실(130), 진공 반송실(140), 프로세스 모듈(PM)(이하, PM이라고 부른다.), 컨트롤러(400)로 주로 구성된다. 다음으로 각 구성에 대해서 구체적으로 설명한다.The substrate processing apparatus 200 processes the substrate 100 , and includes an IO stage 110 , an atmospheric transfer chamber 120 , a load lock chamber 130 , a vacuum transfer chamber 140 , and a process module (PM). ) (hereinafter referred to as PM) and the controller 400 . Next, each configuration will be described in detail.

(대기 반송실·IO 스테이지)(Standby transfer room, IO stage)

기판 처리 장치(200)의 바로 앞에는 IO 스테이지(로드 포트)(110)가 설치된다. IO 스테이지(110) 상에는 복수의 포드(111)가 탑재된다. 포드(111)는 실리콘(Si) 기판 등의 기판(100)을 반송하는 캐리어로서 이용된다.An IO stage (load port) 110 is installed in front of the substrate processing apparatus 200 . A plurality of pods 111 are mounted on the IO stage 110 . The pod 111 is used as a carrier for carrying the substrate 100 such as a silicon (Si) substrate.

포드(111) 내에는 로트 관리되는 복수의 기판(100)이 격납된다. 예컨대 n매의 기판(100)이 격납된다.A plurality of lot-managed substrates 100 are stored in the pod 111 . For example, n substrates 100 are stored.

포드(111)에는 캡(112)이 설치되고, 포드 오프너(121)에 의해 개폐된다. 포드 오프너(121)는 IO 스테이지(110)에 재치된 포드(111)의 캡(112)을 개폐하여 기판출입구를 개방 및 폐쇄하는 것에 의해 포드(111)에 대한 기판(100)의 출입을 가능하게 한다. 포드(111)는 미도시의 AMHS(Automated Material Handling Systems, 자동 웨이퍼 반송 시스템)에 의해 IO 스테이지(110)에 대하여 공급 및 배출된다.A cap 112 is installed on the pod 111 , and is opened and closed by the pod opener 121 . The pod opener 121 opens and closes the cap 112 of the pod 111 mounted on the IO stage 110 to open and close the substrate entrance and exit, thereby enabling the substrate 100 to enter and exit the pod 111 . do. The pod 111 is supplied to and discharged from the IO stage 110 by an automated material handling system (AMHS) (not shown).

IO 스테이지(110)는 대기 반송실(120)에 인접된다. 대기 반송실(120)은 IO 스테이지(110)와 다른 면에 후술하는 로드록 실(130)이 연결된다. 대기 반송실(120) 내에는 기판(100)을 이재하는 대기 로봇(122)이 설치된다.The IO stage 110 is adjacent to the standby transfer chamber 120 . The standby transfer chamber 120 is connected to the IO stage 110 and the load lock chamber 130 to be described later on a different surface. A standby robot 122 for transferring the substrate 100 is installed in the standby transfer chamber 120 .

대기 반송실(120)의 광체(127)의 전측에는 기판(100)을 대기 반송실(120)에 대하여 반입반출하기 위한 연통공(128)과, 포드 오프너(121)가 설치된다. 대기 반송실(120)의 광체(127)의 후측에는 기판(100)을 로드록 실(130)에 반입반출하기 위한 연통공(129)이 설치된다. 연통공(129)은 게이트 밸브(133)에 의해 개방 및 폐쇄하는 것에 의해 기판(100)의 출입을 가능하게 한다.A communication hole 128 for carrying the substrate 100 into and out of the air transfer chamber 120 and a pod opener 121 are provided on the front side of the housing 127 of the atmospheric transfer chamber 120 . A communication hole 129 for loading and unloading the substrate 100 into and out of the load lock chamber 130 is provided on the rear side of the housing 127 of the atmospheric transfer chamber 120 . The communication hole 129 enables the substrate 100 to enter and exit by opening and closing by the gate valve 133 .

(로드록 실)(Roadlock Seal)

로드록 실(130)은 대기 반송실(120)에 인접된다. 로드록 실(130)을 구성하는 광체(131)가 포함하는 면 중 대기 반송실(120)과 다른 면에는 후술하는 진공 반송실(140)이 배치된다.The load lock chamber 130 is adjacent to the standby transfer chamber 120 . A vacuum transfer chamber 140 to be described later is disposed on a surface different from the atmospheric transfer chamber 120 among surfaces included in the housing 131 constituting the load lock chamber 130 .

로드록 실(130) 내에는 기판(100)을 재치하는 재치면(135)을 적어도 2개 포함하는 기판 재치대(136)가 설치된다. 기판 재치면(135) 사이의 거리는 후술하는 로봇(170)의 암이 포함하는 엔드 이펙터 사이의 거리에 따라 설정된다.A substrate mounting table 136 including at least two mounting surfaces 135 on which the substrate 100 is mounted is installed in the load lock chamber 130 . The distance between the substrate mounting surfaces 135 is set according to the distance between the end effectors included in the arm of the robot 170, which will be described later.

(진공 반송실)(Vacuum transfer room)

기판 처리 장치(200)는 부압 하에서 기판(100)이 반송되는 반송 공간이 되는 반송실로서의 진공 반송실(트랜스퍼 모듈)(140)을 구비한다. 진공 반송실(140)은 단순히 반송실이라고 불러도 좋다. 진공 반송실(140)을 구성하는 광체(141)는 평면시가 오각형으로 형성되고, 오각형의 각(各) 변(邊)에는 로드록 실(130) 및 기판(100)을 처리하는 모듈(이하, PM이라고 부른다.)인 PM1 내지 PM4가 연결된다. 진공 반송실(140)의 대략 중앙부에는 부압 하에서 기판(100)을 이재(반송)하는 반송부로서의 로봇(170)이 플랜지(144)를 기부(基部)로서 설치된다.The substrate processing apparatus 200 includes a vacuum transfer chamber (transfer module) 140 as a transfer chamber serving as a transfer space in which the substrate 100 is transferred under a negative pressure. The vacuum transfer chamber 140 may be simply referred to as a transfer chamber. The housing 141 constituting the vacuum transfer chamber 140 is formed in a plan view pentagon, and at each side of the pentagon, a module for processing the load lock chamber 130 and the substrate 100 (hereinafter referred to as hereinafter). , referred to as PM) are connected to PM1 to PM4. In a substantially central portion of the vacuum transfer chamber 140 , a robot 170 serving as a transfer unit for transferring (transferring) the substrate 100 under a negative pressure is provided with a flange 144 as a base portion.

로드록 실(130)과 진공 반송실(140)은 연통공(142)을 개재하여 연통된다. 연통공(142)은 게이트 밸브(134)에 의해 개폐된다.The load lock chamber 130 and the vacuum transfer chamber 140 communicate with each other through a communication hole 142 . The communication hole 142 is opened and closed by the gate valve 134 .

진공 반송실(140) 내에 설치되는 로봇(170)은 엘리베이터(145) 및 플랜지(144)에 의해 진공 반송실(140)의 기밀성을 유지하면서 승강할 수 있도록 구성된다. 로봇(170)이 포함하는 2개의 암(172)은 승강 가능하도록 구성된다. 또한 도 2에서는 설명의 편의상 암(172)의 엔드 이펙터를 표시하고, 엔드 이펙터와 플랜지(144) 사이의 링크 구조 등은 생략한다.The robot 170 installed in the vacuum transfer chamber 140 is configured to be able to ascend and descend while maintaining the airtightness of the vacuum transfer chamber 140 by the elevator 145 and the flange 144 . The two arms 172 included in the robot 170 are configured to be liftable. In addition, in FIG. 2 , the end effector of the arm 172 is indicated for convenience of description, and the link structure between the end effector and the flange 144 is omitted.

진공 반송실(140)에 인접하는 PM1, PM2, PM3, PM4의 각각은 리액터(이하, RC라고 부른다.)가 설치된다. 구체적으로는 PM1에는 RC1, RC2가 설치된다. PM2에는 RC3, RC4가 설치된다. PM3에는 RC5, RC6이 설치된다. PM4에는 RC7, RC8이 설치된다.A reactor (hereinafter referred to as RC) is provided in each of PM1, PM2, PM3, and PM4 adjacent to the vacuum transfer chamber 140 . Specifically, RC1 and RC2 are provided in PM1. RC3 and RC4 are installed in PM2. RC5 and RC6 are installed in PM3. PM4 has RC7 and RC8 installed.

광체(141)의 측벽 중 각 RC와 대향하는 벽에는 도 4의 연통공(148)과 같은 연통공이 설치된다. 예컨대 도 2에 도시하는 바와 같이, RC5와 대향하는 벽에는 연통공(148-5)이 설치된다. 또한 도 4의 게이트 밸브(149)와 같은 게이트 밸브가 RC마다 설치된다. 예컨대 RC5에는 게이트 밸브(149-5)가 설치된다. 또한 RC1 내지 RC4, RC6 내지 RC8도 RC5와 마찬가지의 구성이므로 여기서는 설명을 생략한다.A communication hole, such as the communication hole 148 of FIG. 4 , is installed on a wall opposite to each RC among the sidewalls of the housing 141 . For example, as shown in FIG. 2, a communication hole 148-5 is provided in the wall facing RC5. Also, a gate valve such as the gate valve 149 of FIG. 4 is installed for each RC. For example, the gate valve 149-5 is provided in RC5. Also, RC1 to RC4 and RC6 to RC8 have the same configuration as RC5, and thus descriptions thereof will be omitted.

엘리베이터(145) 내에는 암(172)의 승강이나 회전을 제어하는 암 제어부(171)가 내장된다. 암 제어부(171)는 암(172)의 축을 지지하는 지지축(171a)과, 지지축(171a)을 승강시키거나 회전시키는 작동부(171b)를 주로 포함한다.In the elevator 145, an arm control unit 171 for controlling the elevation or rotation of the arm 172 is built-in. The arm control unit 171 mainly includes a support shaft 171a for supporting the shaft of the arm 172 and an operation part 171b for elevating or rotating the support shaft 171a.

작동부(171b)는 예컨대 승강을 실현하기 위한 모터를 포함하는 승강 기구(171c)와, 지지축(171a)을 회전시키기 위한 톱니바퀴 등의 회전 기구(171d)를 포함한다. 또한 엘리베이터(145) 내에는 암 제어부(171)의 일부로서 작동부(171b)에 승강·회전 지지하기 위한 지시부(171e)를 설치해도 좋다. 지시부(171e)는 컨트롤러(400)에 전기적으로 접속된다. 지시부(171e)는 컨트롤러(400)의 지시에 기초하여 작동부(171b)를 제어한다.The operation unit 171b includes, for example, a lifting mechanism 171c including a motor for realizing lifting and lowering, and a rotation mechanism 171d such as a gear for rotating the support shaft 171a. In addition, in the elevator 145 , as a part of the arm control unit 171 , an instruction unit 171e for lifting/rotating the operation unit 171b may be provided. The instruction unit 171e is electrically connected to the controller 400 . The instruction unit 171e controls the operation unit 171b based on an instruction from the controller 400 .

암(172)은 축을 중심으로 한 회전이나 연신(延伸)이 가능하다. 전술한 바와 같이, 로봇(170)의 축은 광체(141)의 거의 중심에 배치되지만, 축의 중심으로부터 각 RC의 기판 재치대(212)(후술)까지는 구조상의 제약으로 거리가 달라지는 경우가 있다. 예컨대 도 1에서 로봇(170)의 축 중심으로부터 RC8(또는 RC7)의 기판 재치대(212)까지의 거리(L1)는 로봇(170)의 축 중심으로부터 RC4(또는 RC3)의 기판 재치대(212)까지의 거리(L2)보다 짧게 구성된다.The arm 172 can rotate or extend about an axis. As described above, although the axis of the robot 170 is disposed almost at the center of the housing 141, the distance from the center of the axis to the substrate mounting table 212 (described later) of each RC may vary due to structural constraints. For example, in FIG. 1 , the distance L1 from the axis center of the robot 170 to the substrate mounting table 212 of the RC8 (or RC7) is the substrate mounting table 212 of the RC4 (or RC3) from the axis center of the robot 170 . ) is configured to be shorter than the distance L2.

로봇(170)이 회전이나 연신을 수행하는 것에 의해 RC와 로봇(170)의 축간의 거리가 다른 각각의 RC에 대해서도 기판(100)을 반송하거나 RC 내에서 기판(100)을 반출하거나 할 수 있다. 로봇(170)은 예컨대 컨트롤러(400)의 지시에 따라 RC에 웨이퍼를 반송 가능하게 한다.When the robot 170 rotates or extends, the substrate 100 can be transported or the substrate 100 can be transported within the RC even for each RC having a different distance between the RC and the axis of the robot 170 . . The robot 170 makes it possible to transfer the wafer to the RC according to, for example, an instruction of the controller 400 .

계속해서 배기부(160)를 설명한다. 광체(141)의 하방(下方)에는 배기부(160)가 설치된다. 구체적으로는 예컨대 광체(141)의 저벽(底壁)에 배기관(161)이 접속된다. 배기관(161)에는 광체(141) 중의 분위기를 소정의 압력으로 제어하는 압력 제어기인 APC(Auto Pressure Controller)(162)가 설치된다. APC(162)는 개도(開度) 조정 가능한 밸브체(미도시)를 포함하고, 컨트롤러(400)로부터의 지시에 따라 배기관(161)의 컨덕턴스를 조정한다. 또한 배기관(161)에는 밸브(163)가 설치된다. 배기관(161), APC(162), 밸브(163)를 총칭하여 반송실 배기부라고 부른다.Subsequently, the exhaust unit 160 will be described. An exhaust unit 160 is provided below the housing 141 . Specifically, for example, the exhaust pipe 161 is connected to the bottom wall of the housing 141 . An auto pressure controller (APC) 162 which is a pressure controller for controlling the atmosphere in the housing 141 to a predetermined pressure is installed in the exhaust pipe 161 . The APC 162 includes a valve body (not shown) capable of adjusting the opening degree, and adjusts the conductance of the exhaust pipe 161 according to an instruction from the controller 400 . In addition, a valve 163 is installed in the exhaust pipe 161 . The exhaust pipe 161 , the APC 162 , and the valve 163 are collectively referred to as a transfer chamber exhaust unit.

또한 배기관(161)의 하류에는 미도시의 DP(Dry Pump. 드라이 펌프)가 설치된다. DP는 배기관(161)을 개재하여 광체(141)의 분위기를 배기한다.Also, a dry pump (DP) (not shown) is installed downstream of the exhaust pipe 161 . The DP exhausts the atmosphere of the housing 141 through the exhaust pipe 161 .

진공 반송실(140)을 구성하는 광체(141)에는 수분 검출부(146)가 설치된다. 수분 검출부(146)는 컨트롤러(400)에 전기적으로 접속된다. 수분 검출부(146)는 진공 반송실(140) 내의 수분량을 검출하고, 검출한 수분량을 컨트롤러(400)에 송신하는 역할을 한다. 수분 검출부(146)는 단순히 검출부라고도 부른다.A moisture detection unit 146 is installed in the housing 141 constituting the vacuum transfer chamber 140 . The moisture detection unit 146 is electrically connected to the controller 400 . The moisture detection unit 146 serves to detect the amount of moisture in the vacuum transfer chamber 140 , and transmit the detected amount of moisture to the controller 400 . The moisture detection unit 146 is simply called a detection unit.

수분 검출부(146)는 후술할 이유에 의해 진공 반송실(140)의 수분량을 검출 가능한 곳에 설치된다. 수분량을 검지 가능한 곳이란 저온부, 예컨대 광체(141)의 천장(147)이나 로드록 실(130)측의 측벽(141a)(후술)의 근방을 말한다.The moisture detection unit 146 is installed in a place capable of detecting the amount of moisture in the vacuum transfer chamber 140 for a reason to be described later. The place where the moisture content can be detected means a low temperature part, for example, near the ceiling 147 of the housing 141 or the side wall 141a (described later) on the load lock chamber 130 side.

천장(147)에는 창(151)이 설치된다. 창(151)은 로봇(170)의 동작이 정상인지에 대한 여부를 확인하기 위해서 이용된다. 창(151)과 천장(147)을 구성하는 벽(147a)과의 사이에는 씰 부재로서의 O링(152)이 배치된다. O링(152)은 예컨대 고무제다. 이에 의해 진공 반송실(140) 내의 분위기를 밀폐한다. 창(151) 상에는 개체(蓋體)(153)가 설치된다.A window 151 is installed on the ceiling 147 . The window 151 is used to check whether the operation of the robot 170 is normal. An O-ring 152 as a seal member is disposed between the window 151 and the wall 147a constituting the ceiling 147 . The O-ring 152 is made of, for example, rubber. Thereby, the atmosphere in the vacuum transfer chamber 140 is sealed. An object 153 is installed on the window 151 .

광체(141)에는 광체(141)의 온도를 조정하는 칠러(매체)나 냉각수를 흘리기 위한 유로(154)가 설치되어도 좋다. 이러한 구조로 하는 것에 의해 광체(141)가 RC 내의 히터(213)(도 4 참조)의 영향을 받아도 과도한 온도 상승을 억제할 수 있다.The housing 141 may be provided with a chiller (medium) for adjusting the temperature of the housing 141 or a flow path 154 for flowing cooling water. By setting it as such a structure, even if the housing 141 receives the influence of the heater 213 (refer FIG. 4) in RC, an excessive temperature rise can be suppressed.

광체(141)에는 후술하는 저온부에 불활성 가스를 공급 가능한 불활성 가스 공급부(180)가 설치된다. 도 2에서는 예컨대 천장(147)에 설치된다. 불활성 가스 공급부(180)는 불활성 가스 공급관(181)을 포함하고, 불활성 가스 공급관(181)에는 상류 방향부터 순서대로 불활성 가스원(182), 유량 제어기(유량 제어부)인 매스 플로우 컨트롤러(MFC)(183) 및 개폐 밸브인 밸브(184)가 설치된다. 불활성 가스 공급관(181) 내에 공급되는 불활성 가스를 가열하는 가열부(185)를 설치해도 좋다.The housing 141 is provided with an inert gas supply unit 180 capable of supplying an inert gas to a low-temperature unit, which will be described later. In FIG. 2 , for example, it is installed on the ceiling 147 . The inert gas supply unit 180 includes an inert gas supply pipe 181, and the inert gas supply pipe 181 has an inert gas source 182 and a flow controller (flow control unit), in order from the upstream direction, a mass flow controller (MFC) ( 183) and a valve 184 that is an on/off valve are installed. A heating unit 185 for heating the inert gas supplied into the inert gas supply pipe 181 may be provided.

불활성 가스 공급관(181)의 선단(先端)에는 분산부(186)가 설치된다. 분산부(186)는 광체(141) 내에 불활성 가스를 분산 공급한다.A dispersing part 186 is provided at the front end of the inert gas supply pipe 181 . The dispersing unit 186 distributes and supplies the inert gas into the housing 141 .

주로 불활성 가스 공급관(181), MFC(183), 밸브(184), 분산부(186)에 의해 불활성 가스 공급부(180)가 구성된다. 가열부(185)를 불활성 가스 공급부(180)에 포함시켜도 좋다. 또한 불활성 가스 공급부(180)는 반송실(140)에 불활성 가스를 공급하는 구성이기도 하므로, 반송실계 가스 공급부라고도 부른다.The inert gas supply unit 180 is mainly composed of the inert gas supply pipe 181 , the MFC 183 , the valve 184 , and the dispersion unit 186 . The heating unit 185 may be included in the inert gas supply unit 180 . Also, since the inert gas supply unit 180 is configured to supply the inert gas to the transfer chamber 140 , it is also referred to as a transfer chamber-based gas supply unit.

계속해서 저온부와 고온부에 대해서 설명한다. 고온부란 예컨대 RC와 인접하는 벽(141b)이다. 기판(100)을 처리할 때, RC 내의 히터(213)에 의해 기판(100)은 가열된다. 그렇기 때문에 RC와 인접하는 벽(141b)은 히터(213)의 영향을 받아 다른 벽에 비해 고온이 된다. 이와 같이 히터(213) 등 RC가 포함하는 가열부의 영향에 의해 고온이 되는 부분을 고온부라고 부른다. 고온부를 포함하는 영역은 고온 영역, 또는 제1 영역이라고도 부른다.Subsequently, a low-temperature part and a high-temperature part are demonstrated. The hot portion is, for example, the wall 141b adjacent to the RC. When processing the substrate 100 , the substrate 100 is heated by the heater 213 in the RC. For this reason, the wall 141b adjacent to the RC is affected by the heater 213 and becomes hotter than the other walls. In this way, the portion that becomes high temperature under the influence of the heating portion included in the RC such as the heater 213 is called a high temperature portion. The region including the high-temperature portion is also referred to as a high-temperature region or a first region.

저온부는 고온부에 비해 낮은 온도의 부분을 말한다. 저온부를 포함하는 영역은 저온 영역, 또는 제2 영역이라고도 부른다. 저온부는 예컨대 천장(147)이나 연통공(142)을 구성하는 반송실(140)의 벽(141a)이며, 그것들을 구성하는 영역이다. 또한 O링(152)을 배치하는 영역도 저온 영역이라고 부른다. 이것들은 RC로부터 먼 위치에 있으므로 RC 중에 설치된 히터(213)의 영향을 받기 어렵다. 따라서 벽(141b)에 비해 온도가 낮다. 또한 천장(147) 등의 저온부는 외곽이 대기에 노출되기 때문에 수분이 부착되기 쉬운 실온에 가까워진다. 즉 수분이 부착하기 쉬운 구성이다.The low-temperature portion refers to a portion having a lower temperature than the high-temperature portion. The region including the low-temperature portion is also called a low-temperature region or a second region. The low-temperature portion is, for example, the wall 141a of the transfer chamber 140 constituting the ceiling 147 or the communication hole 142, and is a region constituting them. Also, the region in which the O-ring 152 is disposed is also referred to as a low-temperature region. Since these are located far from the RC, they are hardly affected by the heater 213 installed in the RC. Therefore, the temperature is lower than that of the wall 141b. In addition, the low-temperature part, such as the ceiling 147, is close to room temperature where moisture tends to adhere because the outside is exposed to the atmosphere. That is, it is a configuration in which moisture is easy to attach.

고온부는 예컨대 히터(213) 등 처리실 내의 가열부와 저온부 사이에 존재한다고도 말할 수 있다. 또한 저온부는 고온부와 로드록 실(130) 사이에 있다고 말할 수 있다. 또한 본 실시 형태에서는 저온이란 반송실(140) 안에서 수분이 부착하기 쉬운 정도로 낮은 온도(예컨대 100℃ 미만)를 나타낸다.It can also be said that the high temperature part exists between the heating part in the process chamber, such as the heater 213, and the low temperature part, for example. It can also be said that the low temperature section is between the high temperature section and the load lock seal 130 . In addition, in this embodiment, the low temperature refers to the temperature (for example, less than 100 degreeC) low enough to the extent that water|moisture content adheres easily in the transfer chamber 140 .

또한 천장(147)에서는, 예컨대 수평 방향에서의 중앙부는 각 RC로부터 이간되어 있기 때문에 히터(213)의 열 영향을 받기 어렵다. 연통공(142)의 근방도 마찬가지로 히터(213)의 열 영향을 받기 어렵다. 따라서 그것들의 구성은 저온이 된다.Moreover, in the ceiling 147, for example, since the central part in the horizontal direction is spaced apart from each RC, it is hard to be affected by the heat of the heater 213. Similarly, the vicinity of the communication hole 142 is hardly affected by the heat of the heater 213 . Therefore, their composition becomes low temperature.

또한 칠러를 흘리는 경우, 광체(141)는 예컨대 메인터넌스 담당자가 작업할 수 있는 정도의 온도(예컨대 실온)로 유지된다. 따라서 안정되어서 저온이 되기 때문에 저온부에는 보다 수분이 부착되기 쉽다.In addition, when the chiller is poured, the housing 141 is maintained at a temperature (eg, room temperature) that can be operated by, for example, a maintenance person. Therefore, since it is stable and becomes low temperature, water|moisture content adheres more easily to a low-temperature part.

이러한 저온부에서는 부착된 수분량이 많아진다는 문제가 있다. 저온부에 부착된 수분은 기판(100), 특히 기판 처리에서 가열된 처리 완료 기판(100)에 부착되고, 기판(100)상에서는 자연 산화막의 형성이나, 수분의 성분[수소(H)나 산소(O)]에 의한 의도치 않은 개질을 해버리는 경우가 생각된다.In such a low temperature part, there is a problem that the amount of attached moisture increases. Moisture adhering to the low-temperature portion adheres to the substrate 100, particularly the processed substrate 100 heated in the substrate process, and forms a natural oxide film on the substrate 100, or a component of moisture [hydrogen (H) or oxygen (O) )], unintentional reforming is considered.

전술한 바와 같이 RC와 로봇(170)의 축까지의 거리는 다르고, 그렇게 되면 기판(100)의 반송 거리도 다르기 때문에, 자연 산화막의 형성이나 의도치 않은 막의 개질 등의 상태는 기판이 처리된 RC마다에 다르다. 그렇기 때문에 제품 비율의 저하로 이어질 우려가 있다.As described above, the distance between the RC and the axis of the robot 170 is different, and in that case, the transport distance of the substrate 100 is also different. different in Therefore, there is a risk that it may lead to a decrease in the product ratio.

이에 대하여 종래 기술과 같이 광체(141)를 가열해서 수분을 제거하는 방법도 생각해볼 수 있지만, 그렇게 하면 예컨대 천장 부분의 O링(152)이나 로봇(170)을 구성하는 부품이 가열에 의해 열화될 우려가 있다. 따라서 반송실을 가열하는 것은 곤란하다.On the other hand, as in the prior art, a method of heating the housing 141 to remove moisture can be considered. There are concerns. Therefore, it is difficult to heat the transfer chamber.

그래서 본 실시 형태에서는 각각의 저온부에서 저온 상태를 유지하면서 수분을 제거한다. 그것을 실현하기 위해서 저온부에 대하여 국소적으로 불활성 가스를 공급한다. 구체적으로는 분산부(186)를 이용하여 저온부에 국소적으로 불활성 가스를 공급한다.Therefore, in the present embodiment, moisture is removed while maintaining a low-temperature state in each low-temperature part. In order to realize this, an inert gas is locally supplied to the low-temperature portion. Specifically, the inert gas is locally supplied to the low-temperature part using the dispersing part 186 .

다음으로 분산부(186)의 상세 구조에 대해서 도 3a 및 도 3b를 이용하여 설명한다. 도 3a는 로봇(170)으로부터 벽(141b)의 방향을 향해 본 분산부(186)의 도면이며, 도 3b는 도 3a의 A-A'에서의 단면도이다.Next, a detailed structure of the dispersion unit 186 will be described with reference to FIGS. 3A and 3B . 3A is a view of the dispersion unit 186 viewed from the robot 170 toward the direction of the wall 141b, and FIG. 3B is a cross-sectional view taken along line A-A' in FIG. 3A.

분산부(186)는 통 형상의 본체부(186a)로 주로 구성된다. 본체부(186a)에는 불활성 가스 공급관(181)이 접속된다. 본체부(186a)의 측방이며 로봇(170) 방향에는 불활성 가스 공급공으로서의 공(孔)(186b)이 설치된다. 본체부(186a)의 하방에는 불활성 가스 공급공으로서의 공(186c)이 설치되어도 좋다.The dispersion portion 186 is mainly composed of a cylindrical body portion 186a. An inert gas supply pipe 181 is connected to the body portion 186a. A hole 186b as an inert gas supply hole is provided on the side of the main body 186a and in the direction of the robot 170 . A hole 186c serving as an inert gas supply hole may be provided below the body portion 186a.

공(186b)의 높이 방향의 위치는 공(186b)으로부터 배출된 불활성 가스가 천장(147)에 충돌할 수 있는 정도의 높이이며, 예컨대 로봇(170) 중 가장 높은 위치에 배치된 암(172)과 천장(147) 사이의 높이 위치에 설치된다.The position in the height direction of the ball 186b is such that the inert gas discharged from the ball 186b can collide with the ceiling 147, for example, the arm 172 disposed at the highest position among the robots 170. and installed at a height between the ceiling 147 and the ceiling 147 .

공(186b)은 불활성 가스가 천장(147)의 내벽에 충돌하는 방향으로 개방되어도 좋다. 천장 방향으로 불활성 가스를 공급하는 것에 의해 천장(147)의 내벽(147a)에 부착된 수분과 불활성 가스가 충돌하기 때문에 수분을 물리적으로 벗겨낼 수 있다. 따라서 내벽(147a)이나 로봇(170)의 저온을 유지한 상태에서 내벽(147a)에 부착된 수분을 제거할 수 있다.The ball 186b may be opened in a direction in which the inert gas collides with the inner wall of the ceiling 147 . By supplying the inert gas in the direction of the ceiling, moisture adhering to the inner wall 147a of the ceiling 147 collides with the inert gas, so that moisture can be physically removed. Accordingly, moisture adhering to the inner wall 147a can be removed while maintaining the low temperature of the inner wall 147a or the robot 170 .

공(186b)은 수평 방향에서는 예컨대 O링(152)에 불활성 가스 공급할 수 있을만한 폭으로 구성된다. 구체적으로는 O링(152)의 지름과 동등 이상의 폭으로 한다. 이와 같이 하는 것에 의해 O링(152)의 주위에 부착된 수분과 불활성 가스가 충돌하여 수분을 물리적으로 벗겨낼 수 있다. 따라서 O링(152)을 열 변형시키지 않고 수분을 제거할 수 있다. 또한 여기서는 공(186b)을 하나의 슬릿 형상으로서 설명했지만 이에 한정되지 않고, 복수의 공으로 구성되어도 좋다. 복수의 공으로 구성된 경우, 가장 외측에 설치된 공끼리의 거리가 O링(152)의 지름과 동등 이상의 폭으로 한다.The ball 186b has a width sufficient to supply an inert gas to, for example, the O-ring 152 in the horizontal direction. Specifically, the width is equal to or greater than the diameter of the O-ring 152 . By doing in this way, the moisture adhering to the periphery of the O-ring 152 collides with the inert gas, and the moisture can be physically removed. Accordingly, moisture can be removed without thermally deforming the O-ring 152 . In addition, although the ball 186b was demonstrated as one slit shape here, it is not limited to this, You may be comprised with a several ball. In the case of being composed of a plurality of balls, the distance between the outermost balls is set to be equal to or greater than the diameter of the O-ring 152 .

공(186c)은 본체부(186a)의 하방에 설치된다. 공(186c)으로부터 공급된 불활성 가스는 로드록 실(130)에 인접하는 벽(141a)을 향해서 공급된다. 여기서 벽(141a)에 불활성 가스를 공급하는 이유를 설명한다. 포드에 보관된 미처리 기판(100)은 공장 내의 다양한 장소를 이동하기 때문에 기판 처리 장치(200)에 도달하기 전에 수분이 부착되는 경우가 있다. 부착된 수분은 로드록 실(130)로부터 진공 반송실(140)에 이동했을 때 진공 반송실(140) 내에 확산된다. 특히 연통공(142)의 근방에 배치되는 벽(141a)에 부착될 가능성이 높다.The ball 186c is installed below the body portion 186a. The inert gas supplied from the ball 186c is supplied toward the wall 141a adjacent to the load lock chamber 130 . Here, the reason for supplying the inert gas to the wall 141a will be described. Since the unprocessed substrate 100 stored in the pod moves to various places within the factory, moisture may adhere before reaching the substrate processing apparatus 200 . When the attached moisture moves from the load lock chamber 130 to the vacuum transfer chamber 140 , it diffuses into the vacuum transfer chamber 140 . In particular, it is highly likely to be attached to the wall 141a disposed in the vicinity of the communication hole 142 .

이에 대하여 공(186c)으로부터 공급된 벽(141a)을 향해서 불활성 가스를 공급하는 것에 의해 벽(141a)에 부착된 수분과 불활성 가스가 충돌되어 수분을 물리적으로 벗겨낼 수 있다. 따라서 벽(147a)이나 로봇(170)의 저온을 유지한 상태에서 수분량이 많은 벽(147a)에 부착된 수분을 효율적으로 제거할 수 있다.In contrast, by supplying the inert gas toward the wall 141a supplied from the ball 186c, the moisture adhering to the wall 141a collides with the inert gas, so that the moisture can be physically removed. Accordingly, moisture adhering to the wall 147a having a large amount of moisture can be efficiently removed while the low temperature of the wall 147a or the robot 170 is maintained.

공(186c) 중 벽(141a)과 평행되는 측의 폭은 연통공(142)의 폭과 동등하거나 또는 보다 크게 하는 것이 바람직하다. 로드록 실(130)을 통과한 미처리 기판(100)에 부착된 수분은 연통공(142)을 중심으로 확산되기 때문이다. 그래서 공(186c)의 폭을 연통공(142)의 폭과 동등하게 하는 것에 의해 벽(141a)의 내의 연통공(142)의 주위의 벽에 부착된 수분에 대하여, 불활성 가스를 확실하게 공급할 수 있다. 또한 연통공(142)의 폭보다 크게 하는 것에 의해 벽(141a) 중 연통공(142)의 측방 부분의 벽에 부착된 수분에 대하여 불활성 가스를 확실하게 공급할 수 있다.It is preferable that the width of the side parallel to the wall 141a among the balls 186c is equal to or larger than the width of the communication hole 142 . This is because the moisture attached to the unprocessed substrate 100 passing through the load lock seal 130 diffuses around the communication hole 142 . Therefore, by making the width of the hole 186c equal to the width of the communication hole 142, the inert gas can be reliably supplied to the moisture adhering to the wall around the communication hole 142 in the wall 141a. have. Moreover, by making it larger than the width of the communication hole 142, the inert gas can be reliably supplied with respect to the water|moisture content adhering to the wall of the side part of the communication hole 142 of the wall 141a.

공(186c) 중 벽(141a)과 평행되는 방향의 폭의 최대는 벽(141a)에 인접하는 반송실(140)이 대향하는 벽 사이의 거리로 한다. 보다 바람직하게는 벽(141a)의 폭으로 한다.The maximum of the width in the direction parallel to the wall 141a among the balls 186c is the distance between the walls facing the transfer chamber 140 adjacent to the wall 141a. More preferably, it is set as the width of the wall 141a.

분산부(186)로부터 공급되는 불활성 가스는 보다 바람직하게는 가열부(185)로 가열되는 것이 바람직하다. 불활성 가스를 가열하는 것에 의해 수분의 제거 효율을 높일 수 있다. 또한 불활성 가스를 공급할 때는 불활성 가스의 공급과 정지를 반복해도 좋다. 반복 수분과 불활성 가스를 충돌시키는 것에 의해 보다 효율적으로 물리적으로 제거할 수 있다.The inert gas supplied from the dispersing unit 186 is more preferably heated by the heating unit 185 . By heating an inert gas, the removal efficiency of a water|moisture content can be raised. In addition, when supplying an inert gas, you may repeat supply and stop of an inert gas. It can be physically removed more efficiently by making repeated moisture and an inert gas collide.

(프로세스 모듈)(Process module)

다음으로 PM에 대해서 RC를 중심으로 설명한다. 또한 PM1 내지 PM4는 각각 마찬가지의 구성이므로 여기서는 PM으로서 설명한다. 또한 RC1 내지 RC8도 각각 마찬가지의 구성이므로 여기서는 RC로서 설명한다.Next, the PM will be mainly described with respect to the RC. In addition, since PM1 to PM4 each have the same configuration, they will be described as PMs here. In addition, since RC1 to RC8 each have the same configuration, they will be described as RC here.

PM에 설치되는 2개의 RC는 후술하는 처리 공간(205)의 분위기가 혼재되지 않도록 RC 사이에 격벽을 설치하여 각 처리 공간(205)이 독립된 분위기가 되도록 구성된다.The two RCs installed in the PM are configured such that a partition wall is provided between the RCs so that the atmosphere of the processing space 205, which will be described later, is not mixed, so that each processing space 205 has an independent atmosphere.

도 4, 도 5를 이용하여 RC의 상세를 설명한다. 또한 인접되는 RC도 마찬가지의 구성이므로 여기서는 하나의 RC를 설명한다. 도 4에 도시하는 바와 같이, RC는 용기(202)를 구비한다. 용기(202)는 예컨대 횡단면이 원형이며 편평한 밀폐 용기로서 구성된다. 또한 용기(202)는 예컨대 알루미늄(Al)이나 스텐레스(SUS) 등의 금속 재료에 의해 구성된다. 용기(202) 내에는 실리콘 웨이퍼 등의 기판(100)을 처리하는 처리 공간(205)을 구성하는 처리실(201)과, 기판(100)을 처리 공간(205)에 반송할 때에 기판(100)이 통과하는 반송 공간을 포함하는 반송실(206)이 형성된다. 용기(202)는 상부 용기(202a)와 하부 용기(202b)로 구성된다. 상부 용기(202a)와 하부 용기(202b) 사이에는 칸막이 판(208)이 설치된다.The detail of RC is demonstrated using FIG.4, FIG.5. Also, since adjacent RCs have the same configuration, one RC will be described here. As shown in FIG. 4 , the RC includes a container 202 . The container 202 is, for example, circular in cross-section and is configured as a flat, closed container. Further, the container 202 is made of, for example, a metal material such as aluminum (Al) or stainless (SUS). In the container 202 , a processing chamber 201 constituting a processing space 205 for processing a substrate 100 such as a silicon wafer or the like, and the substrate 100 when the substrate 100 is transferred to the processing space 205 are stored therein. A conveyance chamber 206 containing a conveyance space through which it passes is formed. The container 202 is composed of an upper container 202a and a lower container 202b. A partition plate 208 is installed between the upper container 202a and the lower container 202b.

하부 용기(202b)의 측면에는 게이트 밸브(149)에 인접된 연통공(148)이 설치되고, 기판(100)은 연통공(148)을 개재하여 진공 반송실(140) 사이를 이동한다. 하부 용기(202b)의 저부(底部)에는 리프트 핀(207)이 복수 설치된다.A communication hole 148 adjacent to the gate valve 149 is provided on a side surface of the lower container 202b , and the substrate 100 moves between the vacuum transfer chambers 140 through the communication hole 148 . A plurality of lift pins 207 are provided at the bottom of the lower container 202b.

처리 공간(205)에는 기판(100)을 지지하는 기판 지지부(210)가 배치된다. 기판 지지부(210)는 기판(100)을 재치하는 기판 재치면(211)과, 기판 재치면(211)을 표면에 가지는 기판 재치대(212), 기판 재치대(212) 내에 설치된 가열부로서의 히터(213)를 주로 포함한다. 기판 재치대(212)에는 리프트 핀(207)이 관통하는 관통공(214)이 리프트 핀(207)과 대응하는 위치에 각각 설치된다.A substrate support 210 supporting the substrate 100 is disposed in the processing space 205 . The substrate support unit 210 includes a substrate mounting surface 211 on which the substrate 100 is placed, a substrate mounting table 212 having the substrate mounting surface 211 on its surface, and a heater provided in the substrate mounting table 212 as a heating unit. (213) is mainly included. Through-holes 214 through which the lift pins 207 pass are provided in the substrate mounting table 212 at positions corresponding to the lift pins 207 .

히터(213)에는 전력을 공급하기 위한 배선(222)이 접속된다. 배선(222)은 히터 제어부(223)에 접속된다. 히터 제어부(223)는 컨트롤러(400)에 전기적으로 접속된다. 컨트롤러(400)는 히터 제어부(223)를 제어해서 히터(213)를 가동시킨다.A wiring 222 for supplying electric power is connected to the heater 213 . The wiring 222 is connected to the heater control unit 223 . The heater control unit 223 is electrically connected to the controller 400 . The controller 400 controls the heater controller 223 to operate the heater 213 .

기판 재치대(212)는 샤프트(217)에 의해 지지된다. 샤프트(217)는 용기(202)의 저부를 관통하고, 또한 용기(202)의 외부에서 승강부(218)에 접속된다.The substrate mounting table 212 is supported by a shaft 217 . The shaft 217 passes through the bottom of the container 202 and is also connected to the lift 218 at the outside of the container 202 .

승강부(218)를 작동시켜서 샤프트(217) 및 기판 재치대(212)를 승강시키는 것에 의해 기판 재치대(212)는 재치면(211) 상에 재치되는 기판(100)을 승강시키는 것이 가능하도록 이루어진다.By operating the lifting unit 218 to raise and lower the shaft 217 and the substrate placing table 212 , the substrate placing table 212 can raise and lower the substrate 100 placed on the mounting surface 211 . is done

처리실(201)은 예컨대 후술하는 버퍼 구조(230)와 기판 재치대(212)로 구성된다. 또한 처리실(201)은 기판(100)을 처리하는 처리 공간(205)을 확보할 수 있으면 좋고, 다른 구조에 의해 구성되어도 좋다.The processing chamber 201 includes, for example, a buffer structure 230 and a substrate mounting table 212 , which will be described later. In addition, the processing chamber 201 may have as long as it can ensure the processing space 205 which processes the board|substrate 100, and may be comprised with another structure.

기판 재치대(212)는 기판(100) 반송 시에는 기판 재치면(211)이 연통공(148)에 대향하는 반송 포지션(P0)까지 하강하고, 기판(100) 처리 시에는 도 4에 도시되는 바와 같이 기판(100)이 처리 공간(205) 내의 처리 포지션이 될 때까지 상승한다.The substrate mounting table 212 descends to the transport position P0 where the substrate mounting surface 211 faces the communication hole 148 when the substrate 100 is transported, and when the substrate 100 is processed, it is shown in FIG. As shown, the substrate 100 is raised until it is in a processing position within the processing space 205 .

처리 공간(205)의 상부(상류측)에는 가스를 확산시키는 버퍼 구조(230)가 설치된다. 버퍼 구조(230)는 주로 덮개(231)로 구성된다. 덮개(231)에 설치된 가스 도입공(231a)과 연통하도록 덮개(231)에는 후술하는 제1 가스 공급부(240), 제2 가스 공급부(250)가 접속된다. 도 4에서는 가스 도입공(231a)이 하나밖에 도시되지 않지만 가스 공급부마다 가스 도입공을 설치해도 좋다.A buffer structure 230 for diffusing gas is installed in an upper portion (upstream side) of the processing space 205 . The buffer structure 230 is mainly composed of a cover 231 . A first gas supply unit 240 and a second gas supply unit 250 to be described later are connected to the lid 231 so as to communicate with the gas introduction hole 231a provided in the lid 231 . Although only one gas introduction hole 231a is shown in FIG. 4, a gas introduction hole may be provided for each gas supply part.

(배기부)(exhaust part)

계속해서 배기부(271)를 설명한다. 처리 공간(205)에는 배기관(272)이 연통된다. 배기관(272)은 처리 공간(205)에 연통하도록 상부 용기(202a)에 접속된다. 배기관(272)에는 처리 공간(205) 내를 소정의 압력으로 제어하는 압력 제어기인 APC(273)가 설치된다. APC(273)는 개도 조정 가능한 밸브체(미도시)를 포함하고, 컨트롤러(400)로부터의 지시에 따라 배기관(272)의 컨덕턴스를 조정한다. 또한 배기관(272)에서 APC(273)의 상류측에는 밸브(274)가 설치된다. 배기관(272)과 밸브(274), APC(273)를 총칭하여 배기부라고 부른다.Subsequently, the exhaust unit 271 will be described. An exhaust pipe 272 communicates with the processing space 205 . The exhaust pipe 272 is connected to the upper vessel 202a to communicate with the processing space 205 . The exhaust pipe 272 is provided with an APC 273 which is a pressure controller that controls the inside of the processing space 205 to a predetermined pressure. The APC 273 includes a valve body (not shown) with an adjustable opening degree, and adjusts the conductance of the exhaust pipe 272 according to an instruction from the controller 400 . In addition, a valve 274 is installed upstream of the APC 273 in the exhaust pipe 272 . The exhaust pipe 272, the valve 274, and the APC 273 are collectively referred to as an exhaust unit.

또한 배기관(272)의 하류에는 DP(Dry Pump. 드라이 펌프)(275)가 설치된다. DP(275)는 배기관(272)을 개재하여 처리 공간(205)의 분위기를 배기한다.Also, a dry pump (DP) 275 is installed downstream of the exhaust pipe 272 . The DP 275 exhausts the atmosphere of the processing space 205 via the exhaust pipe 272 .

다음으로 도 5를 이용하여 처리실(201)에 가스를 공급하는 가스 공급부를 설명한다. 또한 전술한 반송실계 가스 공급부와 구별하기 위해서 도 5에 설명하는 가스 공급부는 처리실계 가스 공급부라고도 부른다.Next, a gas supply unit for supplying gas to the processing chamber 201 will be described with reference to FIG. 5 . In addition, in order to distinguish it from the above-described transport chamber gas supply unit, the gas supply unit illustrated in FIG. 5 is also referred to as a processing chamber gas supply unit.

제1 가스 공급부(240)를 설명한다. 제1 가스 공급관(241)에는 상류 방향부터 순서대로 제1 가스원(242), 유량 제어기(유량 제어부)인 MFC(243) 및 개폐 밸브인 밸브(244)가 설치된다.The first gas supply unit 240 will be described. A first gas source 242 , an MFC 243 serving as a flow controller (flow control unit), and a valve 244 serving as an on/off valve are installed in the first gas supply pipe 241 in order from the upstream direction.

제1 가스원(242)은 제1 원소를 함유하는 제1 가스(「제1 원소 함유 가스」라고도 부른다.)원이다. 제1 원소 함유 가스는 원료 가스, 즉 처리 가스 중 하나이다. 여기서 제1 원소는 예컨대 실리콘(Si)이다. 즉 제1 원소 함유 가스는 예컨대 실리콘 함유 가스다. 구체적으로는 실리콘 함유 가스로서 모노실란(SiH4) 가스가 이용된다.The first gas source 242 is a source of a first gas containing a first element (also referred to as a “first element-containing gas”). The first element-containing gas is one of the source gases, that is, the processing gases. Here, the first element is, for example, silicon (Si). That is, the first element-containing gas is, for example, a silicon-containing gas. Specifically, monosilane (SiH 4 ) gas is used as the silicon-containing gas.

주로 제1 가스 공급관(241), MFC(243), 밸브(244)에 의해 제1 가스 공급부(240)가 구성된다.The first gas supply unit 240 is mainly configured by the first gas supply pipe 241 , the MFC 243 , and the valve 244 .

다음으로 제2 가스 공급부(250)를 설명한다. 제2 가스 공급관(251)에는 상류 방향부터 순서대로 제2 가스원(252), 유량 제어기(유량 제어부)인 MFC(253) 및 개폐 밸브인 밸브(254)가 설치된다.Next, the second gas supply unit 250 will be described. In the second gas supply pipe 251 , a second gas source 252 , an MFC 253 serving as a flow controller (flow control unit), and a valve 254 serving as an on/off valve are installed in order from the upstream direction.

제2 가스원(252)은 제2 원소를 함유하는 제2 가스(이하, 「제2 원소 함유 가스」라고도 부른다.)원이다. 제2 원소 함유 가스는 처리 가스 중 하나이다. 또한 제2 원소 함유 가스는 반응 가스 또는 개질 가스로서 생각해도 좋다.The second gas source 252 is a source of a second gas containing a second element (hereinafter, also referred to as a “second element-containing gas”). The second element-containing gas is one of the processing gases. In addition, the second element-containing gas may be considered as a reactive gas or a reforming gas.

여기서 제2 원소 함유 가스는 제1 원소와 다른 제2 원소를 함유한다. 제2 원소로서는 예컨대 산소(O), 질소(N), 탄소(C) 중 어느 하나이다. 여기서는 제2 원소 함유 가스는 예컨대 산소 함유 가스로서 설명한다. 구체적으로는 산소 함유 가스로서 산소 가스(O2)가 이용된다.Here, the second element-containing gas contains a second element different from the first element. The second element is, for example, any one of oxygen (O), nitrogen (N), and carbon (C). Here, the second element-containing gas is described as, for example, oxygen-containing gas. Specifically, oxygen gas (O 2 ) is used as the oxygen-containing gas.

주로 제2 가스 공급관(251), MFC(253), 밸브(254)에 의해 제2 가스 공급부(250)가 구성된다.The second gas supply unit 250 is mainly configured by the second gas supply pipe 251 , the MFC 253 , and the valve 254 .

또한 제1 가스 단체로 기판(100) 상에 막을 형성하는 경우에는 제2 가스 공급부(250)를 설치하지 않아도 좋다.In addition, when a film is formed on the substrate 100 with the first gas alone, the second gas supply unit 250 may not be provided.

(컨트롤러)(controller)

다음으로 도 6을 이용하여 컨트롤러(400)를 설명한다. 기판 처리 장치(200)는 각 부의 동작을 제어하는 컨트롤러(400)를 포함한다.Next, the controller 400 will be described with reference to FIG. 6 . The substrate processing apparatus 200 includes a controller 400 that controls the operation of each unit.

제어부(제어 수단)인 컨트롤러(400)는 CPU(Central Processing Unit)(401), RAM(Random Access Memory)(402), 기억 장치로서의 기억부(403), I/O 포트(404)를 구비한 컴퓨터로서 구성된다. RAM(402), 기억부(403), I/O 포트(404)는 내부 버스(405)를 개재하여 CPU(401)과 데이터 교환 가능하도록 구성된다. 기판 처리 장치(200) 내의 데이터의 송수신은 CPU(401)의 하나의 기능이기도 한 송수신 지시부(406)의 지시에 따라 수행된다.The controller 400 as a control unit (control means) includes a CPU (Central Processing Unit) 401 , a RAM (Random Access Memory) 402 , a storage unit 403 as a storage device, and an I/O port 404 . configured as a computer. The RAM 402 , the storage unit 403 , and the I/O port 404 are configured to be capable of exchanging data with the CPU 401 via an internal bus 405 . Data transmission/reception in the substrate processing apparatus 200 is performed according to an instruction of the transmission/reception instruction unit 406 , which is also a function of the CPU 401 .

CPU(401)는 판단부(407)를 더 포함한다. 판단부(407)는 기억부(403)에 기억된 테이블과, 수분 검출부(146)로 측정한 수분량의 관계를 분석하는 역할을 한다.The CPU 401 further includes a determination unit 407 . The determination unit 407 plays a role in analyzing the relationship between the table stored in the storage unit 403 and the amount of water measured by the water detection unit 146 .

상위 장치(270)에 네트워크를 개재하여 접속되는 네트워크 송수신부(283)가 설치된다. 네트워크 송수신부(283)는 로트 중의 기판(100)의 처리 이력이나 처리 예정에 관한 정보 등을 수신하는 것이 가능하다.A network transceiver 283 connected to the host device 270 via a network is installed. The network transceiver 283 can receive information about the processing history and processing schedule of the substrate 100 in the lot.

기억부(403)는 예컨대 플래시 메모리, HDD(Hard Disk Drive) 등으로 구성된다. 기억부(403) 내에는 기판 처리의 순서나 조건 등이 기재된 프로세스 레시피 등으로 구성되는 레시피(409)나, 기판 처리 장치의 동작을 제어하는 제어 프로그램(410)이 판독 가능하도록 격납된다. 또한 수분 검출부(146)가 검출한 데이터의 기록이나, 그 온도 데이터의 판독이 가능한 수분 정보 기억부(411)를 포함한다.The storage unit 403 is constituted of, for example, a flash memory, a HDD (Hard Disk Drive), or the like. In the storage unit 403, a recipe 409 composed of a process recipe or the like in which the order and conditions of substrate processing are described, and a control program 410 for controlling the operation of the substrate processing apparatus are stored so as to be readable. It also includes a moisture information storage unit 411 capable of recording the data detected by the moisture detecting unit 146 and reading the temperature data.

또한 프로세스 레시피는 후술하는 기판 처리 공정에서의 각 순서를 컨트롤러(400)에 실행시켜 소정의 결과를 얻을 수 있도록 조합된 것이며, 프로그램으로서 기능한다. 이하, 이 프로세스 레시피나 제어 프로그램 등을 총칭하여 단순히 프로그램이라고도 부른다. 또한 본 명세서에서 프로그램이라는 단어를 사용한 경우는 프로세스 레시피 단체만을 포함하는 경우, 제어 프로그램 단체만을 포함하는 경우, 또는 그 양방(兩方)을 포함하는 경우가 있다. 또한 RAM(402)은 CPU(401)에 의해 판독된 프로그램이나 데이터 등이 일시적으로 보지되는 메모리 영역(work area)으로서 구성된다.In addition, the process recipe is combined so that a predetermined result can be obtained by causing the controller 400 to execute each procedure in the substrate processing process to be described later, and functions as a program. Hereinafter, the process recipe, control program, and the like are collectively referred to as simply a program. In addition, when the word "program" is used in this specification, only the process recipe alone, the control program alone, or both are included in some cases. Further, the RAM 402 is configured as a memory area (work area) in which programs, data, etc. read by the CPU 401 are temporarily held.

I/O 포트(404)는 게이트 밸브(149), 승강 기구(218), 각 압력 조정기, 각 펌프, 히터 제어부(223) 등 PM의 각 구성에 접속된다.The I/O port 404 is connected to each configuration of the PM, such as the gate valve 149 , the lifting mechanism 218 , each pressure regulator, each pump, and the heater control unit 223 .

CPU(401)는 기억부(403)로부터의 제어 프로그램을 판독해서 실행하는 것과 함께, 입출력 장치(281)로부터의 조작 커맨드의 입력 등에 따라 기억부(403)로부터 프로세스 레시피를 판독하도록 구성된다. 그리고 CPU(401)는 판독된 프로세스 레시피의 내용을 따르도록 게이트 밸브(149)의 개폐 동작, 승강 기구(218)의 승강 동작, 수분 검출부(146), 히터 제어부(223), 각 펌프의 ON/OFF 제어, MFC의 유량 조정 동작, 밸브 등을 제어 가능하도록 구성된다.The CPU 401 is configured to read and execute a control program from the storage unit 403 and read a process recipe from the storage unit 403 in response to input of an operation command from the input/output device 281 or the like. Then, the CPU 401 performs the opening/closing operation of the gate valve 149, the raising/lowering operation of the lifting mechanism 218, the water detection unit 146, the heater control unit 223, and ON/OFF of each pump so as to follow the read process recipe. It is configured to be able to control OFF control, flow control operation of MFC, valves, etc.

또한 컨트롤러(400)는 전술한 프로그램을 격납한 외부 기억 장치[예컨대 하드 디스크 등의 자기(磁氣) 디스크, DVD 등의 광(光) 디스크, MO 등의 광자기 디스크, USB 메모리 등의 반도체 메모리](282)를 이용하여 컴퓨터에 프로그램을 인스톨하는 것 등에 의해 본 기술에 따른 컨트롤러(400)를 구성할 수 있다. 또한 컴퓨터에 프로그램을 공급하기 위한 수단은 외부 기억 장치(282)를 개재하여 공급하는 경우에 한정되지 않는다. 예컨대 인터넷이나 전용 회선 등의 통신 수단을 이용하여 외부 기억 장치(282)를 개재하지 않고 프로그램을 공급해도 좋다. 또한 기억부(403)나 외부 기억 장치(282)는 컴퓨터 판독 가능한 기록 매체로서 구성된다. 이하, 이들을 총칭하여 단순히 기록 매체라고도 부른다. 또한 본 명세서에서 기록 매체라는 단어를 사용한 경우는 기억부(403) 단체만을 포함하는 경우, 외부 기억 장치(282) 단체만을 포함하는 경우, 또는 그 양방을 포함하는 경우가 있다.In addition, the controller 400 includes an external storage device (eg, a magnetic disk such as a hard disk, an optical disk such as a DVD, a magneto-optical disk such as an MO, and a semiconductor memory such as a USB memory, which stores the above-described program. ] 282, the controller 400 according to the present technology can be configured by, for example, installing a program in a computer. In addition, the means for supplying the program to the computer is not limited to the case of supplying via the external storage device 282 . For example, the program may be supplied without interposing the external storage device 282 using a communication means such as the Internet or a dedicated line. In addition, the storage unit 403 and the external storage device 282 are configured as a computer-readable recording medium. Hereinafter, these are collectively referred to as simply a recording medium. In addition, when the word "recording medium" is used in this specification, only the storage unit 403 alone, the external storage device 282 alone, or both are included in some cases.

(2) 기판 처리 공정(2) substrate treatment process

다음으로 기판 처리 장치의 일 공정으로서 전술한 구성의 기판 처리 장치(200)를 이용하여 기판(100)에 막을 형성하는 막 처리 공정과 메인터넌스 공정에 대해서 설명한다. 또한 이하의 설명에서 기판 처리 장치를 구성하는 각 부의 동작은 컨트롤러(400)에 의해 제어된다.Next, as one process of the substrate processing apparatus, a film processing process and a maintenance process of forming a film on the substrate 100 using the substrate processing apparatus 200 having the above-described configuration will be described. In addition, in the following description, the operation of each unit constituting the substrate processing apparatus is controlled by the controller 400 .

여기서는 진공 반송실(140)과 하나의 RC에서의 기판 처리 방법을 예로서 설명한다.Here, a substrate processing method in the vacuum transfer chamber 140 and one RC will be described as an example.

(막 처리 공정)(Membrane treatment process)

막 처리 공정 중 하나인 기판 반송 공정을 설명한다. 대기 로봇(122)이 포드(111)로부터 기판(100)을 반출한다. 그 후, 대기 로봇(122)은 로드록 실(130)에 기판(100)을 이재한다. 이때 로드록 실(130)에 처리 완료된 기판(100)이 있으면 대기 로봇(122)은 처리 완료된 기판(100)을 포드(111)에 반송한다.A substrate transfer process, which is one of the film processing processes, will be described. The standby robot 122 unloads the substrate 100 from the pod 111 . Thereafter, the standby robot 122 transfers the substrate 100 to the load lock chamber 130 . At this time, if there is a processed substrate 100 in the load lock chamber 130 , the standby robot 122 transfers the processed substrate 100 to the pod 111 .

로드록 실(130)에서 분위기를 부압으로 하고 진공 반송실(140)과 같은 레벨의 압력이 되면 게이트 밸브(134)를 열림으로 한다. 로봇(170)은 로드록 실(130) 중의 미처리 기판(100)을 픽업하고 각 RC에 반송한다. 이때 미처리 기판(100)에 부착된 수분이 진공 반송실(140) 중에 확산된다.When the atmosphere in the load lock chamber 130 is set to a negative pressure and the pressure is at the same level as that of the vacuum transfer chamber 140 , the gate valve 134 is set to open. The robot 170 picks up the unprocessed substrate 100 in the load lock chamber 130 and transfers it to each RC. At this time, the moisture adhering to the unprocessed substrate 100 is diffused in the vacuum transfer chamber 140 .

각 RC에서는 소정 시간 기판(100)을 처리한 후, 게이트 밸브(149)를 열림(開)으로 한다. 로봇(170)은 RC 중의 처리 완료 기판(100)과, 로봇(170)이 지지하는 미처리 기판(100)을 교체하고 RC에 미처리 기판을 반입한다.In each RC, after processing the substrate 100 for a predetermined time, the gate valve 149 is opened. The robot 170 replaces the processed substrate 100 in the RC with the unprocessed substrate 100 supported by the robot 170 and loads the unprocessed substrate into the RC.

로봇(170)은 처리 완료 기판(100)을 로드록 실(130)에 반입한다.The robot 170 loads the processed substrate 100 into the load lock chamber 130 .

그동안 수분 검출부(146)는 진공 반송실(140)의 수분량을 검출한다. 수분량이 소정값 이상이 되면, 다음 기판 처리 전, 혹은 다음 로트 처리 전에 메인터넌스 공정을 실시한다. 수분량이 소정값 미만인 경우, 계속해서 기판(100)을 처리한다.In the meantime, the moisture detection unit 146 detects the amount of moisture in the vacuum transfer chamber 140 . When the moisture content is equal to or greater than a predetermined value, a maintenance step is performed before the next substrate treatment or before the next lot treatment. When the moisture content is less than a predetermined value, the substrate 100 is continuously processed.

계속해서 기판(100)을 처리하는 경우의 RC 내의 동작을 설명한다.Subsequently, the operation in the RC in the case of processing the substrate 100 will be described.

기판 재치대(212)를 기판(100)의 반송 위치[반송 포지션(P0)]까지 하강시켜 기판 재치대(212)의 관통공(214)에 리프트 핀(207)을 관통시킨다. 그 결과, 리프트 핀(207)이 기판 재치대(212) 표면보다 소정의 높이만큼만 돌출한 상태가 된다. 이 동작과 병행하여 반송실(206)의 분위기를 배기하고, 인접하는 진공 반송실(140)과 같은 압력, 혹은 인접하는 진공 반송실(140)의 압력보다 낮은 압력으로 한다.The board|substrate mounting table 212 is lowered to the conveyance position (transfer position P0) of the board|substrate 100, and the lift pin 207 is made to penetrate through the through hole 214 of the board|substrate mounting table 212. As shown in FIG. As a result, the lift pins 207 protrude only by a predetermined height from the surface of the substrate mounting table 212 . In parallel with this operation, the atmosphere in the transfer chamber 206 is evacuated, and the pressure is set to the same pressure as that of the adjacent vacuum transfer chamber 140 or a pressure lower than the pressure in the adjacent vacuum transfer chamber 140 .

계속해서 게이트 밸브(149)를 열고 반송실(206)을 인접하는 진공 반송실(140)과 연통시킨다. 그리고 로봇(170)이 기판(100)을 진공 반송실(140)로부터 반송실(206)에 반입하고 리프트 핀(207) 상에 재치한다.Subsequently, the gate valve 149 is opened to communicate the transfer chamber 206 with the adjacent vacuum transfer chamber 140 . Then, the robot 170 carries the substrate 100 from the vacuum transfer chamber 140 into the transfer chamber 206 and places it on the lift pins 207 .

리프트 핀(207) 상에 기판(100)이 재치되면, 기판 재치대(212)를 상승시키고, 기판 재치면(211) 상에 기판(100)을 재치하고, 또한 도 4와 같이 기판 처리 포지션까지 상승시킨다.When the board|substrate 100 is mounted on the lift pin 207, the board|substrate mounting table 212 is raised, the board|substrate 100 is mounted on the board|substrate mounting surface 211, and also to a board|substrate processing position as shown in FIG. elevate

기판(100)을 기판 재치면(211)에 재치할 때는 히터(213)에 전력을 공급하여 기판(100)의 표면이 소정의 온도가 되도록 제어된다. 기판(100)의 온도는 예컨대 실온 이상 800℃ 이하이며, 바람직하게는 실온 이상이며 500℃ 이하다. 이때 벽(141b)도 가열된다.When the substrate 100 is placed on the substrate mounting surface 211 , power is supplied to the heater 213 to control the surface of the substrate 100 to a predetermined temperature. The temperature of the substrate 100 is, for example, room temperature or higher and 800°C or lower, preferably room temperature or higher and 500°C or lower. At this time, the wall 141b is also heated.

다음으로 막 처리 공정 중 하나인 처리 가스 공급 공정을 설명한다. 기판(100)을 가열해서 원하는 온도에 달하면 제1 가스, 제2 가스를 처리실(201)에 공급한다. 공급 방법으로서는 예컨대 제1 가스와 제2 가스를 동시에 공급하거나, 혹은 교호(交互)적으로 공급해서 원하는 막을 형성한다. 여기서 말하는 원하는 막이란 예컨대 실리콘 산화막이다.Next, a process gas supply process, which is one of the film process processes, will be described. When the substrate 100 is heated to reach a desired temperature, the first gas and the second gas are supplied to the processing chamber 201 . As the supply method, for example, the first gas and the second gas are supplied simultaneously or alternately to form a desired film. The desired film here is, for example, a silicon oxide film.

기판(100) 상에 원하는 막이 형성되면, 반입 시와는 반대의 순서로 처리실로부터 기판(100)을 반출한다. 반송실(140)에서는 수분량이 소정값 미만이기 때문에 제품 비율의 저하를 억제할 수 있다.When a desired film is formed on the substrate 100 , the substrate 100 is unloaded from the processing chamber in a reverse order to that in the loading process. In the transfer chamber 140 , since the moisture content is less than a predetermined value, a decrease in the product ratio can be suppressed.

(메인터넌스 공정)(Maintenance process)

계속해서 메인터넌스 공정을 설명한다. 판단부(407)는 수분 검출부(146)가 검출한 수분량이 소정값 이상이라고 판단하면, 메인터넌스 공정으로 이행한다. 메인터넌스 공정은 기판(100)이 반송실(140)에 없는 상태에서 기판(100)의 처리에 관한 동작을 정지한 상태에서 수행한다. 예컨대 처리실(201)로의 가스 공급, 기판(100)의 반송 등을 정지한다.Subsequently, a maintenance process is demonstrated. When the determination unit 407 determines that the amount of moisture detected by the moisture detection unit 146 is equal to or greater than a predetermined value, the determination unit 407 proceeds to the maintenance process. The maintenance process is performed while the substrate 100 is not in the transfer chamber 140 and the operation related to the processing of the substrate 100 is stopped. For example, supply of gas to the processing chamber 201 , transport of the substrate 100 , etc. are stopped.

메인터넌스 공정에서는 불활성 가스 공급부(180)와 배기부(160)를 가동시킨다. 광체(141) 내에 불활성 가스를 공급하는 것에 의해 광체(141)의 저온부에 부착된 수분을 제거한다. 본 실시 형태에서는 분산부(186)의 공(186b)으로부터 저온부인 벽(147a)을 향해서 불활성 가스를 공급하는 것에 의해 벽(147a)에 부착된 수분을 제거한다. 구체적으로는 저온 영역을 구성하는 천장의 벽(147a)에 불활성 가스를 공급한다. 소정 시간 경과 후, 불활성 가스의 공급을 정지한다.In the maintenance process, the inert gas supply unit 180 and the exhaust unit 160 are operated. By supplying an inert gas into the housing 141 , moisture adhering to the low-temperature portion of the housing 141 is removed. In the present embodiment, moisture adhering to the wall 147a is removed by supplying an inert gas from the hole 186b of the dispersion unit 186 toward the wall 147a, which is a low temperature portion. Specifically, an inert gas is supplied to the wall 147a of the ceiling constituting the low-temperature region. After a predetermined time has elapsed, the supply of the inert gas is stopped.

또한 분산부(186)에 공(186c)이 설치된 경우에는 벽(141a) 방향으로 불활성 가스를 공급하여 벽(141a)에 부착된 수분을 제거해도 좋다.In addition, when the ball 186c is provided in the dispersion unit 186, an inert gas may be supplied in the direction of the wall 141a to remove moisture adhering to the wall 141a.

본 공정에서는 수분 검출부(146)가 검출한 수분량의 정보에 기초하여 불활성 가스의 공급량을 제어해도 좋다. 예컨대 수분 검출부(146)가 검출한 수분량이 소정값보다 많다고 판단부(407)가 판단한 경우에는 저온부에 부착된 수분량이 많다고 판단하고 불활성 가스의 공급량을 많게 해도 좋다. 이와 같이 하는 것에 의해 확실하게 수분을 제거할 수 있다.In this step, the supply amount of the inert gas may be controlled based on the information on the amount of water detected by the water detection unit 146 . For example, when the determination unit 407 determines that the amount of water detected by the water detection unit 146 is greater than the predetermined value, it is determined that the amount of water adhering to the low-temperature portion is large, and the supply amount of the inert gas may be increased. By doing in this way, water|moisture content can be reliably removed.

또한 예컨대 수분 검출부(146)가 검출한 수분량이 소정값보다 적다고 판단부(407)가 판단한 경우에는 저온부에 부착된 수분량이 적다고 판단하고 불활성 가스의 공급량을 적게 해도 좋다. 이 경우, 불활성 가스의 공급량을 억제할 수 있으므로 불활성 가스 사용량에 관한 비용을 저감할 수 있다.Further, for example, when the determination unit 407 determines that the amount of moisture detected by the moisture detection unit 146 is less than a predetermined value, it may be determined that the amount of moisture adhering to the low-temperature portion is small and the supply amount of the inert gas may be decreased. In this case, since the supply amount of the inert gas can be suppressed, the cost related to the amount of the inert gas used can be reduced.

수분 검출부(146)가 검출한 수분량의 정보에 기초하여 불활성 가스의 공급량을 제어하는 경우, 예컨대 수분 정보기억부(411)에 미리 수분량과 불활성 가스 공급량을 연관시킨 테이블을 갖게 해도 좋다. 그 경우, 판단부(407)는 검출한 수분량 데이터와 테이블을 비교하여 불활성 가스 공급량을 결정한다.When controlling the supply amount of the inert gas based on the information on the amount of moisture detected by the moisture detection unit 146, for example, the moisture information storage unit 411 may have a table in which the moisture amount and the inert gas supply amount are previously correlated. In this case, the determination unit 407 compares the detected moisture content data with the table to determine the inert gas supply amount.

또한 본 실시 형태에서는 소정 시간이 경과한 후에 불활성 가스의 공급을 정지했지만 이에 한정되지 않고, 수분 검출부(146)가 검출한 수분량이 소정값 이하라고 판단되면 불활성 가스의 공급을 정지해도 좋다.In the present embodiment, the supply of the inert gas is stopped after a predetermined time has elapsed, but the present invention is not limited thereto, and the supply of the inert gas may be stopped when the moisture amount detected by the moisture detection unit 146 is determined to be less than or equal to a predetermined value.

[제2 실시 형태][Second embodiment]

계속해서 도 7, 도 8을 이용하여 제2 실시 형태를 설명한다. 제2 실시 형태는 제1 실시 형태에 비해 분산부(186)의 구조가 다르다. 본 실시 형태에서는 분산부(186)에 연신부로서의 노즐(187)이 더 설치된다. 이하에서는 분산부(186) 및 노즐(187)을 중심으로 설명한다. 또한 다른 구성은 제1 실시 형태와 마찬가지이므로 설명을 생략한다. 또한 본 실시 형태에서의 저온 영역(제2 영역)은 후술하는 벽(147a)의 중앙 영역이다.Next, the second embodiment will be described with reference to FIGS. 7 and 8 . In the second embodiment, the structure of the dispersion unit 186 is different from that in the first embodiment. In the present embodiment, a nozzle 187 as an extension portion is further provided in the dispersion portion 186 . Hereinafter, the dispersion unit 186 and the nozzle 187 will be mainly described. In addition, since the other structure is the same as that of 1st Embodiment, description is abbreviate|omitted. In addition, the low-temperature region (second region) in the present embodiment is a central region of the wall 147a, which will be described later.

본 실시 형태에서의 분산부(186)는 도 3에 도시된 공(186b)을 설치하는 대신에 노즐(187)을 설치한다. 노즐(187)은 분산부(186)를 개재하여 공급관(181)에 연통된다. 노즐(187)에는 불활성 가스가 토출되는 공(187a)이 설치된다. 공(187a)은 벽(147a)을 향해서 해방된다. 노즐(187)은 상기 천장을 따라 연신된다.The dispersing part 186 in this embodiment installs the nozzle 187 instead of installing the ball 186b shown in FIG. The nozzle 187 communicates with the supply pipe 181 via the dispersing part 186 . A hole 187a through which an inert gas is discharged is installed in the nozzle 187 . Ball 187a is released towards wall 147a. A nozzle 187 extends along the ceiling.

노즐(187)은 벽(147a) 중 적어도 중앙부(중앙 영역)를 향해서 불활성 가스를 공급 가능하게 한다. 전술한 바와 같이 벽(147a)의 중앙부는 각 RC로부터 이간되어 있기 때문에 온도가 떨어지기 쉽고, 수분이 부착되기 쉽다. 이에 대하여, 본 구조로 하는 것에 의해 확실하게 벽(147a)의 중심에 불활성 가스를 공급할 수 있으므로 벽(147a)의 중앙부에 부착된 수분을 제거할 수 있다.The nozzle 187 makes it possible to supply the inert gas toward at least a central portion (central region) of the wall 147a. As described above, since the central portion of the wall 147a is spaced apart from each RC, the temperature tends to drop and moisture tends to adhere. On the other hand, by setting it as this structure, since an inert gas can be reliably supplied to the center of the wall 147a, the water|moisture content adhering to the center part of the wall 147a can be removed.

[제3 실시 형태][Third embodiment]

계속해서 도 9를 이용하여 제3 실시 형태를 설명한다. 제3 실시 형태는 제1 실시 형태에 비해 분산부(186)의 구조가 다르다. 본 실시 형태에서는 분산부(186)에서의 공의 개방 방향이 다르다. 이하에서는 분산부(186)를 중심으로 설명한다. 또한 다른 구성은 제1 실시 형태와 마찬가지이므로 설명을 생략한다. 또한 본 실시 형태에서의 저온 영역(제2 영역)은 후술하는 바와 같이 복수의 처리실 사이의 벽, 또는 로드록 실과 처리실 사이의 벽으로 구성되는 영역을 나타낸다.Next, a third embodiment will be described with reference to FIG. 9 . In the third embodiment, the structure of the dispersion unit 186 is different from that in the first embodiment. In this embodiment, the opening direction of the ball in the dispersion unit 186 is different. Hereinafter, the dispersion unit 186 will be mainly described. In addition, since the other structure is the same as that of 1st Embodiment, description is abbreviate|omitted. In addition, as described later, the low-temperature region (second region) in the present embodiment indicates a region composed of a wall between a plurality of processing chambers or a wall between a load-lock chamber and a processing chamber.

도 9에 도시하는 바와 같이, 본 실시 형태에서의 분산부(186)에는 공(186d, 186e, 186f, 186g, 186h)이 설치된다. 계속해서 공(186d 내지 186h)의 개방 방향에 대해서 설명한다. 각각의 공(186d 내지 186h)은 화살표로 기재되는 바와 같이, 저온부인 벽(191), 벽(192), 벽(193), 벽(194), 벽(195)에 불활성 가스를 공급 가능하도록 개방된다.As shown in FIG. 9 , balls 186d, 186e, 186f, 186g, and 186h are provided in the dispersion unit 186 in the present embodiment. Subsequently, the opening direction of the balls 186d to 186h will be described. Each of the balls 186d to 186h is opened to allow supply of an inert gas to the cold sections, wall 191 , wall 192 , wall 193 , wall 194 , and wall 195 , as indicated by arrows. do.

계속해서 벽(191), 벽(192), 벽(193), 벽(194), 벽(195)에 대해서 설명한다. 전술한 바와 같이, 광체(141) 중 RC의 근방에서는 히터(213)의 영향에 의해 고온이 된다. 특히 RC와 광체를 연통하는 연통공(148)이나 연통공(148)이 구성되는 벽(141b)에서는 온도가 높아진다. 하지만 인접하는 RC 사이, 또는 RC와 로드록 챔버(130) 사이에 구성되는 벽(191), 벽(192), 벽(193), 벽(194), 벽(195)은 히터(213)의 영향을 받기 어려워지기 때문에 연통공(148) 근방에 비해 온도가 낮아진다. 특히 광체(141)에 칠러 또는 냉각수를 흘리는 경우, 인접하는 RC 사이, 또는 RC와 로드록 챔버(130) 사이는 보다 저온이 된다. 그렇게 되면 그것들의 벽에는 수분이 부착되기 쉬워진다.Subsequently, the wall 191, the wall 192, the wall 193, the wall 194, and the wall 195 are demonstrated. As described above, in the vicinity of the RC in the housing 141, the temperature becomes high under the influence of the heater 213 . In particular, the temperature increases in the communication hole 148 or the wall 141b in which the communication hole 148 communicates with the RC and the housing. However, the wall 191 , the wall 192 , the wall 193 , the wall 194 , and the wall 195 configured between the adjacent RCs or between the RC and the load lock chamber 130 are affected by the heater 213 . Since it becomes difficult to receive the temperature, the temperature is lowered compared to the vicinity of the communication hole 148 . In particular, when a chiller or cooling water flows through the housing 141 , the temperature between adjacent RCs or between the RCs and the load lock chamber 130 becomes lower. This makes it easy for moisture to adhere to their walls.

그래서 본 실시 형태에서는 인접하는 RC 사이, 또는 RC와 로드록 챔버(130) 사이의 벽에 부착된 수분을 제거 가능하도록 그 부분에 대하여 불활성 가스를 공급 가능한 구조로 한다.Therefore, in the present embodiment, a structure in which an inert gas can be supplied to the adjacent RCs or to the part so that moisture adhering to the wall between the RCs and the load lock chamber 130 can be removed.

구체적으로는 로드록 실(130)과 RC1이 인접하는 벽(191)에 대해서는 벽(191)에 불활성 가스를 공급 가능하도록 공(186d)이 벽(191)을 향하도록 구성된다. RC2와 RC3이 인접하는 벽(192)에 대해서는 벽(192)에 불활성 가스를 공급 가능하도록 공(186e)이 벽(192)을 향하도록 구성된다. RC4와 RC5가 인접하는 벽(193)에 대해서는 벽(193)에 불활성 가스를 공급 가능하도록 공(186f)이 벽(193)을 향하도록 구성된다. RC6과 RC7이 인접하는 벽(194)에 대해서는 벽(194)에 불활성 가스를 공급 가능하도록 공(186g)이 벽(194)을 향하도록 구성된다. 로드록 실(130)과 RC8이 인접하는 벽(195)에 대해서는 벽(195)에 불활성 가스를 공급 가능하도록 공(186h)이 벽(195)을 향하도록 구성된다.Specifically, for the wall 191 adjacent to the load lock chamber 130 and RC1, the ball 186d is configured to face the wall 191 so that an inert gas can be supplied to the wall 191. For the wall 192 where RC2 and RC3 are adjacent to each other, the ball 186e is configured to face the wall 192 so as to supply an inert gas to the wall 192 . For the wall 193 where RC4 and RC5 are adjacent to each other, the ball 186f is configured to face the wall 193 so that an inert gas can be supplied to the wall 193 . For the wall 194 where RC6 and RC7 are adjacent, the ball 186g is configured to face the wall 194 so as to be able to supply an inert gas to the wall 194 . For the wall 195 adjacent to the load lock seal 130 and the RC8, the ball 186h is configured to face the wall 195 so as to supply an inert gas to the wall 195 .

분산부(186)에서는 각 공(186d 내지 186h)을 구성 가능하도록 각 벽(191), 벽(192), 벽(193), 벽(194), 벽(195)과 대향하는 면을 설치하는 것과 함께, 그 면에 각 공(186d 내지 186h)을 설치한다. 이러한 구성으로 하는 것에 의해 인접하는 RC 사이, 또는 RC와 로드록 챔버(130) 사이의 벽에 부착된 수분을 확실하게 제거할 수 있다. 또한 벽(192), 벽(193), 벽(194), 벽(195)은 각 연통공 사이에 배치되므로 연통공 사이의 벽이라고도 부른다.In the dispersion unit 186, each of the balls 186d to 186h is configured with each wall 191, a wall 192, a wall 193, a wall 194, and a surface facing the wall 195 is provided. Together, each ball 186d to 186h is installed on its surface. By setting it as such a structure, the water|moisture content adhering to the wall between adjacent RCs or between RC and the load-lock chamber 130 can be removed reliably. Also, since the wall 192, the wall 193, the wall 194, and the wall 195 are disposed between the respective communication holes, they are also called walls between the communication holes.

[제4 실시 형태][Fourth embodiment]

계속해서 도 10, 도 11을 이용하여 제4 실시 형태를 설명한다. 제4 실시 형태는 제3 실시 형태에 비해 분산부(186)의 구조가 다르다. 본 실시 형태에서는 분산부(186)에 연신부로서의 복수의 노즐(188)[즉 노즐(188-1) 내지 노즐(188-3)]이 더 설치된다. 이하에서는 분산부(186) 및 노즐(188)을 중심으로 설명한다. 또한 다른 구성은 제3 실시 형태와 마찬가지이므로 설명을 생략한다. 또한 본 실시 형태에서의 저온 영역(제2 영역)은 후술하는 바와 같이, 복수의 처리실 사이의 벽, 또는 로드록 실과 처리실 사이의 벽으로 구성되는 영역을 나타낸다.Next, a fourth embodiment will be described with reference to FIGS. 10 and 11 . In the fourth embodiment, the structure of the dispersion unit 186 is different from that of the third embodiment. In the present embodiment, a plurality of nozzles 188 (that is, nozzles 188-1 to 188-3) as extension parts are further provided in the dispersion part 186 . Hereinafter, the dispersion unit 186 and the nozzle 188 will be mainly described. In addition, since the other structure is the same as that of 3rd Embodiment, description is abbreviate|omitted. In addition, as described later, the low-temperature region (second region) in the present embodiment indicates a region constituted by a wall between a plurality of processing chambers or a wall between a load-lock chamber and a processing chamber.

본 실시 형태에서의 분산부(186)는 제3 실시 형태와 마찬가지로 공(186d), 공(186h)을 설치한다. 제3 실시 형태와 마찬가지로, 공(186d)은 벽(191)을 향해서 불활성 가스를 공급 가능하게 하고, 공(186h)은 벽(195)을 향해서 불활성 가스를 공급 가능하게 한다.The dispersion unit 186 in the present embodiment is provided with balls 186d and 186h as in the third embodiment. Similar to the third embodiment, the ball 186d makes it possible to supply the inert gas toward the wall 191 , and the ball 186h makes it possible to supply the inert gas toward the wall 195 .

또한 공(186e) 대신에 노즐(188-1)을 설치하고, 공(186f) 대신에 노즐(188-2)을 설치하고, 공(186g) 대신에 노즐(188-3)을 설치한다. 도 11에 도시하는 바와 같이, 각 노즐(188)의 선단에는 공(188b)이 설치된다. 공(188b)은 벽(192), 벽(193), 벽(194)의 근방에 배치되고, 벽(192), 벽(193), 벽(194)에 불활성 가스를 공급 가능한 구성으로 이루어진다.Also, a nozzle 188-1 is provided in place of the ball 186e, a nozzle 188-2 is installed in place of the ball 186f, and a nozzle 188-3 is installed in place of the ball 186g. As shown in FIG. 11 , a ball 188b is provided at the tip of each nozzle 188 . The ball 188b is disposed in the vicinity of the wall 192 , the wall 193 , and the wall 194 , and is configured to be capable of supplying an inert gas to the wall 192 , the wall 193 , and the wall 194 .

이러한 구성으로 하는 것에 의해 벽(192), 벽(193), 벽(194)에 확실하게 불활성 가스를 반송 가능하게 한다. 따라서 RC 사이의 저온부에 부착된 수분을 보다 확실하게 제거 가능하다.By setting it as such a structure, an inert gas can be reliably conveyed to the wall 192, the wall 193, and the wall 194. Therefore, it is possible to more reliably remove the moisture adhering to the low-temperature part between the RCs.

또한 도 11에 도시하는 바와 같이, 각 노즐(188)에서 공(188b)과 분산부(186) 사이에 공(188a)을 설치해도 좋다. 공(188a)은 도 8에 도시된 공(187a)과 마찬가지로 벽(147a)을 향해서 공급한다. 이러한 구성으로 하는 것에 의해 벽(147a)에 부착된 수분을 제거 가능하게 한다.Moreover, as shown in FIG. 11, you may provide the ball 188a between the ball 188b and the dispersion|distribution part 186 in each nozzle 188. The ball 188a is fed toward the wall 147a, similar to the ball 187a shown in FIG. 8 . By setting it as such a structure, the water|moisture content adhering to the wall 147a can be removed.

본 실시 형태에서는 노즐(188)을 이용하여 불활성 가스를 벽(192)으로부터 벽(194)에 반송했지만 이에 한정되지 않고, 예컨대 벽(147a)으로부터 각 공간에 직접 불활성 가스를 공급하는 구성이어도 좋다. 예컨대 천장(147)에 각 공간의 상방 부분 불활성 가스를 공급 가능한 불활성 가스 공급공을 설치하고, 그것들의 불활성 가스 공급공으로부터 각 공간에 불활성 가스를 공급해도 좋다.In this embodiment, although the inert gas is conveyed from the wall 192 to the wall 194 using the nozzle 188, it is not limited to this, For example, the structure which supplies an inert gas directly to each space from the wall 147a may be sufficient. For example, the ceiling 147 may be provided with inert gas supply holes capable of supplying the inert gas in the upper part of each space, and the inert gas may be supplied to each space from these inert gas supply holes.

[다른 실시 형태][Other embodiment]

이상, 실시 형태를 구체적으로 설명했지만, 본 기술은 전술한 각 실시 형태에 한정되지 않고, 그 요지를 일탈하지 않는 범위에서 다양한 변경이 가능하다.As mentioned above, although embodiment was demonstrated concretely, this technology is not limited to each embodiment mentioned above, A various change is possible in the range which does not deviate from the summary.

예컨대 전술한 각 실시 형태에서는 기판 처리 장치가 수행하는 성막 처리에서 제1 원소 함유 가스(제1 처리 가스)로서 모노실란 가스를 이용하고, 제2 원소 함유 가스(제2 처리 가스)로서 O2 가스를 이용하여 막을 형성하는 예를 제시했지만 이에 한정되지 않고, 다른 종류의 가스를 이용하여 다른 종류의 박막을 형성해도 좋다.For example, in each of the above-described embodiments, monosilane gas is used as the first element-containing gas (first processing gas) in the film forming process performed by the substrate processing apparatus, and O 2 gas is used as the second element-containing gas (second processing gas). Although an example of forming a film using

또한 여기서는 2종류의 가스를 공급하는 예를 이용했지만 이에 한정되지 않고, 1종류의 가스나 3종류 이상의 가스를 공급해서 막을 형성해도 좋다.In addition, although the example of supplying two types of gases was used here, it is not limited to this, One type of gas or three or more types of gases may be supplied and a film|membrane may be formed.

100: 기판 130: 로드록 실
140: 반송실 146: 수분 검출부
180: 불활성 가스 공급부 PM: 모듈
RC: 리액터 200: 기판 처리 장치
201: 처리실 213: 히터
400: 컨트롤러
100: substrate 130: load lock seal
140: transfer room 146: moisture detection unit
180: inert gas supply PM: module
RC: Reactor 200: Substrate processing unit
201 processing chamber 213 heater
400: controller

Claims (21)

히터를 포함하는 처리실;
로드록 실;
상기 처리실과 상기 로드록 실 사이에 설치되고, 상기 처리실측의 제1 영역과 상기 제1 영역보다 상기 로드록 실측이며 상기 제1 영역보다 낮은 온도인 제2 영역을 포함하는 반송실;
상기 반송실에서의 수분량을 검출하는 검출부; 및
상기 반송실의 내부에서 상기 제2 영역을 향해서 불활성 가스를 공급 가능한 불활성 가스 공급부
를 포함하는 기판 처리 장치.
a processing chamber including a heater;
load lock seal;
a transfer chamber provided between the processing chamber and the load lock chamber, the transfer chamber including a first region on the processing chamber side and a second region on the load lock chamber side than the first region and having a lower temperature than the first region;
a detection unit for detecting the amount of moisture in the transfer chamber; and
An inert gas supply unit capable of supplying an inert gas from the inside of the transfer chamber toward the second region
A substrate processing apparatus comprising a.
제1항에 있어서,
상기 제2 영역은 상기 반송실의 천장을 구성하는 영역이며,
상기 불활성 가스 공급부는 상기 반송실의 제2 영역을 향해서 공급 가능한 공급공을 포함하는 기판 처리 장치.
According to claim 1,
The second area is an area constituting the ceiling of the transfer room,
and the inert gas supply unit includes a supply hole that can be supplied toward the second region of the transfer chamber.
제1항에 있어서,
상기 반송실의 천장에는 창과, 천장을 구성하는 벽과 상기 창 사이에 배치된 씰 부재가 설치되고,
상기 제2 영역은 상기 천장을 구성하는 영역이며,
상기 불활성 가스 공급부는 상기 천장을 구성하는 벽을 향해서 불활성 가스를 공급 가능한 공급공을 포함하는 기판 처리 장치.
According to claim 1,
A window and a seal member disposed between a wall constituting the ceiling and the window are installed on the ceiling of the transfer room,
The second area is an area constituting the ceiling,
The inert gas supply unit includes a supply hole capable of supplying an inert gas toward a wall constituting the ceiling.
제1항에 있어서,
상기 반송실의 천장에는 창과, 천장을 구성하는 벽과 상기 창 사이에 배치된 씰 부재가 설치되고,
상기 제2 영역은 상기 씰 부재가 배치되는 영역이며,
상기 불활성 가스 공급부는 상기 씰 부재를 향해서 불활성 가스를 공급 가능한 공급공을 포함하는 기판 처리 장치.
According to claim 1,
A window and a seal member disposed between a wall constituting the ceiling and the window are installed on the ceiling of the transfer room,
The second area is an area in which the seal member is disposed,
The inert gas supply unit includes a supply hole capable of supplying an inert gas toward the seal member.
제1항에 있어서,
상기 불활성 가스 공급부에는 상기 불활성 가스 공급부와 연통하고 천장을 따라 연신(延伸)하는 연신부가 설치되고,
상기 제2 영역은 상기 천장을 구성하는 영역이며,
상기 연신부는 불활성 가스를 공급 가능한 공급공을 포함하는 기판 처리 장치.
According to claim 1,
An extension unit communicating with the inert gas supply unit and extending along the ceiling is installed in the inert gas supply unit,
The second area is an area constituting the ceiling,
The stretching unit includes a supply hole capable of supplying an inert gas.
제1항에 있어서,
상기 불활성 가스 공급부에는 상기 불활성 가스 공급부와 연통하고 천장을 따라 연신하는 연신부가 설치되고,
상기 제2 영역은 상기 천장을 구성하는 영역이며,
상기 연신부는 상기 천장 방향으로 불활성 가스를 공급 가능한 공급공을 포함하는 기판 처리 장치.
According to claim 1,
An extension unit communicating with the inert gas supply unit and extending along the ceiling is installed in the inert gas supply unit,
The second area is an area constituting the ceiling,
The stretching unit includes a supply hole capable of supplying the inert gas in the ceiling direction.
제1항에 있어서,
상기 불활성 가스 공급부에는 상기 불활성 가스 공급부와 연통하고 천장에 따라 연신하는 연신부가 설치되고,
상기 제2 영역은 상기 천장의 중앙부인 기판 처리 장치.
According to claim 1,
An extension unit communicating with the inert gas supply unit and extending along the ceiling is installed in the inert gas supply unit,
The second region is a central portion of the ceiling.
제1항에 있어서,
상기 제2 영역은 상기 반송실을 구성하는 벽 중 상기 로드록 실에 인접하는 벽을 구성하는 영역인 기판 처리 장치.
According to claim 1,
The second region is a region constituting a wall adjacent to the load lock chamber among walls constituting the transfer chamber.
제1항에 있어서,
상기 제2 영역은 상기 로드록 실과 상기 반송실을 연통하는 연통공을 구성하는 영역이며,
상기 불활성 가스 공급부는 상기 연통공을 향해서 공급 가능한 공급공을 포함하는 기판 처리 장치.
According to claim 1,
The second region is a region constituting a communication hole for communicating the load lock chamber and the transfer chamber;
The inert gas supply unit includes a supply hole that can be supplied toward the communication hole.
제9항에 있어서,
상기 공급공은 상기 연통공의 폭보다 넓은 폭으로 구성되는 기판 처리 장치.
10. The method of claim 9,
The supply hole is configured to have a width wider than that of the communication hole.
제10항에 있어서,
상기 제2 영역은 복수의 상기 처리실 사이의 벽 또는 상기 로드록 실과 상기 처리실 사이의 벽으로 구성되는 영역인 기판 처리 장치.
11. The method of claim 10,
The second region is a region constituted by a wall between a plurality of the processing chambers or a wall between the load lock chamber and the processing chamber.
제1항에 있어서,
상기 제2 영역은 상기 반송실과 상기 처리실을 연통시키는 복수의 연통공 사이의 벽으로 구성되는 영역인 기판 처리 장치.
According to claim 1,
The second region is a region constituted by a wall between a plurality of communication holes for communicating the transfer chamber and the processing chamber.
제1항에 있어서,
상기 제2 영역은 상기 반송실과 상기 처리실을 연통시키는 복수의 연통공 사이의 벽으로 구성되는 영역이며,
상기 불활성 가스 공급부는 상기 연통공 사이의 벽에 불활성 가스를 공급 가능하도록 상기 벽의 상방(上方)에 공급공을 설치하는 기판 처리 장치.
According to claim 1,
The second region is a region composed of a wall between a plurality of communication holes for communicating the transfer chamber and the processing chamber,
and the inert gas supply unit provides a supply hole above the wall so that the inert gas can be supplied to the wall between the communication holes.
제1항에 있어서,
상기 제2 영역은 복수의 상기 처리실 사이의 벽 또는 상기 로드록 실과 상기 처리실 사이의 벽으로 구성되는 영역이며,
상기 불활성 가스 공급부에는 상기 불활성 가스 공급부와 연통하고 상기 제2 영역을 향해서 연신하는 연신부가 설치되고,
상기 연신부는 상기 제2 영역에 불활성 가스를 공급 가능하도록 하는 기판 처리 장치.
According to claim 1,
the second region is a region consisting of a wall between a plurality of the processing chambers or a wall between the loadlock chamber and the processing chamber;
An extension unit communicating with the inert gas supply unit and extending toward the second region is installed in the inert gas supply unit,
The stretching unit may be configured to supply an inert gas to the second region.
제1항에 있어서,
상기 불활성 가스 공급부는 상기 불활성 가스를 가열하는 히터를 포함하는 기판 처리 장치.
According to claim 1,
The inert gas supply unit includes a heater configured to heat the inert gas.
제1항에 있어서,
상기 불활성 가스 공급부는 상기 불활성 가스의 공급과 정지를 교호(交互)적으로 반복하도록 제어되는 기판 처리 장치.
According to claim 1,
The inert gas supply unit is controlled to alternately repeat supply and stop of the inert gas.
제1항에 있어서,
상기 불활성 가스 공급부는 상기 불활성 가스를 상기 반송실에 기판이 없는 상태에서 공급하는 기판 처리 장치.
According to claim 1,
The inert gas supply unit supplies the inert gas in a state where there is no substrate in the transfer chamber.
제1항에 있어서,
상기 불활성 가스 공급부는, 상기 반송실에 불활성 가스의 공급을 시작한 후, 상기 검출부가 검출한 수분량이 소정값 이하가 되면 불활성 가스의 공급을 정지하는 기판 처리 장치.
According to claim 1,
The inert gas supply unit starts supplying the inert gas to the transfer chamber, and then stops the supply of the inert gas when the moisture content detected by the detection unit becomes less than or equal to a predetermined value.
제1항에 있어서,
상기 반송실의 벽에는 온도 조정용 매체가 공급되는 기판 처리 장치.
According to claim 1,
A substrate processing apparatus in which a medium for temperature adjustment is supplied to a wall of the transfer chamber.
로드록 실에 인접한 반송실 내의 수분량을 수분 검출부가 검출하는 공정;
상기 반송실에 인접한 처리실에서 기판을 가열하는 공정; 및
상기 수분량이 소정값 이상인 경우에 상기 반송실 내의, 상기 처리실측의 제1 영역보다 낮은 온도인 제2 영역을 향해서 불활성 가스를 공급하는 공정
을 포함하는 반도체 장치의 제조 방법.
a step of detecting, by a moisture detection unit, the amount of moisture in the transfer chamber adjacent to the load lock chamber;
heating the substrate in a processing chamber adjacent to the transfer chamber; and
a step of supplying an inert gas toward a second region in the transfer chamber having a lower temperature than the first region on the processing chamber side when the moisture content is equal to or greater than a predetermined value
A method of manufacturing a semiconductor device comprising a.
로드록 실에 인접한 반송실 내의 수분량을 수분 검출부가 검출하는 단계;
상기 반송실에 인접한 처리실에서 기판을 가열하는 단계; 및
상기 수분량이 소정값 이상인 경우에 상기 반송실 내의 상기 처리실측의 제1 영역보다 낮은 온도인 제2 영역을 향해서 불활성 가스를 공급하는 단계
를 컴퓨터에 의해 기판 처리 장치에 실행시키는 프로그램을 기록한 기록 매체.
detecting, by a moisture detection unit, an amount of moisture in the transfer chamber adjacent to the load lock seal;
heating the substrate in a processing chamber adjacent to the transfer chamber; and
supplying the inert gas toward a second area having a lower temperature than the first area on the processing chamber side in the transfer chamber when the moisture content is equal to or greater than a predetermined value
A recording medium in which a program for causing a substrate processing apparatus to be executed by a computer is recorded.
KR1020210035044A 2021-01-20 2021-03-18 Substrate processing apparatus, method of manufacturing semiconductor device and non-transitory computer-readable recording medium KR102509263B1 (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JPJP-P-2021-006865 2021-01-20
JP2021006865A JP7154325B2 (en) 2021-01-20 2021-01-20 SUBSTRATE PROCESSING APPARATUS, SEMICONDUCTOR DEVICE MANUFACTURING METHOD AND PROGRAM

Publications (2)

Publication Number Publication Date
KR20220105562A true KR20220105562A (en) 2022-07-27
KR102509263B1 KR102509263B1 (en) 2023-03-10

Family

ID=82406481

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020210035044A KR102509263B1 (en) 2021-01-20 2021-03-18 Substrate processing apparatus, method of manufacturing semiconductor device and non-transitory computer-readable recording medium

Country Status (5)

Country Link
US (1) US11967513B2 (en)
JP (1) JP7154325B2 (en)
KR (1) KR102509263B1 (en)
CN (1) CN114864432A (en)
TW (1) TWI798634B (en)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN117612979B (en) * 2024-01-23 2024-04-02 上海邦芯半导体科技有限公司 Semiconductor processing apparatus and semiconductor processing method

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001338967A (en) 2000-05-29 2001-12-07 Hitachi Kokusai Electric Inc Board processing device
KR20190036476A (en) * 2017-09-27 2019-04-04 도쿄엘렉트론가부시키가이샤 Substrate processing apparatus, substrate processing method, and computer storage medium
KR20200030455A (en) * 2018-09-12 2020-03-20 도쿄엘렉트론가부시키가이샤 Vacuum transfer module and vacuum transfer method
KR20200035221A (en) * 2018-09-25 2020-04-02 도쿄엘렉트론가부시키가이샤 Vacuum processing apparatus and method of controlling vacuum processing apparatus

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3592603B2 (en) * 2000-02-28 2004-11-24 三菱住友シリコン株式会社 Semiconductor manufacturing method and semiconductor manufacturing apparatus
US6672864B2 (en) * 2001-08-31 2004-01-06 Applied Materials, Inc. Method and apparatus for processing substrates in a system having high and low pressure areas
JP2003338499A (en) * 2002-05-20 2003-11-28 Tokyo Electron Ltd Method and system for forming film
KR100486690B1 (en) * 2002-11-29 2005-05-03 삼성전자주식회사 Substrate processing apparatus and method for controlling contamination in substrate transfer module
US20060196023A1 (en) * 2005-03-02 2006-09-07 Min-Lyul Lee Reduced cost process modules
JP4773735B2 (en) * 2005-03-18 2011-09-14 東京エレクトロン株式会社 Method of removing moisture from vacuum vessel, program for executing the method, and storage medium
JP4985031B2 (en) * 2007-03-29 2012-07-25 東京エレクトロン株式会社 Vacuum processing apparatus, operating method of vacuum processing apparatus, and storage medium
US9002514B2 (en) * 2007-11-30 2015-04-07 Novellus Systems, Inc. Wafer position correction with a dual, side-by-side wafer transfer robot
JP5295808B2 (en) * 2009-02-09 2013-09-18 東京エレクトロン株式会社 Particle adhesion prevention method and substrate transport method
JP6526660B6 (en) * 2013-08-12 2019-06-26 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated System, apparatus, and method for substrate processing with environmental control of factory interface
JP5947435B1 (en) * 2015-08-27 2016-07-06 株式会社日立国際電気 Substrate processing apparatus, semiconductor device manufacturing method, program, and recording medium
JP6240695B2 (en) * 2016-03-02 2017-11-29 株式会社日立国際電気 Substrate processing apparatus, semiconductor device manufacturing method, and program
CN108091588B (en) * 2016-11-21 2019-05-31 北京北方华创微电子装备有限公司 A kind of annealing process, processing chamber and annealing device
US11948810B2 (en) * 2017-11-15 2024-04-02 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus for processing substrates or wafers
US20190362989A1 (en) * 2018-05-25 2019-11-28 Applied Materials, Inc. Substrate manufacturing apparatus and methods with factory interface chamber heating
KR102568797B1 (en) * 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing system
US20200194296A1 (en) * 2018-12-12 2020-06-18 Tokyo Electron Limited System of processing substrate, transfer method, transfer program, and holder
JP6777869B2 (en) * 2019-03-11 2020-10-28 シンフォニアテクノロジー株式会社 EFEM device

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001338967A (en) 2000-05-29 2001-12-07 Hitachi Kokusai Electric Inc Board processing device
KR20190036476A (en) * 2017-09-27 2019-04-04 도쿄엘렉트론가부시키가이샤 Substrate processing apparatus, substrate processing method, and computer storage medium
KR20200030455A (en) * 2018-09-12 2020-03-20 도쿄엘렉트론가부시키가이샤 Vacuum transfer module and vacuum transfer method
KR20200035221A (en) * 2018-09-25 2020-04-02 도쿄엘렉트론가부시키가이샤 Vacuum processing apparatus and method of controlling vacuum processing apparatus

Also Published As

Publication number Publication date
TWI798634B (en) 2023-04-11
US11967513B2 (en) 2024-04-23
US20220230897A1 (en) 2022-07-21
JP7154325B2 (en) 2022-10-17
KR102509263B1 (en) 2023-03-10
JP2022111443A (en) 2022-08-01
CN114864432A (en) 2022-08-05
TW202230566A (en) 2022-08-01

Similar Documents

Publication Publication Date Title
KR101882773B1 (en) Substrate processing apparatus, method of manufacturing semiconductor device and non-transitory computer-readable recording medium
KR20180056388A (en) Substrate processing apparatus, reaction tube structure and method of manufacturing semiconductor device
TWI638407B (en) Substrate processing apparatus, manufacturing method of semiconductor device, and program
US11124872B2 (en) Substrate processing apparatus
TWI761758B (en) Manufacturing method of semiconductor device, substrate processing apparatus, and recording medium
KR20220105562A (en) Substrate processing apparatus, method of manufacturing semiconductor device and non-transitory computer-readable recording medium
KR102361934B1 (en) Method of manufacturing semiconductor device, substrate processsing apparatus, and recording medium
US11404291B2 (en) Substrate processing apparatus and method of manufacturing semiconductor device
KR102260845B1 (en) Substrate processing apparatus, method of manufacturing semiconductor device, and recording medium
JP6992156B2 (en) Manufacturing method of processing equipment, exhaust system, semiconductor equipment
US10763137B2 (en) Substrate processing apparatus and method of manufacturing semiconductor device
JP6823575B2 (en) Manufacturing method for substrate processing equipment, reaction tubes and semiconductor equipment
US20240047233A1 (en) Substrate processing apparatus, method of manufacturing semiconductor device and non-transitory computer-readable recording medium
JP2007194481A (en) Substrate treatment apparatus
JP2007258255A (en) Substrate processing apparatus
KR20060116609A (en) Apparatus for manufacturing a semiconductor substrate

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant