KR20220091616A - 게르마늄-확산 나노리본 채널 구조체들을 갖는 게이트-올-어라운드 집적 회로 구조체들 - Google Patents

게르마늄-확산 나노리본 채널 구조체들을 갖는 게이트-올-어라운드 집적 회로 구조체들 Download PDF

Info

Publication number
KR20220091616A
KR20220091616A KR1020210160984A KR20210160984A KR20220091616A KR 20220091616 A KR20220091616 A KR 20220091616A KR 1020210160984 A KR1020210160984 A KR 1020210160984A KR 20210160984 A KR20210160984 A KR 20210160984A KR 20220091616 A KR20220091616 A KR 20220091616A
Authority
KR
South Korea
Prior art keywords
nanowires
silicon
sub
gate
germanium
Prior art date
Application number
KR1020210160984A
Other languages
English (en)
Inventor
앤디 치-헝 웨이
기욤 부쉬
잭 티. 카바리에로스
Original Assignee
인텔 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 인텔 코포레이션 filed Critical 인텔 코포레이션
Publication of KR20220091616A publication Critical patent/KR20220091616A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/775Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • H01L29/1054Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure with a variation of the composition, e.g. channel with strained layer for increasing the mobility
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/107Substrate region of field-effect devices
    • H01L29/1075Substrate region of field-effect devices of field-effect transistors
    • H01L29/1079Substrate region of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/122Single quantum well structures
    • H01L29/125Quantum wire structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66439Unipolar field-effect transistors with a one- or zero-dimensional channel, e.g. quantum wire FET, in-plane gate transistor [IPG], single electron transistor [SET], striped channel transistor, Coulomb blockade transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7851Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with the body tied to the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Nanotechnology (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Manufacturing & Machinery (AREA)
  • Materials Engineering (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Thin Film Transistor (AREA)

Abstract

게르마늄-확산 나노와이어/나노리본 채널 구조체들을 갖는 게이트-올-어라운드 집적 회로 구조체들, 및 게르마늄-확산 나노와이어/나노리본 채널 구조체들을 갖는 게이트-올-어라운드 집적 회로 구조체들을 제조하는 방법들이 설명된다. 예를 들어, 집적 회로 구조체가 서브-핀 구조체 위의 나노와이어들의 수직 배열을 포함하고, 여기서 나노와이어들의 수직 배열 중 개개의 것들은 실리콘 및 게르마늄을 포함하고, 서브-핀 구조체는 서브-핀 구조체의 하단에서보다 서브-핀 구조체의 상단에서 상대적으로 더 높은 게르마늄 농도를 가진다.

Description

게르마늄-확산 나노리본 채널 구조체들을 갖는 게이트-올-어라운드 집적 회로 구조체들{GATE-ALL-AROUND INTEGRATED CIRCUIT STRUCTURES HAVING GERMANIUM-DIFFUSED NANORIBBON CHANNEL STRUCTURES}
본 개시내용의 실시예들은 집적 회로 구조체들 및 처리 분야에 관한 것으로, 특히, 게르마늄-확산 나노리본 채널 구조체들을 갖는 게이트-올-어라운드(gate-all-around, GAA) 집적 회로 구조체, 및 게르마늄-확산 나노리본 채널 구조체들을 갖는 게이트-올-어라운드 집적 회로 구조체들을 제조하는 방법에 관한 것이다.
지난 수십 년 동안, 집적 회로들에서 피처들(features)의 스케일링(scaling)은 점점 더 성장하는 반도체 산업의 원동력이었다. 점점 더 작은 피처들로의 스케일링은 반도체 칩들의 제한된 면적(real estate) 상에서의 기능 유닛들의 증가된 밀도들을 가능하게 한다. 예를 들어, 트랜지스터 크기를 축소하는 것은 증가된 개수의 메모리 또는 로직 디바이스들을 칩에 통합할 수 있게 하여, 용량(capacity)이 증가된 제품의 제조를 이끌어낸다. 하지만, 점점 더 많은 용량에 대한 추구가 문제가 없는 것은 아니다. 각각의 디바이스의 성능을 최적화할 필요성이 점점 더 중요해지고 있다.
집적 회로 디바이스들의 제조에 있어서, 디바이스 치수가 계속해서 축소됨에 따라 트라이-게이트 트랜지스터(tri-gate transistor)와 같은 멀티-게이트 트랜지스터가 더 보편적이 되고 있다. 종래의 프로세스들에서, 트라이-게이트 트랜지스터들은 일반적으로 벌크 실리콘 기판들 또는 실리콘-온-절연체(silicon-on-insulator) 기판들 중 하나 상에서 제조된다. 일부 경우들에서, 벌크 실리콘 기판들은 더 낮은 비용으로 인해 그리고 덜 복잡한 트라이-게이트 제조 공정을 가능하게 하기 때문에 선호된다. 또 다른 양태에서, 마이크로전자 디바이스 치수가 10 나노미터(nm) 노드 미만으로 스케일링됨에 따라 이동도 향상 및 쇼트 채널(short channel) 제어를 유지하는 것은 디바이스 제조에 있어서 도전 과제를 제기한다. 디바이스들을 제조하기 위해 사용되는 나노와이어들은 개선된 쇼트 채널 제어를 제공한다.
하지만, 멀티-게이트 및 나노와이어 트랜지스터의 스케일링은 부작용이 있었다. 마이크로전자 회로의 이러한 기본 빌딩 블록들의 치수들이 감소함에 따라 그리고 주어진 영역에 제조되는 기본 빌딩 블록들의 순 개수가 증가함에 따라, 이 빌딩 블록들을 패터닝하는 데 사용되는 리소그래피 공정들에 대한 제약들은 압도적이 되었다. 특히, 반도체 스택에서 패터닝된 피처의 최소 치수(임계 치수)와 이러한 피처들 간의 간격 사이에는 트레이드-오프(trade-off)가 존재할 수 있다.
도 1은 차별화된 초격자(differentiated superlattice)들에 기초하여 게이트-올-어라운드 집적 회로 구조체를 제조하는 방법에서의 다양한 작업들을 나타내는 단면도들을 예시한다.
도 2는 차별화된 초격자들을 갖는 게이트-올-어라운드 집적 회로 구조체를 제조하는 방법에서의 다양한 작업들을 나타내는 단면도들을 예시한다.
도 3a 내지 도 3f는 본 개시내용의 실시예에 따른, 게르마늄-확산 나노와이어/나노리본 채널 구조체를 갖는 게이트-올-어라운드 집적 회로 구조체를 제조하는 방법에서의 다양한 작업들을 나타내는 단면도들을 예시한다.
도 4a 내지 도 4j는 본 개시내용의 실시예에 따른, 게이트-올-어라운드 집적 회로 구조체를 제조하는 방법에서의 다양한 작업들의 단면도들을 예시한다.
도 5는 본 개시내용의 실시예에 따른, 게이트 라인을 따라 취해진 비평면 집적 회로 구조체의 단면도를 예시한다.
도 6은 본 개시내용의 실시예에 따른, 비-엔드캡 아키텍처(좌측(a)) 대 게이트 엔드캡 아키텍처(우측(b))에 대해 나노와이어들 및 핀들을 통해 취해진 단면도들을 예시한다.
도 7은 본 개시내용의 실시예에 따른, 게이트-올-어라운드 디바이스들을 갖는 게이트 엔드캡(gate endcap) 구조체를 제조하는 방법에서의 다양한 작업들을 나타내는 단면도들을 예시한다.
도 8a는 본 개시내용의 실시예에 따른, 나노와이어 기반 집적 회로 구조체의 3차원 단면도를 예시한다.
도 8b는 본 개시내용의 실시예에 따른, a-a' 축을 따라 취해진, 도 8a의 나노와이어 기반 집적 회로 구조체의 소스 또는 드레인 단면도를 예시한다.
도 8c는 본 개시내용의 실시예에 따른, b-b' 축을 따라 취해진, 도 8a의 나노와이어 기반 집적 회로 구조체의 채널 단면도를 예시한다.
도 9a 내지 도 9e는 본 개시내용의 실시예에 따른, 핀/나노와이어 구조체의 나노와이어 부분을 제조하는 방법에서의 다양한 작업들을 나타내는 3차원 단면도들을 예시한다.
도 10은 본 개시내용의 실시예의 일 구현에 따른 컴퓨팅 디바이스를 예시한다.
도 11은 본 개시내용의 하나 이상의 실시예를 포함하는 인터포저를 예시한다.
게르마늄-확산 나노와이어/나노리본 채널 구조체들을 갖는 게이트-올-어라운드 집적 회로 구조체들, 및 게르마늄-확산 나노와이어/나노리본 채널 구조체들을 갖는 게이트-올-어라운드 집적 회로 구조체들을 제조하는 방법들이 설명된다. 다음의 설명에서는, 본 개시내용의 실시예들의 철저한 이해를 제공하기 위해, 특정 집적 및 재료 체제와 같은 다수의 특정 상세 사항이 제시된다. 본 개시내용의 실시예들이 이 특정 상세 사항들 없이도 실시될 수 있다는 것이 본 기술분야의 통상의 기술자에게 명백할 것이다. 다른 경우들에서, 집적 회로 설계 레이아웃들과 같은 잘 알려진 특징들은 본 개시내용의 실시예들을 불필요하게 모호하게 하지 않기 위해 상세히 설명되지 않는다. 또한, 도면들에 도시된 다양한 실시예들은 예시적인 표현들이며, 반드시 비례에 맞춰 그려진 것은 아니라는 것이 인정되어야 한다.
특정 용어는 또한 참조의 목적만을 위해 이하의 설명에 사용될 수 있고, 따라서 제한적인 것으로 의도되지 않는다. 예를 들어, "상부(upper)", "하부(lower)", "위(above)", 및 "아래(below)"와 같은 용어들은 참조가 이루어지는 도면들에서의 방향들을 지칭한다. "앞(front)", "뒤(back)", "후방(rear)", 및 "측면(side)"과 같은 용어들은, 논의 중인 컴포넌트를 설명하는 텍스트 및 연관된 도면들을 참조하여 명확해지는, 일관되지만 임의의 참조 프레임 내에서의 컴포넌트의 부분들의 오리엔테이션 및/또는 위치를 기술한다. 이러한 용어는 앞서 특정하여 언급된 단어들, 그 파생어들, 및 유사한 의미의 단어들을 포함할 수 있다.
본 명세서에 설명된 실시예들은 FEOL(front-end-of-line) 반도체 처리 및 구조체들에 관한 것일 수 있다. FEOL은 개개의 디바이스들(예를 들어, 트랜지스터들, 커패시터들, 저항기들 등)이 반도체 기판 또는 층에 패터닝되는 집적 회로(IC) 제조의 제1 부분이다. FEOL은 일반적으로 금속 인터커넥트 층들의 퇴적까지의 모든 것을 커버한다(그러나 퇴적은 포함하지 않음). 마지막 FEOL 동작 이후에, 결과는 전형적으로 격리된 트랜지스터들을 갖는 웨이퍼이다(예를 들어, 어떠한 와이어들도 갖지 않음).
본 명세서에 설명된 실시예들은 BEOL(back end of line) 반도체 처리 및 구조체들에 관한 것일 수 있다. BEOL은 개개의 디바이스들(예를 들어, 트랜지스터들, 커패시터들, 저항기들 등)이 웨이퍼 상의 배선(wiring), 예를 들어, 금속화 층 또는 층들과 인터커넥트되는 IC 제조의 제2 부분이다. BEOL은 콘택트들, 절연 층들(유전체들), 금속 레벨들, 및 칩-패키지 간(chip-to-package) 연결들을 위한 본딩 사이트(bonding site)들을 포함한다. 제조 스테이지의 BEOL 부분에서, 콘택트들(패드들), 인터커넥트 와이어들, 비아들 및 유전체 구조체들이 형성된다. 최신의 IC 프로세스들의 경우, 10개 초과의 금속 층이 BEOL에서 추가될 수 있다.
이하에서 설명되는 실시예들은 FEOL 처리 및 구조체들, BEOL 처리 및 구조체들, 또는 FEOL 및 BEOL 처리 및 구조체들 둘 다에 적용가능할 수 있다. 특히, 비록 예시적인 처리 방식이 FEOL 처리 시나리오를 사용하여 예시될 수 있지만, 이러한 접근법들은 또한 BEOL 처리에도 적용가능할 수 있다. 마찬가지로, 비록 예시적인 처리 방식이 BEOL 처리 시나리오를 사용하여 예시될 수 있지만, 이러한 접근법들은 또한 FEOL 처리에도 적용가능할 수 있다.
본 명세서에 설명된 하나 이상의 실시예는 상이한 조성의 나노리본들의 스택들을 포함하는 구조체들에 관한 것이다. 하나 이상의 실시예는 하이브리드 실리콘/실리콘 게르마늄 CMOS 아키텍처들에 관한 것이다.
정황을 제공하기 위해, Si NMOS 및 SiGe PMOS FinFET들은, 110 면으로부터 100 면으로 스위칭할 때 PMOS가 이동도 열화로 인해 상당한 구동 전류를 잃기 때문에 Si 나노리본들에 스케일링하기가 어렵다. 또한, PMOS를 Si 채널로 되돌려 스위칭할 때 SiGe 채널 응력(channel strain)이 손실될 수 있다. SiGe 나노리본들을 갖는 PMOS 상에 적어도 채널 응력을 유지하는 것이 바람직할 수 있다. 이전의 접근법들은 Si/SiGe/Si/SiGe/Si 초격자 상에 PMOS를 형성하는 것을 수반하는데, 여기서 Si가 희생층이다. NMOS는 SiGe/Si/SiGe/Si/SiGe 초격자 상에 형성되는데, 여기서 SiGe가 희생층이다.
비교 목적을 위해, 도 1은 차별화된 초격자들에 기초하여 게이트-올-어라운드 집적 회로 구조체를 제조하는 방법에서의 다양한 작업들을 나타내는 단면도들을 예시한다.
도 1의 (a) 부분을 참조하면, 시작 구조체(100)는 단차 또는 리세스(104)를 갖는 실리콘 기판(102)을 포함한다. 복수의 실리콘 게르마늄 층(106)이, 단차 또는 리세스(104) 위를 포함하여, 실리콘 기판(102) 위에서 복수의 실리콘 층(108)과 인터리빙된다. NMOS 및 PMOS 간격에 대한 최소 공간은 110으로 표기되고, 단일 층 두께는 112로 표기된다.
도 1의 (b) 부분을 참조하면, 패터닝된 기판(102A) 상에 나노와이어-형성(또는 나노리본-형성) 스택들(NMOS 및 PMOS로 표기됨)을 포함하는 구조체(120)를 형성하는데 핀 패턴 및 에칭 공정이 사용된다. NMOS 나노와이어-형성(또는 나노리본-형성) 스택은 궁극적 희생 층들로서 패터닝된 실리콘 게르마늄 층들(106A)을 포함하고, 궁극적 활성 채널 층들로서 패터닝된 실리콘 층들(108A)을 포함한다. PMOS 나노와이어-형성(또는 나노리본-형성) 스택은 궁극적 활성 채널 층들로서 패터닝된 실리콘 게르마늄 층들(106A)을 포함하고, 궁극적 희생 층들로서 패터닝된 실리콘 층들(108A)을 포함한다.
다시 도 1을 참조하면, 웨이퍼 상에 양쪽 초격자를 형성하는 것은 리딩-에지 기술(leading-edge technology)에서의 치수에서는 어렵거나 불가능한 것으로 드러났다. GAA 기술에서의 NMOS 대 PMOS 최소 공간 110은 30-50nm이다. 단차 또는 리세스(104)가 디퍼렌셜 스택(differential stack)을 형성하기 위해 Si 기판(102) 내로 에칭되고, 초격자의 3개 이상의 층이 각각 14nm(나노리본 폭 + 희생 층 폭, 즉 두께 112의 2배)인 것에서 성장될 때, N-P 공간 110은 묘사된 바와 같이, 측방향으로 이미 소비된다.
비교 목적의 또 다른 예로서, 도 2는 차별화된 초격자들을 갖는 게이트-올-어라운드 집적 회로 구조체를 제조하는 방법에서의 다양한 작업들을 나타내는 단면도들을 예시한다.
도 2의 (a) 부분을 참조하면, 시작 구조체(200)는 그 상에 격리 구조체들(204) 및 서브-핀 구조체들(206A 및 206B)을 갖는 실리콘 기판(202)을 포함한다. NMOS 트렌치에서, 복수의 실리콘 게르마늄 층(208)이 복수의 실리콘 층(210)과 인터리빙된다. PMOS 트렌치에서, 유전체 층(212)이 그 위치에서의 초격자의 형성을 차단한다.
도 2의 (b) 부분을 참조하면, PMOS 트렌치로부터 유전체 층(212)이 제거된다. 구조체(220)를 제공하기 위해 PMOS 트렌치에 초격자가 형성된다. PMOS 트렌치에서의 초격자는 복수의 실리콘 게르마늄 층(226)과 인터리빙된 복수의 실리콘 층(224)을 포함한다. 그러나, 미리-형성된 격리 트렌치에서의 성장은, 묘사된 바와 같이, 미리-형성된 격리 트렌치의 측벽을 따라 에피택셜 결함(epitaxial defectivity)(예를 들어, 전위들(dislocations)(222 또는 228)로서 도시됨)을 초래할 수 있다.
본 개시내용의 실시예에 따르면, SiGe 응축법(condensation method)이 Si 나노리본들을 대체하기 위해 사용된다. 초기 SiGe 릴리스(release)는 소스 드레인 에피 형성 전에, 유전체 소스/드레인(S/D) 앵커(anchor)와 조합되어 사용된다. S/D 앵커는 원래의 초격자로서 PMOS S/D를 유지하고, 여기서 Si는 최종 SiGe에 정렬될 것이다. SiGe가 나노리본들에서 Si를 대체할 때, 응력이 S/D Si와 채널 SiGe 사이의 측방향 격자 부정합으로부터 생성된다. 응력은, S/D 에피택셜 작업 및 최종 캡슐화에 의해 제자리에 고정될 때까지, 스페이서 및 앵커 재료에 의해 그리고 희생 제2 게이트 재료에 의해 유지된다.
설명된 하나 이상의 실시예는 디퍼렌셜 초격자 스택을 요구하지 않고서 SiGe 나노리본이 형성되는 것을 허용하도록 구현될 수 있다. 기생 셀 커패시턴스는 약 10%만큼 감소될 수 있다. 게이트 컷(gate cut)에 대한 자기 정렬 및 매립된 레일에 대한 트렌치 콘택트는 성능/전력/면적 특성을 위한 셀 높이 요건을 감소시킬 수 있다. 추가 마스크를 사용하지 않고서 TSV와 통합하기 위해 추가적인 능력이 달성될 수 있다.
예시적인 공정 흐름으로서, 도 3a 내지 도 3f는 본 개시내용의 실시예에 따른, 게르마늄-확산 나노와이어/나노리본 채널 구조체를 갖는 게이트-올-어라운드 집적 회로 구조체를 제조하는 방법에서의 다양한 작업들을 나타내는 단면도들을 예시한다. 도 3b 내지 도 3f의 경우에, 게이트를 통한 컷(cut)(좌측) 및 소스/드레인(S/D)을 통한 컷(우측)이 묘사된다.
도 3a를 참조하면, 시작 구조체(300)는 그 상에 격리 구조체들(304) 및 서브-핀 구조체들(306)을 갖는 실리콘 기판(302)을 포함한다. 이 국면에서, NMOS 스택과 PMOS 스택은 동일하고, 각각은 복수의 실리콘 층(310)과 인터리빙된 복수의 실리콘 게르마늄 층(308)을 포함한다. NMOS 스택 및 PMOS 스택은 셀 경계(312) 내에 있다.
도 3b를 참조하면, 더미 게이트(314) 및 캡(316)이 채널 영역에 형성된다. 더미 게이트는 연관된 유전체 스페이서들을 포함할 수 있다. 실리콘 산화물 재료와 같은 소스/드레인 "앵커" 재료(318)가 소스 또는 드레인 위치들에서 퇴적된다. 일 실시예에서, 앵커 재료(318)는 소스/드레인 영역들을 보호하기 위해 포함되는 한편, 게이트 스택 아래의 채널 영역들이 처리된다.
도 3c를 참조하면, 더미 게이트(314) 및 캡(316)이 채널 영역에서 제거된다. 그 후 실리콘 게르마늄 층들(308)이 제거되어, "릴리스된" 실리콘 층들(310)을 남긴다.
도 3d를 참조하면, 실리콘 질화물 하드마스크와 같은 하드마스크(320)가 도 3c의 구조체 상에 형성된 다음, PMOS 스택으로부터만 제거된다. 그 후, PMOS 스택은 SiGe 에피택셜 성장을 겪어서, PMOS 실리콘 층들(310)을 등각으로(conformally) 둘러싸는, 실리콘 및 게르마늄을 포함하는 클래딩 층(322)을 형성한다. 클래딩 층(322)은 또한, 묘사된 것처럼, PMOS 로케이션에서 서브-핀(306)의 상부 상에 형성될 수 있다.
도 3e를 참조하면, PMOS 실리콘 층들(310)이 클래딩 층(322)의 산화에 의해 SiGe로 변환된다. 클래딩 층(322)의 이러한 산화는 실리콘 산화물(324)(예를 들어, SiO2)의 층을 형성하고, 나머지 Ge를 PMOS 실리콘 층들(310) 내로 몰아 넣어서, PMOS 실리콘 층들(310)을 SiGe 층들(310A)로 변환할 수 있다. 실시예에서, 서브-핀(306)의 상부 상의 클래딩 층(322)의 일부분이 산화되고 Ge를 서브-핀의 상위 부분 내로 몰아 넣어서, PMOS 위치에서 서브-핀(306A/306B)을 형성한다.
도 3f를 참조하면, 실리콘 산화물(324)의 층이 PMOS 위치로부터 제거된다. 그 후, 실리콘 질화물 희생 게이트와 같은 희생 게이트 재료(330)가 결과적인 구조체 위에 형성된다. 그 다음, 소스/드레인 앵커(318)가 제거된다. 후속 처리는, NMOS 및 PMOS에 대한 소스 드레인 영역들의 에칭 및 에피택셜 소스 드레인들의 형성, (하드마스크(320)를 포함하여) 더미 게이트 재료들을 제거함으로 인한 금속 게이트 형성, 콘택트 형성 등을 포함할 수 있다.
도 3f를 다시 참조하면, 본 개시내용의 실시예에 따르면, 집적 회로 구조체는 서브-핀 구조체(306A/306B) 위에 나노와이어들(310A)의 수직 배열을 포함한다. 나노와이어들(310A)의 수직 배열 중 개개의 것들은 실리콘 및 게르마늄을 포함한다.
일 실시예에서, 서브-핀 구조체(306A/306B)는 서브-핀 구조체의 하단(306A)에서보다 서브-핀 구조체의 상단(306B)에서 상대적으로 더 높은 게르마늄 농도를 가진다. 하나의 이러한 실시예에서, 서브-핀(306A/306B) 구조체의 하단(306A)은 게르마늄을 포함하지 않는다.
일 실시예에서, 서브-핀 구조체(306A/306B)는 기판(302)으로부터 연장된다. 일 실시예에서, 집적 회로 구조체는 나노와이어들(310A)의 수직 배열 위에 게이트 스택을 더 포함한다. 또 다른 실시예에서, 서브-핀 구조체들(306 또는 306A/306B) 중 하나 또는 둘 다는 유전체 재료로 대체되었다.
일 실시예에서, 집적 회로 구조체는 나노와이어들(310A)의 수직 배열의 측방향 단부들에서 에피택셜 소스 또는 드레인 구조체들을 더 포함하며, 그 예들이 아래에 더 상세히 설명된다. 하나의 이러한 실시예에서, 에피택셜 소스 또는 드레인 구조체들은 비-이산(non-discrete) 에피택셜 소스 또는 드레인 구조체들이다. 또 다른 이러한 실시예에서, 에피택셜 소스 또는 드레인 구조체들은 이산 에피택셜 소스 또는 드레인 구조체들이다.
다시 도 3f를 참조하면, 본 개시내용의 실시예에 따르면, 집적 회로 구조체는 기판(302) 위에 제1 나노와이어들(310)의 수직 배열을 포함한다. 제1 나노와이어들(310)의 수직 배열 중 개개의 것들은 실리콘을 포함한다. 제2 나노와이어들(310A)의 수직 배열은 기판(302) 위에 있다. 제2 나노와이어들(310A)의 수직 배열 중 개개의 것들은 실리콘 및 게르마늄을 포함한다. 제2 나노와이어들(310A)의 수직 배열 중 개개의 것들은 제1 나노와이어들(310)의 수직 배열 중 대응하는 개개의 것들과 동일한 평면에 있다. 일 실시예에서, 제1 나노와이어들(310)의 수직 배열 중 개개의 것들은 본질적으로 실리콘으로 구성된다.
일 실시예에서, 제1 나노와이어들의 수직 배열은 실리콘을 포함하는 제1 서브-핀 구조체(306) 위에 있다. 제2 나노와이어들(310A)의 수직 배열은 실리콘 및 게르마늄을 포함하는 제2 서브-핀 구조체(306A/306B) 위에 있다. 그러한 특정 실시예에서, 제2 서브-핀 구조체(306A/306B)는 제2 서브-핀 구조체(306A/306B)의 하단(306A)에서보다 제2 서브-핀 구조체(306A/306B)의 상단(306B)에서 상대적으로 더 높은 게르마늄 농도를 가진다. 이러한 특정 실시예에서, 제2 서브-핀 구조체(306A/306B)의 하단(306A)은 게르마늄을 포함하지 않는다. 실시예에서, 제2 수직 배열(310)은 균일한 SiGe가 아니고, 예를 들어, 얇은 SiGe 클래딩 층이 성장되었고 완전히 산화되었지만, 몰아 넣어진 Ge는 Si를 균일한 % Ge의 SiGe로 완전히 변환하지 않을 수 있다.
추가 정황을 제공하기 위해, 최신 게이트-올-어라운드(GAA) 트랜지스터 아키텍처는 n-MOS 및 p-MOS 둘 다를 위한 채널 재료로서 Si 나노리본을 활용한다. p-MOS 트랜지스터는 채널 재료로서 SiGe를 통합함으로써 개선될 수 있으며, 이는 Si보다 높은 정공 이동도(hole mobility)의 이점을 갖는다. SiGe 소스 또는 드레인 영역들의 사용은 SiGe 채널을 압축 변형(compressively straining)시킴으로써 성능의 정도를 높히고, 이는 정공 이동도를 더 증가시켜, p-MOS 트랜지스터들의 더 높은 스위칭 속도로 귀결된다. 실시예에서, p-MOS 트랜지스터들의 채널 나노리본들을 SiGe로 선택적으로 변환함으로써, SiGe 채널 p-MOS에 대한 성능 이득이, 그렇지 않았더라면 디바이스 타입들 모두에 대해 SiGe 채널 재료를 사용하는 것으로부터 초래되었을 n-MOS 트랜지스터들에 대한 열화 없이 달성될 수 있다.
실시예에서, SiGe 채널 나노리본들은 TEM 또는 SEM 게이트 또는 핀 컷 단면들에서 가시적일 수 있는 검출가능한 구조적 피처이다. 예를 들어, 단면은 Si 나노리본들 및 SiGe 나노리본들이 동일한 평면 상에 있다는 것을 보여줄 수 있다. 이는 상이한 NMOS 및 PMOS 스택들을 생성하는 데에 디퍼렌셜 초격자가 사용되지 않았음을 나타낼 수 있다. SiGe 나노리본 스택은 Ge의 더 많은 측벽 침투(sidewall ingress)의 시그니처를 갖는 하단 스택을 보여줄 수 있고, 따라서 확산 기반 응축 과정을 나타낸다. LNR과는 독립적으로 모두 균일한 SiGe %Ge 함량을 갖는 다중의 LNR의 검출은 이 흐름이 이용되었다는 것을 나타낼 수 있다. 실시예에서, SEM 단면은 NMOS와 PMOS 사이의 리본 정렬을 보여줄 수 있고, TEM 단면은 Si 대 SiGe임에도 불구하고 스택의 상세한 피처들, 특히 리본들의 수직 정렬을 드러낼 수 있다.
추가 정황을 제공하기 위해, 최신 CMOS 나노리본 트랜지스터는 NMOS 및 PMOS 트랜지스터들 둘 다를 제조하기 위해 실리콘(Si) 나노리본들을 활용한다. 기본적으로, SiGe 채널 재료는 PMOS 로직 및 고전압/아날로그 가능 트랜지스터들에 대해 더 선호된다. NMOS 및 PMOS FinFET들은 2개의 디바이스를 구별하기 위해 실리콘 및 웰 도핑(well doping) 또는 N/P 타입 일함수들 중 하나를 사용하여 제조되었다. 7nm 노드 이상의 경우, 종래의 소스/드레인(S/D) 에피택셜 성장 또는 라이너들을 사용하는 응력 엔지니어링(strain engineering)은 매우 도전적인 과제이다. 실리콘 게르마늄 기반 PFET는 PMOS 디바이스들을 엔지니어링하기 위한 잠재적인 해결책이다.
본 명세서에 설명된 실시예들은 고성능 Si 나노리본 NMOS 및 SiGe 나노리본 PMOS 트랜지스터들의 공동 집적을 가능하게 하는 공정 방식을 포함한다. 실시예에서, SiGe 나노리본 PMOS 트랜지스터와의 고성능 Si 나노리본 NMOS 트랜지스터의 공동 집적은 로직, 아날로그 및 고전압 디바이스들을 제조하기 위해 구현될 수 있다. 본 명세서에 설명된 하나 이상의 실시예를 구현하는데 있어서의 이점들은 (1) 개발/제조에서의 현행의 노드들과의 집적 호환성, (2) SiGe 나노리본들의 이동도 향상 및 신뢰성 이점, 및/또는 (3) 멀티 Vt 시스템들이 고성능 및 저 전력 설계 요구 둘 다를 충족시키는 것 중 하나 이상을 포함할 수 있다.
전반적으로 사용되는 바와 같이, 나노와이어는 채널 길이에 직교하는 유사하거나 동일한 폭 및 높이 치수들을 갖는 구조체를 통상적으로 지칭한다. 나노리본은 채널 길이에 직교하는 상이한 폭 및 높이 치수들, 예를 들어, 채널 길이에 직교하는 높이보다 더 큰 폭을 갖는 구조체를 통상적으로 지칭한다. 일반적으로, 예를 들어, 나노와이어 스택 및 나노리본 스택 둘 다를 갖는 구조체처럼 서로에 대해 설명되지 않는 한, 또는 그와 같이 특정되지 않는 한, 용어 나노와이어가 나노리본 또는 나노와이어로서 크기가 정해질 수 있는 게이트-올-어라운드 디바이스를 예시하기 위해 전반에 걸쳐 종종 사용된다.
특정 실시예에서, 제1 복수의 나노와이어(또는 나노리본)의 채널 층들이 실리콘으로 구성될 수 있다는 점이 인정되어야 한다. 전반에 걸쳐 사용되는 바와 같이, 전부는 아니더라도 매우 상당한 양의 실리콘으로 구성되는 실리콘 재료를 기술하기 위해 실리콘 층이 사용될 수 있다. 그러나, 실제로는, 100% 순수한 Si는 형성하기 어려울 수 있고, 따라서 극소량의 백분율의 탄소, 게르마늄 또는 주석을 포함할 수 있다는 것이 인정되어야 한다. 그러한 불순물들은 Si의 퇴적 동안 불가피한 불순물 또는 성분으로서 포함될 수 있거나 또는 퇴적 후 처리 동안 확산 시에 Si를 "오염"시킬 수 있다. 이와 같이, 실리콘 층에 관한 본 명세서에 설명된 실시예들은, Ge, C 또는 Sn과 같은, 상대적으로 소량의, 예를 들어, "불순물" 레벨의, 비-Si 원자들 또는 종들을 포함하는 실리콘 층을 포함할 수 있다. 본 명세서에 설명된 바와 같은 실리콘 층은 도핑되지 않을 수 있거나 또는 붕소, 인 또는 비소와 같은 도펀트 원자들로 도핑될 수 있다는 것이 인정되어야 한다.
특정 실시예에서, 제2 복수의 나노와이어(또는 나노리본들)의 클래딩 층 또는 채널 층들(또는 대응하는 릴리스 층들)은 실리콘 게르마늄으로 구성될 수 있다는 것이 인정되어야 한다. 전반에 걸쳐 사용되는 바와 같이, 실리콘과 게르마늄 둘 다의 상당 부분으로, 예를 들어, 적어도 둘 다의 5%로 구성되는 실리콘 게르마늄 재료를 기술하기 위해 실리콘 게르마늄 층이 사용될 수 있다. 일부 실시예들에서, 게르마늄의 (원자) 양은 실리콘의 양과 동일하거나 실질적으로 동일하다(예를 들어, Si50Ge50). 일부 실시예들에서, 게르마늄의 양은 실리콘의 양보다 많다. 특정 실시예들에서, 실리콘 게르마늄 층은 대략 60% 게르마늄 및 대략 40% 실리콘(Si40Ge60)을 포함한다. 다른 실시예들에서, 실리콘의 양은 게르마늄의 양보다 많다. 특정 실시예들에서, 실리콘 게르마늄 층은 대략 30% 게르마늄 및 대략 70% 실리콘(Si70Ge30)을 포함한다. 실제로는, 100% 순수한 실리콘 게르마늄(일반적으로 SiGe로 지칭됨)은 형성하기 어려울 수 있고, 따라서 극소량의 백분율의 탄소 또는 주석을 포함할 수 있다는 것을 인정해야 한다. 그러한 불순물들은 SiGe의 퇴적 동안 불가피한 불순물 또는 성분으로서 포함될 수 있거나 또는 퇴적 후 처리 동안 확산 시에 SiGe를 "오염"시킬 수 있다. 이와 같이, 실리콘 게르마늄 층에 관한 본 명세서에 설명된 실시예들은, 탄소 또는 주석과 같은, 상대적으로 소량의, 예를 들어, "불순물" 레벨의, 비-Ge 및 비-Si 원자들 또는 종들을 포함하는 실리콘 게르마늄 층을 포함할 수 있다. 본 명세서에 설명된 바와 같은 실리콘 게르마늄 층은 도핑되지 않을 수 있거나 또는 붕소, 인 또는 비소와 같은 도펀트 원자들로 도핑될 수 있다는 것을 인정해야 한다.
다른 실시예들에서, 클래딩 층 또는 릴리스 층 또는 활성 층은 게르마늄으로 구성될 수 있다는 것을 인정해야 한다. 전반에 걸쳐 사용되는 바와 같이, 전부는 아니더라도 매우 상당한 양의 게르마늄으로 구성되는 게르마늄 재료를 기술하기 위해 게르마늄 층이 사용될 수 있다. 그러나, 실제로는, 100% 순수한 Ge는 형성하기 어려울 수 있고, 따라서 극소량의 백분율의 탄소, 실리콘 또는 주석을 포함할 수 있다는 것을 인정해야 한다. 그러한 불순물들은 Ge의 퇴적 동안 불가피한 불순물 또는 성분으로서 포함될 수 있거나 또는 퇴적 후 처리(post deposition processing) 동안 확산 시에 Ge를 "오염"시킬 수 있다. 이와 같이, 게르마늄 층에 관한 본 명세서에 설명된 실시예들은, Si, C 또는 Sn과 같은, 상대적으로 소량의, 예를 들어, "불순물" 레벨의, 비-Ge 원자들 또는 종들을 포함하는 게르마늄 층을 포함할 수 있다. 본 명세서에 설명된 바와 같은 게르마늄 층은 도핑되지 않을 수 있거나 또는 붕소, 인 또는 비소와 같은 도펀트 원자들로 도핑될 수 있다는 것을 인정해야 한다.
일부 실시예들이 Si 또는 SiGe(와이어 또는 리본)의 사용을 기술하지만, 본 명세서에서 다양한 실시예들을 달성하기 위해 합금되고 에피택셜 성장될 수 있는 다른 반도체 재료 쌍들, 예를 들어, InAs 및 InGaAs가 구현될 수 있다는 점을 인정해야 한다. 또 다른 양태에서, 본 명세서에 설명되는 하나 이상의 실시예는 나노리본 트랜지스터 채널 디파퓰레이션(depopulation) 및/또는 나노와이어 트랜지스터 채널 디파퓰레이션에 관한 것이다. 본 명세서에 설명된 실시예들은, 전도 또는 구동 강도를 위해 이용가능한 전체 채널 영역을 수정하기 위해서 스택의 상단 또는 하단으로부터 하나 이상의 나노리본을 선택적으로 제거함으로써 두꺼운 게이트 디바이스들에 대한 튜닝가능한 구동 전류 능력을 허용한다. 본 명세서에 설명된 실시예들의 구현은 스택의 중간, 하단 또는 상단으로부터 나노리본들을 제거하고 트랜지스터의 구동 전류를 수정/튜닝하는 능력을 가능하게 한다. 본 명세서에 설명된 접근법들은 디바이스의 구동 전류가 하나 이상의 나노리본을 선택적으로 제거함으로써 미세 튜닝되는 것을 가능하게 할 수 있다. 단면 SEM/TEM 촬상은 본 명세서에 설명된 실시예들에 따라, 전체 및 에칭된 나노리본 스택들의 조합을 갖는 영역들을 드러낼 수 있다.
추가 정황을 제공하기 위해, 나노와이어 및/또는 나노리본 CMOS(complementary metal oxide semiconductor) 트랜지스터들의 통합은 상이한 강도들을 갖는 디바이스들을 생성하는 도전과제에 직면한다. 현행의 FinFET 기술에서, 디바이스 강도 그래뉼래리티는 디바이스 채널에서의 핀들의 수를 변화시킴으로써 달성된다. 이 옵션은 유감스럽게도, 채널들이 수직으로 적층되므로, 나노와이어 및 나노리본 아키텍처들에 대하여 용이하게 이용가능하지 않다. 게다가, 상이한 회로 타입들에 대해 상이한 구동 전류들을 갖는 트랜지스터들이 필요할 수 있다. 본 명세서에 개시된 실시예들은 디바이스 구조체들에서 나노와이어 트랜지스터 채널들의 수를 디파퓰레이팅(de-populating)함으로써 상이한 구동 전류들을 달성하는 것에 관한 것이다. 하나 이상의 실시예는 트랜지스터 구조체로부터 이산 수의 와이어들을 삭제하기 위한 접근법을 제공한다. 접근법들은 리본들 및 와이어들(RAW) 둘 다에 적합할 수 있다.
앞서 언급한 바와 같이, 일 양태에서, 나노와이어 릴리스 처리는 대체 게이트 트렌치를 통해 수행될 수 있다. 이러한 릴리스 공정들의 예들이 아래에서 설명된다. 추가적으로, 또 다른 양태에서, 백엔드(BE) 인터커넥트 스케일링은 패터닝 복잡성으로 인해 더 낮은 성능 및 더 높은 제조 비용을 초래할 수 있다. 본 명세서에 설명된 실시예들은 나노와이어 트랜지스터들을 위한 전면 및 후면 인터커넥트 집적을 가능하게 하도록 구현될 수 있다. 본 명세서에 설명된 실시예들은 비교적 더 넓은 인터커넥트 피치(interconnect pitch)를 달성하기 위한 접근법을 제공할 수 있다. 그 결과는 개선된 제품 성능 및 더 낮은 패터닝 비용일 수 있다. 실시예들은 낮은 전력 및 높은 성능을 갖는 스케일링된 나노와이어 또는 나노리본 트랜지스터들의 강건한 기능성을 가능하게 하도록 구현될 수 있다.
본 명세서에 설명된 하나 이상의 실시예는 부분 소스 또는 드레인(SD) 및 비대칭 트렌치 콘택트(TCN) 깊이를 이용하는 나노와이어 또는 나노리본 트랜지스터들을 위한 듀얼 에피택셜(EPI) 연결들에 관한 것이다. 실시예에서, 집적 회로 구조체는 SD 에피택시로 부분적으로 채워지는 나노와이어/나노리본 트랜지스터들의 소스-드레인 개구들을 형성함으로써 제조된다. 개구의 나머지는 전도성 재료로 채워진다. 소스 또는 드레인 측 중 하나 상의 깊은 트렌치 형성은 후면 인터커넥트 레벨에의 직접 접촉을 가능하게 한다.
예시적인 공정 흐름으로서, 도 4a 내지 도 4j는 본 개시내용의 실시예에 따른, 게이트-올-어라운드 집적 회로 구조체를 제조하는 방법에서의 다양한 작업들의 단면도들을 예시한다.
도 4a를 참조하면, 집적 회로 구조체를 제조하는 방법은 실리콘 핀과 같은 핀(402) 위에 교번하는 실리콘 게르마늄 층들(404) 및 실리콘 층들(406)을 포함하는 시작 스택(400)을 형성하는 단계를 포함한다. 실리콘 층들(406)은 실리콘 나노와이어들의 수직 배열로서 지칭될 수 있다. 묘사된 바와 같이, 교번하는 실리콘 게르마늄 층들(404) 및 실리콘 층들(406) 위에 보호 캡(408)이 형성될 수 있다. 실리콘 층들(406)의 두께는 달라질 수 있으며, 그 예가 묘사되어 있다.
도 4b를 참조하면, 나노와이어들(406)의 수직 배열 위에 게이트 스택(410)이 형성된다. 그 다음, 도 4c에 묘사된 바와 같이, 나노와이어들(406)의 수직 배열의 부분들이, 실리콘 게르마늄 층들(404)의 부분들을 제거하여 리세싱된 실리콘 게르마늄 층들(404') 및 캐비티들(412)을 제공함으로써 릴리스된다.
도 4c의 구조체는 도 4d와 연관되어 이하 설명되는 깊은 에칭 및 비대칭 콘택트 처리를 먼저 수행하지 않고서 완성되도록 제조될 수 있다는 점이 인정되어야 한다. 다른 실시예들에서, 실리콘 및 실리콘과 실리콘 게르마늄의 처리는 반대로 될 수 있다. 어느 경우든(예를 들어, 비대칭 콘택트 처리를 갖거나 갖지 않음), 실시예에서, 제조 공정은 디파퓰레이팅된 채널 구조체를 갖는 게이트-올-어라운드 집적 회로 구조체를 제공하는 공정 방식의 사용을 수반한다.
도 4d를 참조하면, 상위 게이트 스페이서들(414)이 게이트 구조체(410)의 측벽들에 형성된다. 캐비티 스페이서들(416)이 상위 게이트 스페이서들(414) 아래의 캐비티들(412)에 형성된다. 그 다음, 트렌치들(418)을 형성하고 리세싱된 나노와이어들(406')을 형성하기 위해 깊은 트렌치 콘택트 에칭이 수행된다. 그 다음, 도 4e에 묘사된 바와 같이, 희생 재료(420)가 트렌치(418)에 형성된다.
도 4f를 참조하면, 제1 에피택셜 소스 또는 드레인 구조체(예컨대, 좌측 피처들(422))가 나노와이어들(406')의 수직 배열의 제1 단부에 형성된다. 제2 에피택셜 소스 또는 드레인 구조체(예컨대, 우측 피처들(422))가 나노와이어들(406')의 수직 배열의 제2 단부에 형성된다. 그 다음, 도 4g에 묘사된 바와 같이, 층간 유전체(ILD) 재료(424)가 게이트 전극(410)의 측면들에 그리고 소스 또는 드레인 구조체들(422)에 인접하여 형성된다.
도 4h를 참조하면, 대체 게이트 공정을 이용하여 영구 게이트 유전체(428) 및 영구 게이트 전극(426)을 형성한다. 실시예에서, 게이트 구조체(410)의 제거 및 영구 게이트 유전체(428) 및 영구 게이트 전극(426)의 형성에 후속하여, 리세싱된 실리콘 게르마늄 층들(404')을 제거하여 상위 활성 나노와이어들 또는 나노리본들(406')을 남긴다. 실시예에서, 리세싱된 실리콘 게르마늄 층들(404')은 실리콘 층들을 에칭하지 않으면서 실리콘 게르마늄을 선택적으로 제거하는 습식 에칭에 의해 선택적으로 제거된다. 실리콘 게르마늄을 선택적으로 에칭하기 위해, 예를 들어, 카르복실산/질산/HF 화학물질, 및 시트르산/질산/HF와 같은 에칭 화학물질들이 활용될 수 있다. 할라이드 기반 건식 에칭(halide-based dry etch)들 또는 플라즈마 강화 기상 에칭(plasma-enhanced vapor etch)들이 또한 본 명세서의 실시예들을 달성하기 위해 사용될 수 있다.
본 개시내용의 실시예에 따르면, 게이트 스택(410)의 제거 및 리세싱된 실리콘 게르마늄 층들(404')의 제거에 후속하여, 도 3a 내지 도 3f와 연관하여 설명된 바와 같이, 나노와이어들(406')의 수직 배열로의 게르마늄-확산에 기초하여 제조 공정이 수행된다.
도 4h를 다시 참조하면, 그 후 최하단 나노와이어들 또는 나노리본들(406') 중 하나 이상이 위치(499)에서와 같은 디파퓰레이션을 위해 제거된다. 또한, 또는 대안적으로, 그 후 최상단 나노와이어들 또는 나노리본들(406') 중 하나 이상이 디파퓰레이션을 위해 제거된다. 그 후, 영구 게이트 유전체(428) 및 영구 게이트 전극(426)이 나머지 나노와이어들 또는 나노리본들(406')을 둘러싸도록 형성된다.
도 4i를 참조하면, ILD 재료(424)가 그 후 제거된다. 그 후, 희생 재료(420)가 트렌치(432)를 형성하기 위해 소스 드레인 위치들 중 하나(예를 들어, 우측)로부터 제거되지만, 트렌치(430)를 형성하기 위해 소스 드레인 위치들 중 다른 하나로부터는 제거되지 않는다.
도 4j를 참조하면, 제1 전도성 콘택트 구조체(434)가 제1 에피택셜 소스 또는 드레인 구조체(예컨대, 좌측 피처들(422))에 결합되어 형성된다. 제2 전도성 콘택트 구조체(436)가 제2 에피택셜 소스 또는 드레인 구조체(예컨대, 우측 피처들(422))에 결합되어 형성된다. 제2 전도성 콘택트 구조체(436)는 제1 전도성 콘택트 구조체(434)보다 핀(402)을 따라 더 깊게 형성된다. 실시예에서, 비록 도 4j에 묘사되어 있지는 않지만, 방법은 핀(402)의 하단에서 제2 전도성 콘택트 구조체(436)의 노출된 표면을 형성하는 단계를 추가로 포함한다.
실시예에서, 묘사된 바와 같이, 제2 전도성 콘택트 구조체(436)는 제1 전도성 콘택트 구조체(434)보다 핀(402)을 따라 더 깊다. 하나의 이러한 실시예에서, 묘사된 바와 같이, 제1 전도성 콘택트 구조체(434)는 핀(402)을 따라 있지 않다. 묘사되지 않은 또 다른 이러한 실시예에서, 제1 전도성 콘택트 구조체(434)는 부분적으로 핀(402)을 따라 있다.
실시예에서, 제2 전도성 콘택트 구조체(436)는 핀(402) 전체를 따라 있다. 실시예에서, 비록 묘사되지는 않았지만, 핀(402)의 하단이 후면 기판 제거 공정에 의해 노출되는 경우에, 제2 전도성 콘택트 구조체(436)는 핀(402)의 하단에 노출된 표면을 갖는다.
또 다른 양태에서, 한 쌍의 비대칭 소스 및 드레인 콘택트 구조체들의 전도성 콘택트 구조체들 둘 다에 대한 액세스를 가능하게 해주기 위해, 본 명세서에 설명된 집적 회로 구조체들이 전면 구조체 제조 접근법의 후면 노출(back-side reveal)을 사용하여 제조될 수 있다. 일부 예시적인 실시예들에서, 트랜지스터 또는 다른 디바이스 구조체의 후면의 노출은 웨이퍼-레벨 후면 처리(wafer-level back-side processing)를 수반한다. 종래의 스루-실리콘 비아 TSV 타입 기술과는 대조적으로, 본 명세서에 설명되는 바와 같은 트랜지스터의 후면의 노출은 디바이스 셀들의 밀도로 그리고 심지어 디바이스의 서브-영역들 내에서 수행될 수 있다. 게다가, 전면 디바이스 처리 동안 디바이스 층이 그 상에 배치된 도너 기판의 실질적으로 전부를 제거하기 위해 트랜지스터의 후면의 이러한 노출이 수행될 수 있다. 이와 같이, 트랜지스터의 후면의 노출 이후에 디바이스 셀들에서의 반도체의 두께가 잠재적으로 수십 또는 수백 나노미터에 불과하므로 미크론 깊이의 TSV가 불필요해진다.
본 명세서에 설명된 노출 기법들은 "상향식(bottom-up)" 디바이스 제조로부터 "센터-아웃(center-out)" 제조로의 패러다임 전환을 가능하게 할 수 있으며, 여기서 "센터"는 전면 제조에서 이용되고, 후면으로부터 노출되며, 후면 제조에서 다시 이용되는 임의의 층이다. 디바이스 구조체의 전면과 노출된 후면 둘 다의 처리는 전면 처리에 주로 의존할 때 3D IC들을 제조하는 것과 연관된 도전 과제들 중 다수를 해결할 수 있다.
트랜지스터 접근법의 후면의 노출이, 예를 들어, 도너-호스트 기판 어셈블리의 캐리어 층 및 개재 층의 적어도 일부분을 제거하기 위해 이용될 수 있다. 공정 흐름은 도너-호스트 기판 어셈블리의 입력으로 시작한다. 도너-호스트 기판에서의 캐리어 층의 두께는 습식 또는 건식(예를 들어, 플라즈마) 에칭 공정으로 연마(예를 들어, CMP) 및/또는 에칭된다. 캐리어 층의 조성에 적합한 것으로 알려진 임의의 그라인딩, 연마, 및/또는 습식/건식 에칭 공정이 이용될 수 있다. 예를 들어, 캐리어 층이 IV족 반도체(예를 들어, 실리콘)인 경우, 반도체를 박형화(thinning)하기에 적합한 것으로 알려진 CMP 슬러리가 이용될 수 있다. 마찬가지로, IV족 반도체를 박형화하기에 적합한 것으로 알려진 임의의 습식 에천트(wet etchant) 또는 플라즈마 에칭 공정이 또한 이용될 수 있다.
일부 실시예들에서, 개재 층에 실질적으로 평행한 파단면(fracture plane)을 따라 캐리어 층을 클리빙(cleaving)하는 것이 상기의 것에 선행한다. 클리빙 또는 파단 공정을 활용하여 캐리어 층의 상당 부분을 벌크 덩어리(bulk mass)로서 제거함으로써, 캐리어 층을 제거하는 데 필요한 연마 또는 에칭 시간을 감소시킬 수 있다. 예를 들어, 캐리어 층의 두께가 400-900 ㎛인 경우, 웨이퍼-레벨 파단을 촉진하는 것으로 알려진 임의의 블랭킷 주입(blanket implant)을 실시함으로써 100-700 ㎛가 클리빙될 수 있다. 일부 예시적인 실시예들에서, 파단면이 요구되는 캐리어 층 내의 균일한 타겟 깊이까지 경 원소(예를 들어, H, He, 또는 Li)가 주입된다. 이러한 클리빙 공정 이후에, 도너-호스트 기판 어셈블리에 남아 있는 캐리어 층의 두께를 이어서 연마 또는 에칭하여 제거를 완료할 수 있다. 대안적으로, 캐리어 층이 파단되지 않는 경우, 캐리어 층의 더 큰 두께를 제거하기 위해 그라인딩, 연마 및/또는 에칭 동작이 이용될 수 있다.
다음으로, 개재 층의 노출(exposure)이 검출된다. 도너 기판의 후면 표면이 거의 디바이스 층까지 전진했을 때의 지점을 식별하기 위해 검출이 사용된다. 캐리어 층 및 개재 층에 이용되는 재료들 사이의 전이를 검출하는 데 적합한 것으로 알려진 임의의 엔드포인트 검출 기법이 실시될 수 있다. 일부 실시예들에서, 하나 이상의 엔드포인트 기준은 연마 또는 에칭 수행 동안 도너 기판의 후면 표면의 광학 흡광도 또는 방출의 변화를 검출하는 것에 기초한다. 일부 다른 실시예들에서, 엔드포인트 기준은 도너 기판 후면 표면의 연마 또는 에칭 동안 부산물들의 광학 흡광도 또는 방출의 변화와 연관된다. 예를 들어, 캐리어 층 에칭 부산물들과 연관된 흡광도 또는 방출 파장들은 캐리어 층 및 개재 층의 상이한 조성들의 함수로서 변화할 수 있다. 다른 실시예들에서, 엔드포인트 기준은 도너 기판의 후면 표면을 연마 또는 에칭하는 것의 부산물들에서의 종들(species)의 질량에서의 변화와 연관된다. 예를 들어, 처리의 부산물들은 사중극자 질량 분석기(quadrupole mass analyzer)를 통해 샘플링될 수 있고, 종들의 질량에서의 변화는 캐리어 층 및 개재 층의 상이한 조성들에 상관될 수 있다. 또 다른 예시적인 실시예에서, 엔드포인트 기준은 도너 기판의 후면 표면과 도너 기판의 후면 표면과 접촉하는 연마 표면 사이의 마찰에서의 변화와 연관된다.
캐리어 제거 공정에서의 비균일성이 캐리어 층과 개재 층 사이의 에칭 레이트 델타(etch rate delta)에 의해 완화될 수 있으므로, 제거 공정이 개재 층에 비해 캐리어 층에 대해 선택적인 경우 개재 층의 검출이 향상될 수 있다. 그라인딩, 연마 및/또는 에칭 동작이 캐리어 층이 제거되는 레이트보다 충분히 낮은 레이트로 개재 층을 제거하는 경우에, 검출이 심지어 스킵될 수 있다. 엔드포인트 기준이 이용되지 않는 경우, 개재 층의 두께가 에칭 공정의 선택도를 위해 충분하다면, 미리 결정된 고정된 지속기간의 그라인딩, 연마 및/또는 에칭 동작이 개재 층 재료 상에서 정지할 수 있다. 일부 예들에서, 캐리어 에칭 레이트 : 개재 층 에칭 레이트는 3:1 - 10:1, 또는 그 이상이다.
개재 층을 노출시키면, 개재 층의 적어도 일부분이 제거될 수 있다. 예를 들어, 개재 층의 하나 이상의 컴포넌트 층이 제거될 수 있다. 개재 층의 두께는, 예를 들어, 연마에 의해 균일하게 제거될 수 있다. 대안적으로, 개재 층의 두께는 마스킹된 또는 블랭킷 에칭 공정으로 제거될 수 있다. 공정은 캐리어를 박형화하기 위해 이용된 것과 동일한 연마 또는 에칭 공정을 이용할 수 있거나, 또는 별개의 공정 파라미터들을 갖는 별개의 공정일 수 있다. 예를 들어, 개재 층이 캐리어 제거 공정을 위한 에칭 정지를 제공하는 경우, 후자의 동작은 디바이스 층의 제거보다 개재 층의 제거에 유리한 상이한 연마 또는 에칭 공정을 이용할 수 있다. 수백 나노미터 미만의 개재 층 두께가 제거되는 경우, 제거 공정은 비교적 느리고, 웨이퍼 전체에 걸친 균일성(across-wafer uniformity)을 위해 최적화되며, 캐리어 층의 제거에 이용되는 것보다 더 정확하게 제어될 수 있다. 이용된 CMP 공정은, 예를 들어, 반도체(예를 들어, 실리콘)와, 예를 들어, 인접한 디바이스 영역들 사이의 전기적 격리로서, 디바이스 층을 둘러싸고 개재 층 내에 임베디드되는 유전체 재료(예를 들어, SiO) 사이에 매우 높은 선택도(예를 들어, 100:1 - 300:1, 또는 그 이상)를 제공하는 슬러리를 이용할 수 있다.
개재 층의 완전한 제거를 통해 디바이스 층이 노출되는 실시예들의 경우, 디바이스 층의 노출된 후면 또는 그 안의 특정 디바이스 영역들에 대해 후면 처리가 시작될 수 있다. 일부 실시예들에서, 후면 디바이스 층 처리는 개재 층과 소스 또는 드레인 영역과 같은, 이전에 디바이스 층에 제조된 디바이스 영역 사이에 배치된 디바이스 층의 두께를 통한 추가의 연마 또는 습식/건식 에칭을 포함한다.
캐리어 층, 개재 층, 또는 디바이스 층 후면이 습식 및/또는 플라즈마 에칭으로 리세싱되는 일부 실시예들에서, 그러한 에칭 공정은 디바이스 층 후면 표면 내에 상당한 비평면성(non-planarity) 또는 토포그래피를 부여하는 패터닝된 에칭(patterned etch) 또는 재료 선택적 에칭(materially selective etch)일 수 있다. 이하에서 추가로 설명되는 바와 같이, 패터닝은 디바이스 셀 내에 있을 수 있거나(즉, "셀-내" 패터닝) 또는 디바이스 셀들에 걸쳐 있을 수 있다(즉, "셀-간" 패터닝). 일부 패터닝된 에칭 실시예들에서, 개재 층의 적어도 부분적 두께는 후면 디바이스 층 패터닝을 위한 하드 마스크로서 이용된다. 따라서, 마스킹된 에칭 공정은 대응하여 마스킹된 디바이스 층 에칭의 시작을 이룰 수 있다.
앞서 설명된 처리 방식은 개재 층의 후면, 디바이스 층의 후면, 및/또는 디바이스 층 내의 하나 이상의 반도체 영역의 후면, 및/또는 노출된 전면 금속화부를 갖는 IC 디바이스들을 포함하는 도너-호스트 기판 어셈블리를 낳을 수 있다. 이어서 이 노출된 영역들 중 임의의 것의 추가적인 후면 처리가 다운스트림 처리 동안 수행될 수 있다.
위의 예시적인 처리 방식들로부터 귀결되는 구조체들은 CMOS, PMOS 및/또는 NMOS 디바이스 제조와 같은 디바이스 제조를 완료하기 위한 후속 처리 동작들을 위해 동일 또는 유사한 형태로 사용될 수 있다는 것이 인정되어야 한다. 완성된 디바이스의 예로서, 도 5는 본 개시내용의 실시예에 따른, 게이트 라인을 따라 취해진 비평면 집적 회로 구조체의 단면도를 도시한다.
도 5를 참조하면, 반도체 구조체 또는 디바이스(500)는 트렌치 격리 영역(506) 내의 비평면 활성 영역(예를 들어, 돌출 핀 부분(504) 및 서브-핀 영역(505)을 포함하는 핀 구조체)을 포함한다. 실시예에서, 솔리드 핀 대신에, 비평면 활성 영역은, 파선들로 나타낸 바와 같이, 서브-핀 영역(505) 위에 나노와이어들(예컨대, 나노와이어들(504A 및 504B))로 분리된다. 어느 경우든, 비평면 집적 회로 구조체(500)에 대한 설명의 용이함을 위해, 비평면 활성 영역(504)은 돌출 핀 부분으로서 이하에서 참조된다. 실시예에서, 제조 공정은 도 3a 내지 도 3f와 연관되어 설명된 바와 같이 실리콘 나노와이어들 또는 나노리본들로의 게르마늄-확산에 기초하는 공정의 사용을 수반한다. 실시예에서, 제조 공정은 디파퓰레이팅된 채널 구조체로서 활성 영역들(504)을 제공하는 공정 방식의 사용을 수반한다. 예를 들어, 일 실시예에서, 하위 나노와이어들(504B)이 제거된다. 또 다른 실시예에서, 상위 나노와이어들(504A)이 제거된다.
비평면 활성 영역의 돌출 부분들(504)(적용가능한 경우, 주변 나노와이어들(504A 및 504B)을 포함함) 위뿐만 아니라, 트렌치 격리 영역(506)의 일부 위에 게이트 라인(508)이 배치된다. 도시된 바와 같이, 게이트 라인(508)은 게이트 전극(550) 및 게이트 유전체 층(552)을 포함한다. 일 실시예에서, 게이트 라인(508)은 또한 유전체 캡 층(554)을 포함할 수 있다. 게이트 콘택트(514), 및 위에 놓인 게이트 콘택트 비아(516)가 또한 위에 놓인 금속 인터커넥트(560)와 함께 이러한 관점에서 보여지며, 이들 모두는 층간 유전체 스택들 또는 층들(570)에 배치된다. 도 5의 관점으로부터 또한 보여지는 바와 같이, 게이트 콘택트(514)는, 일 실시예에서, 트렌치 격리 영역(506) 위에 배치되지만, 비평면 활성 영역들 위에는 배치되지 않는다.
실시예에서, 반도체 구조체 또는 디바이스(500)는 fin-FET 디바이스, 트라이-게이트 디바이스, 나노리본 디바이스, 또는 나노와이어 디바이스와 같은, 그러나 이에 제한되지는 않는, 비평면 디바이스이다. 그러한 실시예에서, 대응하는 반도체 채널 영역은 3차원 보디로 구성되거나 또는 3차원 보디에 형성된다. 하나의 이러한 실시예에서, 게이트 라인들(508)의 게이트 전극 스택들은 3차원 보디의 적어도 상단 표면 및 한 쌍의 측벽들을 둘러싼다.
또한 도 5에 묘사된 바와 같이, 실시예에서, 돌출 핀 부분(504)과 서브-핀 영역(505) 사이에 계면(580)이 존재한다. 계면(580)은 도핑된 서브-핀 영역(505)과 약하게 또는 도핑되지 않은 상위 핀 부분(504) 사이의 전이 영역일 수 있다. 하나의 이러한 실시예에서, 각각의 핀은 대략 10 나노미터 폭 이하이고, 서브-핀 도펀트들은 서브-핀 위치에서 인접한 고체 상태 도핑 층으로부터 선택적으로 공급된다. 특정한 이러한 실시예에서, 각각의 핀은 10 나노미터 폭 미만이다.
도 5에 묘사되지는 않았지만, 돌출 핀 부분들(504)의 또는 그에 인접한 소스 또는 드레인 영역들은 게이트 라인(508)의 양측에, 즉, 페이지의 안팎에 있다는 것이 인정되어야 한다. 일 실시예에서, 소스 또는 드레인 영역들은 돌출 핀 부분들(504)의 원래 재료의 도핑된 부분들이다. 또 다른 실시예에서, 돌출 핀 부분들(504)의 재료는 제거되고, 예를 들어, 에피택셜 퇴적에 의해 또 다른 반도체 재료로 대체되어, 이산 에피택셜 너브(nub)들 또는 비-이산 에피택셜 구조체들을 형성한다. 어느 실시예에서든, 소스 또는 드레인 영역들은 트렌치 격리 영역(506)의 유전체 층의 높이 아래로, 즉, 서브-핀 영역(505) 내로 연장될 수 있다. 본 개시내용의 실시예에 따르면, 더 강하게 도핑된 서브-핀 영역들, 즉, 계면(580) 아래의 핀들의 도핑된 부분들은 벌크 반도체 핀들의 이 부분을 통한 소스 대 드레인 누설(source to drain leakage)을 억제한다. 실시예에서, 소스 및 드레인 구조체들은 N형 에피택셜 소스 및 드레인 구조체들이며, 둘 다는 인 도펀트(phosphorous dopant) 불순물 원자들을 포함한다. 본 개시내용의 하나 이상의 실시예에 따르면, 소스 및 드레인 영역들은, 도 4j와 연관하여 앞서 설명된 것과 같은, 연관된 비대칭 소스 및 드레인 콘택트 구조체들을 갖는다.
다시 도 5를 참조하면, 실시예에서, 핀들(504/505)(및 가능하게는 나노와이어들(504A 및 504B))은, 이에 제한되는 것은 아니지만, 인, 비소, 붕소 또는 이들의 조합과 같은, 전하 캐리어로 도핑되는 결정질 실리콘, 실리콘/게르마늄 또는 게르마늄 층으로 구성된다. 일 실시예에서, 실리콘 원자들의 농도는 97% 초과이다. 또 다른 실시예에서, 핀들(504/505)은 갈륨 질화물, 갈륨 인화물, 갈륨 비화물, 인듐 인화물, 인듐 안티몬화물, 인듐 갈륨 비화물, 알루미늄 갈륨 비화물, 인듐 갈륨 인화물, 또는 이들의 조합과 같은, 그러나 이에 제한되지는 않는, III-V족 재료로 구성된다. 트렌치 격리 영역(506)은, 실리콘 이산화물, 실리콘 산질화물(silicon oxy-nitride), 실리콘 질화물, 또는 탄소 도핑된 실리콘 질화물과 같은, 그러나 이에 제한되지는 않는, 유전체 재료로 구성된다.
게이트 라인(508)은 게이트 유전체 층(552) 및 게이트 전극 층(550)을 포함하는 게이트 전극 스택으로 구성될 수 있다. 실시예에서, 게이트 전극 스택의 게이트 전극은 금속 게이트로 구성되고 게이트 유전체 층은 하이-k 재료로 구성된다. 예를 들어, 일 실시예에서, 게이트 유전체 층(552)은, 하프늄 산화물, 하프늄 산질화물, 하프늄 실리케이트, 란타늄 산화물, 지르코늄 산화물, 지르코늄 실리케이트, 탄탈륨 산화물, 바륨 스트론튬 티타네이트, 바륨 티타네이트, 스트론튬 티타네이트, 이트륨 산화물, 알루미늄 산화물, 납 스칸듐 탄탈륨 산화물, 납 아연 니오베이트, 또는 이들의 조합과 같은, 그러나 이에 제한되지는 않는, 재료로 구성된다. 게다가, 게이트 유전체 층(552)의 일부분은 돌출 핀 부분들(504)의 상단 몇 개 층으로부터 형성된 자연 산화물(native oxide)의 층을 포함할 수 있다. 실시예에서, 게이트 유전체 층(552)은 상단 하이-k 부분 및 반도체 재료의 산화물로 구성된 하위 부분으로 구성된다. 일 실시예에서, 게이트 유전체 층(552)은 하프늄 산화물의 상단 부분 및 실리콘 이산화물 또는 실리콘 산질화물의 하단 부분으로 구성된다. 일부 구현들에서, 게이트 유전체의 일부분은 기판의 표면에 실질적으로 평행한 하단 부분 및 기판의 상단 표면에 실질적으로 수직인 2개의 측벽 부분을 포함하는 "U"자형 구조체이다.
일 실시예에서, 게이트 전극 층(550)은 금속 질화물들, 금속 탄화물들, 금속 규화물들, 금속 알루미나이드들(metal aluminides), 하프늄, 지르코늄, 티타늄, 탄탈륨, 알루미늄, 루테늄, 팔라듐, 백금, 코발트, 니켈, 또는 전도성 금속 산화물들과 같은, 그러나 이에 제한되지는 않는, 금속 층으로 구성된다. 특정 실시예에서, 게이트 전극 층(550)은 금속 일함수-설정 층(metal workfunction-setting layer) 위에 형성되는 비-일함수-설정 충전 재료(non-workfunction-setting fill material)로 구성된다. 게이트 전극 층(550)은, 트랜지스터가 PMOS 트랜지스터인지 NMOS 트랜지스터인지에 좌우되어, P형 일함수 금속 또는 N형 일함수 금속으로 구성될 수 있다. 일부 구현들에서, 게이트 전극 층(550)은 2개 이상의 금속 층의 스택으로 구성될 수 있고, 여기서 하나 이상의 금속 층은 일함수 금속 층들이고 적어도 하나의 금속 층은 전도성 충전 층이다. PMOS 트랜지스터의 경우, 게이트 전극에 사용될 수 있는 금속들은 루테늄, 팔라듐, 백금, 코발트, 니켈, 및 전도성 금속 산화물들, 예를 들어, 루테늄 산화물을 포함할 수 있지만, 이에 제한되지는 않는다. P형 금속 층은 약 4.9 eV 내지 약 5.2 eV인 일함수를 갖는 PMOS 게이트 전극의 형성을 가능하게 해줄 것이다. NMOS 트랜지스터의 경우, 게이트 전극에 사용될 수 있는 금속들은 하프늄, 지르코늄, 티타늄, 탄탈륨, 알루미늄, 이러한 금속들의 합금들, 및 이러한 금속들의 탄화물들, 예컨대, 하프늄 탄화물, 지르코늄 탄화물, 티타늄 탄화물, 탄탈륨 탄화물, 및 알루미늄 탄화물을 포함하지만, 이에 제한되지는 않는다. N형 금속 층은 약 3.9 eV 내지 약 4.2 eV인 일함수를 갖는 NMOS 게이트 전극의 형성을 가능하게 해줄 것이다. 일부 구현들에서, 게이트 전극은 기판의 표면에 실질적으로 평행한 하단 부분 및 기판의 상단 표면에 실질적으로 수직인 2개의 측벽 부분을 포함하는 "U"자형 구조로 이루어질 수 있다. 또 다른 구현에서, 게이트 전극을 형성하는 금속 층들 중 적어도 하나는 단순히 기판의 상단 표면에 실질적으로 평행하고 기판의 상단 표면에 실질적으로 수직인 측벽 부분들을 포함하지 않는 평면 층일 수 있다. 본 개시내용의 추가 구현들에서, 게이트 전극은 U자형 구조들과 평면 비-U자형 구조들의 조합으로 이루어질 수 있다. 예를 들어, 게이트 전극은 하나 이상의 평면 비-U자형 층 위에 형성된 하나 이상의 U자형 금속 층으로 이루어질 수 있다.
게이트 전극 스택들과 연관되는 스페이서들은 자기-정렬 콘택트들(self-aligned contacts)과 같은, 인접한 전도성 콘택트들로부터 영구 게이트 구조체를 궁극적으로 전기적으로 격리하거나 그 구조체의 격리에 기여하기에 적합한 재료로 구성될 수 있다. 예를 들어, 일 실시예에서, 스페이서들은, 실리콘 이산화물, 실리콘 산질화물, 실리콘 질화물, 또는 탄소 도핑된 실리콘 질화물과 같은, 그러나 이에 제한되지는 않는, 유전체 재료로 구성된다.
게이트 콘택트(514) 및 그 위에 놓인 게이트 콘택트 비아(516)는 전도성 재료로 구성될 수 있다. 실시예에서, 콘택트들 또는 비아들 중 하나 이상은 금속 종들로 구성된다. 금속 종들은 텅스텐, 니켈, 또는 코발트와 같은 순수 금속(pure metal)일 수 있거나, 또는 금속-금속 합금 또는 금속-반도체 합금(예를 들어, 규화물 재료와 같은 것)과 같은 합금일 수 있다.
실시예에서(비록 도시되지는 않았지만), 대단히 타이트한 위치맞춤 버짓(exceedingly tight registration budget)을 갖는 리소그래피 스텝의 사용을 없애면서 기존의 게이트 패턴(508)에 본질적으로 완벽하게 정렬되는 콘택트 패턴이 형성된다. 실시예에서, 콘택트 패턴은 도 4j와 연관되어 설명된 바와 같은 수직 비대칭 콘택트 패턴이다. 다른 실시예들에서, 모든 콘택트들은 전면 연결되고 비대칭이 아니다. 하나의 이러한 실시예에서, 자기-정렬 접근법은 콘택트 개구들을 생성하기 위해 (예를 들어, 통상적으로 구현되는 건식 또는 플라즈마 에칭에 비해) 본질적으로 고도로 선택적인 습식 에칭의 사용을 가능하게 한다. 실시예에서, 콘택트 패턴은 콘택트 플러그 리소그래피 동작과 조합하여 기존의 게이트 패턴을 활용함으로써 형성된다. 하나의 이러한 실시예에서, 이 접근법은, 통상의 접근법들에서 사용되는 바와 같이, 콘택트 패턴을 생성하기 위한 다른 중대한 리소그래피 동작에 대한 필요성의 제거를 가능하게 한다. 실시예에서, 트렌치 콘택트 그리드가 개별적으로 패터닝되지 않고 오히려 폴리(게이트) 라인들 사이에 형성된다. 예를 들어, 하나의 이러한 실시예에서, 게이트 그레이팅 패터닝(gate grating patterning) 이후에 그러나 게이트 그레이팅 컷들(gate grating cuts) 이전에 트렌치 콘택트 그리드가 형성된다.
실시예에서, 구조체(500)를 제공하는 것은 대체 게이트 공정에 의한 게이트 스택 구조체(508)의 제조를 수반한다. 그러한 방식에서, 폴리실리콘 또는 실리콘 질화물 필러(pillar) 재료와 같은 더미 게이트 재료는 제거되어 영구 게이트 전극 재료로 대체될 수 있다. 하나의 이러한 실시예에서, 초기 처리에서 쭉 수행되는 것과는 반대로, 영구 게이트 유전체 층이 또한 이 공정에서 형성된다. 실시예에서, 더미 게이트들은 건식 에칭 또는 습식 에칭 공정에 의해 제거된다. 일 실시예에서, 더미 게이트들은 다결정질 실리콘 또는 비정질 실리콘으로 구성되고 SF6의 사용을 포함한 건식 에칭 공정으로 제거된다. 또 다른 실시예에서, 더미 게이트들은 다결정질 실리콘 또는 비정질 실리콘으로 구성되고, 수성 NH4OH 또는 테트라메틸암모늄 수산화물(tetramethylammonium hydroxide)의 사용을 포함한 습식 에칭 공정으로 제거된다. 일 실시예에서, 더미 게이트들은 실리콘 질화물로 구성되고, 수성 인산(aqueous phosphoric acid)을 포함한 습식 에칭으로 제거된다.
다시 도 5를 참조하면, 반도체 구조체 또는 디바이스(500)의 배열은 격리 영역들 위에 게이트 콘택트를 배치한다. 이러한 배열은 레이아웃 공간의 비효율적인 사용으로 볼 수 있다. 그러나, 또 다른 실시예에서, 반도체 디바이스는 활성 영역 위에, 예를 들어, 서브-핀(505) 위에, 그리고 트렌치 콘택트 비아와 동일한 층에 형성된 게이트 전극의 부분들과 접촉하는 콘택트 구조체들을 갖는다.
위에서 설명한 공정들의 양태들 전부가 본 개시내용의 실시예들의 사상 및 범위 내에 속하도록 실시될 필요는 없다는 것을 인정해야 한다. 또한, 본 명세서에 설명된 공정들은 하나의 또는 복수의 반도체 디바이스를 제조하는 데 사용될 수 있다. 반도체 디바이스들은 트랜지스터들 또는 유사 디바이스들일 수 있다. 예를 들어, 실시예에서, 반도체 디바이스들은 로직 또는 메모리용 MOS(metal-oxide semiconductor) 트랜지스터들이거나, 또는 바이폴라 트랜지스터들이다. 또한, 실시예에서, 반도체 디바이스들은 나노와이어 디바이스, 나노리본 디바이스, 게이트-올-어라운드(GAA) 디바이스, 트라이-게이트 디바이스, 독립적으로 액세스되는 더블 게이트 디바이스, 또는 FIN-FET와 같은 3차원 아키텍처를 갖는다. 하나 이상의 실시예는 10 나노미터(10 nm) 미만의 기술 노드에서 반도체 디바이스들을 제조하기 위해 특히 유용할 수 있다.
실시예에서, 본 설명 전반에 걸쳐 사용되는 바와 같이, 층간 유전체(ILD) 재료는 유전체 또는 절연 재료의 층으로 구성되거나 그것을 포함한다. 적합한 유전체 재료들의 예들은 실리콘의 산화물들(예를 들어, 실리콘 이산화물(SiO2)), 실리콘의 도핑된 산화물들, 실리콘의 플루오르화된 산화물들, 실리콘의 탄소 도핑된 산화물들, 이 기술분야에 알려진 다양한 로우-k 유전체 재료들, 및 이들의 조합들을 포함하며, 이에 제한되는 것은 아니다. 층간 유전체 재료는, 예를 들어, CVD(chemical vapor deposition), PVD(physical vapor deposition), 또는 다른 퇴적 방법들과 같은 종래의 기법들에 의해 형성될 수 있다.
실시예에서, 또한 본 설명 전반에 걸쳐 사용되는 바와 같이, 금속 라인들 또는 인터커넥트 라인 재료(및 비아 재료)는 하나 이상의 금속 또는 다른 전도성 구조체로 구성된다. 공통적인 예는 구리와 주변 ILD 재료 사이에 장벽 층들을 포함할 수 있거나 포함하지 않을 수 있는 구리 라인들 및 구조체들의 사용이다. 본 명세서에서 사용되는 바와 같이, 금속이라는 용어는 다중의 금속의 합금들, 스택들, 및 다른 조합들을 포함한다. 예를 들어, 금속 인터커넥트 라인들은 장벽 층들(예를 들어, Ta, TaN, Ti 또는 TiN 중 하나 이상을 포함하는 층들), 상이한 금속들 또는 합금들의 스택들 등을 포함할 수 있다. 따라서, 인터커넥트 라인들은 단일 재료 층일 수 있거나, 또는 전도성 라이너 층들 및 충전 층들을 포함한 수 개의 층으로부터 형성될 수 있다. 전기도금, 화학 기상 퇴적 또는 물리 기상 퇴적과 같은, 임의의 적합한 퇴적 공정이 인터커넥트 라인들을 형성하는 데 사용될 수 있다. 실시예에서, 인터커넥트 라인들은 Cu, Al, Ti, Zr, Hf, V, Ru, Co, Ni, Pd, Pt, W, Ag, Au 또는 이들의 합금들과 같은, 그러나 이에 제한되지는 않는 전도성 재료로 구성된다. 인터커넥트 라인들은 또한 때때로 본 기술분야에서 트레이스들, 와이어들, 라인들, 금속, 또는 단순히 인터커넥트로 지칭된다.
실시예에서, 또한 본 설명 전반에 걸쳐 사용되는 바와 같이, 하드마스크 재료들, 캐핑(capping) 층들, 또는 플러그들은 층간 유전체 재료와 상이한 유전체 재료들로 구성된다. 일 실시예에서, 서로에 대한 그리고 아래에 놓인 유전체 및 금속 층들에 대한 상이한 성장 또는 에칭 선택도를 제공하기 위해서 상이한 영역들에서 상이한 하드마스크, 캐핑 또는 플러그 재료들이 사용될 수 있다. 일부 실시예들에서, 하드마스크 층, 캐핑 또는 플러그 층은 실리콘의 질화물(예를 들어, 실리콘 질화물)의 층 또는 실리콘의 산화물의 층, 또는 둘 다, 또는 이들의 조합을 포함한다. 다른 적합한 재료들은 탄소계 재료들을 포함할 수 있다. 본 기술분야에 알려진 다른 하드마스크, 캐핑 또는 플러그 층들이 특정 구현에 의존하여 사용될 수 있다. 하드마스크, 캐핑 또는 플러그 층들은 CVD, PVD에 의해, 또는 다른 퇴적 방법들에 의해 형성될 수 있다.
실시예에서, 또한 본 설명 전반에 걸쳐 사용되는 바와 같이, 리소그래피 동작들은 193nm 액침 리소그래피(i193), EUV 및/또는 EBDW 리소그래피, 또는 이와 유사한 것을 사용하여 수행된다. 포지티브 톤(positive tone) 또는 네거티브 톤(negative tone) 레지스트가 사용될 수 있다. 일 실시예에서, 리소그래피 마스크는 토포그래피 마스킹 부분(topographic masking portion), 반사 방지 코팅(anti-reflective coating, ARC) 층, 및 포토레지스트 층으로 구성되는 삼중층 마스크(trilayer mask)이다. 특정의 이러한 실시예에서, 토포그래피 마스킹 부분은 탄소 하드마스크(carbon hardmask, CHM) 층이고 반사 방지 코팅 층은 실리콘 ARC 층이다.
또 다른 양태에서, 하나 이상의 실시예는 게이트 엔드캡(gate endcap) 구조체들에 의해 분리되는 이웃하는 반도체 구조체들 또는 디바이스들에 관한 것이다. 특정 실시예들은 게이트 엔드 캡 아키텍처에서의 그리고 게이트 엔드 캡 벽에 의해 분리되는 다중 폭(multi-Wsi) 나노와이어들 및 나노리본들의 통합에 관한 것일 수 있다. 실시예에서, 나노와이어들/나노리본들은 프론트 엔드 공정 흐름의 게이트 엔드캡 아키텍처 부분에서 다수의 Wsi와 통합된다. 이러한 공정 흐름은 저전력 및 고성능을 갖는 차세대 트랜지스터들의 강건한 기능성을 제공하기 위해 상이한 Wsi의 나노와이어들 및 나노리본들의 통합을 수반할 수 있다. 도 9a 내지 도 9e와 연관되어 이하에서 더 상세히 기술되는 바와 같이, 연관된 에피택셜 소스 또는 드레인 영역들이 임베디드되거나(예컨대, 나노와이어들의 부분들이 제거되고 이어서 소스 또는 드레인(S/D) 성장이 수행됨) 또는 수직 병합에 의해 형성될 수 있다(예컨대, 에피택셜 영역들이 기존 와이어들 주위에 형성됨).
추가 정황을 제공하기 위해, 게이트 엔드캡 아키텍처의 장점들은 더 높은 레이아웃 밀도를 가능하게 하는 것과, 특히, 확산 대 확산 간격(diffusion to diffusion spacing)을 스케일링하는 것을 포함할 수 있다. 예시적인 비교를 제공하기 위해, 도 6은 본 개시내용의 실시예에 따른, 비-엔드캡 아키텍처(좌측 (a)) 대 게이트 엔드캡 아키텍처(우측 (b))에 대해 나노와이어들 및 핀들을 통해 취해진 단면도들을 예시한다.
도 6의 좌측 (a)을 참조하면, 집적 회로 구조체(600)는 서브-핀들(604)을 측방향으로 둘러싸는 격리 구조체(608) 내에서 그로부터 돌출하는 서브-핀들(604)을 갖는 기판(602)을 포함한다. 대응하는 나노와이어들(649 및 605)은 서브-핀들(604) 위에 있다. 일 실시예에서, 하위 나노와이어들(649)이 제거된다. 또 다른 실시예에서, 상위 나노와이어들(605)이 제거된다. 디바이스를 제조하기 위해 집적 회로 구조체(600) 위에 게이트 구조체가 형성될 수 있다. 그러나, 서브-핀(604)/나노와이어(649/605) 쌍들 사이의 간격을 증가시키는 것에 의해 이러한 게이트 구조체에서의 단절(break)들이 수용될 수 있다.
대조적으로, 도 6의 우측 (b)을 참조하면, 집적 회로 구조체(650)는 서브-핀들(654)을 측방향으로 둘러싸는 격리 구조체(658) 내에서 그로부터 돌출하는 서브-핀들(654)을 갖는 기판(652)을 포함한다. 대응하는 나노와이어들(699 및 655)은 서브-핀들(654) 위에 있다. 일 실시예에서, 하위 나노와이어들(699)이 제거된다. 또 다른 실시예에서, 상위 나노와이어들(655)이 제거된다. 격리 게이트 엔드캡 벽들(660)이 격리 구조체(658) 내에 그리고 인접한 서브-핀(654)/나노와이어(699/655) 쌍들 사이에 포함된다. 격리 게이트 엔드캡 벽(660)과 가장 가까운 서브-핀(654)/나노와이어(699/655) 쌍들 사이의 거리는 게이트 엔드캡 간격(662)을 정의한다. 게이트 구조체는 디바이스를 제조하기 위해 절연 게이트 엔드캡 벽들 사이에서 집적 회로 구조체(650) 위에 형성될 수 있다. 그러한 게이트 구조체에서의 단절들은 격리 게이트 엔드캡 벽들에 의해 부여된다. 격리 게이트 엔드캡 벽들(660)은 자기 정렬되므로, 종래의 접근법들로부터의 제한들은 더 적극적인 확산 대 확산 간격을 가능하게 하도록 최소화될 수 있다. 게다가, 게이트 구조체들이 모든 위치들에서 단절들을 포함하기 때문에, 개개의 게이트 구조체 부분들은 격리 게이트 엔드캡 벽들(660) 위에 형성된 로컬 인터커넥트들에 의해 층 연결될 수 있다. 실시예에서, 묘사된 바와 같이, 게이트 엔드캡 벽들(660) 각각은 하위 유전체 부분 및 하위 유전체 부분 상의 유전체 캡을 포함한다.
본 개시내용의 실시예에 따르면, 도 6과 연관된 구조체들에 대한 제조 공정은 도 3a 내지 도 3f와 연관되어 설명된 바와 같이 실리콘 나노와이어들 또는 나노리본들로의 게르마늄-확산에 기초하는 공정 방식의 사용을 수반한다. 실시예에서, 제조 공정은 디파퓰레이팅된 채널 구조체를 제공하는 공정 방식의 사용을 수반한다.
게이트 엔드캡 처리 방식은 마스크 편심(mask mis-registration)을 고려하기 위한 여분의 길이를 요구하지 않고서 핀들에 자기 정렬된 게이트/트렌치 콘택트 엔드캡들의 형성을 수반한다. 따라서, 실시예들은 트랜지스터 레이아웃 면적의 축소(shrinking)를 가능하게 하도록 구현될 수 있다. 본 명세서에 설명된 실시예들은 게이트 벽들, 격리 게이트 벽들 또는 게이트 엔드캡 벽들로도 지칭될 수 있는 게이트 엔드캡 격리 구조체들의 제조를 수반할 수 있다.
이웃 디바이스들을 분리하는 게이트 엔드캡 벽들을 갖는 구조체들에 대한 예시적인 처리 방식에서, 도 7은 본 개시내용의 실시예에 따른, 게이트-올-어라운드 디바이스들을 갖는 게이트 엔드캡 구조체를 제조하는 방법에서의 다양한 작업들을 나타내는 단면도들을 예시한다.
도 7의 (a) 부분을 참조하면, 시작 구조체는 기판(702) 위에 나노와이어 패터닝 스택(704)을 포함한다. 리소그래피 패터닝 스택(706)이 나노와이어 패터닝 스택(704) 위에 형성된다. 나노와이어 패터닝 스택(704)은 교번하는 게르마늄 층들(710) 및 실리콘 층들(712)을 포함한다. 나노와이어 패터닝 스택(704)과 리소그래피 패터닝 스택(706) 사이에 보호 마스크(714)가 있다. 일 실시예에서, 리소그래피 패터닝 스택(706)은 토포그래피 마스킹 부분(topographic masking portion)(720), 반사 방지 코팅(anti-reflective coating, ARC) 층(722), 및 포토레지스트 층(724)으로 구성되는 삼중층 마스크(trilayer mask)이다. 특정의 이러한 실시예에서, 토포그래피 마스킹 부분(720)은 탄소 하드마스크(carbon hardmask, CHM) 층이고 반사 방지 코팅 층(722)은 실리콘 ARC 층이다.
도 7의 (b) 부분을 참조하면, (a) 부분의 스택은 리소그래픽 패터닝되고 나서 에칭되어 패터닝된 기판(702) 및 트렌치들(730)을 포함하는 에칭된 구조체를 제공한다.
도 7의 (c) 부분을 참조하면, (b) 부분의 구조체는 트렌치들(730)에 형성되는 격리 층(740) 및 게이트 엔드캡 재료(742)를 갖는다. 이어서, 구조체를 평탄화하여, 패터닝된 토포그래피 마스킹 층(720')을 노출된 상위 층으로서 남긴다.
도 7의 (d) 부분을 참조하면, 격리 층(740)은 패터닝된 기판(702)의 상위 표면 아래로 리세싱되어, 예를 들어, 돌출 핀 부분을 정의하고 그리고 게이트 엔드캡 벽들(742) 아래에 트렌치 격리 구조체(741)를 제공한다.
도 7의 (e) 부분을 참조하면, 실리콘 나노와이어들(712A 및 712B)을 릴리즈하기 위해 게르마늄 층들(710)이 적어도 채널 영역에서 제거된다.
본 개시내용의 실시예에 따르면, 도 7과 연관된 구조체들에 대한 제조 공정은 도 3a 내지 도 3f와 연관되어 설명된 바와 같은, 실리콘 나노와이어들 또는 나노리본들로의 게르마늄-확산에 기초하는 공정 방식의 사용을 수반한다. 실시예에서, 제조 공정은 디파퓰레이팅된 채널 구조체를 제공하는 공정 방식의 사용을 수반한다. 예를 들어, 도 7의 (e) 부분을 참조하면, 실시예에서, 나노와이어(712B) 및 나노리본(712A)이 제거된다. 또 다른 이러한 실시예에서, 나노와이어(712B) 및 나노리본(799A)이 제거된다. 또 다른 이러한 실시예에서, 나노와이어(799B) 및 나노리본(799A)이 제거된다.
도 7의 (e) 부분의 구조체의 형성에 후속하여, 활성 나노와이어들 및/또는 나노리본들 주위에, 기판(702)의 돌출 핀들 위에, 그리고 게이트 엔드캡 벽들(742) 사이에 하나 이상의 게이트 스택이 형성될 수 있다. 일 실시예에서, 게이트 스택들의 형성 이전에, 보호 마스크(714)의 나머지 부분이 제거된다. 또 다른 실시예에서, 보호 마스크(714)의 나머지 부분은 처리 방식의 아티팩트로서 절연 핀 해트(insulating fin hat)로서 유지된다.
도 7의 (e) 부분을 다시 참조하면, 소스 또는 드레인 영역들이 페이지 안팎으로 위치하는, 채널 뷰가 묘사된다는 점을 인정해야 한다. 실시예에서, 나노와이어들(712B)을 포함하는 채널 영역은 나노와이어들(712A)을 포함하는 채널 영역보다 작은 폭을 갖는다. 따라서, 실시예에서, 집적 회로 구조체는 다중 폭(multi-Wsi) 나노와이어들을 포함한다. (712B 및 712A)의 구조체들은 제각기 나노와이어들 및 나노리본들로서 구별될 수 있지만, 이러한 구조체들 둘 다는 전형적으로 본 명세서에서 나노와이어들로 지칭된다. 또한, 핀/나노와이어 쌍에 대한 참조 또는 묘사는 전반적으로 핀 및 하나 이상의 위에 놓인 나노와이어(예를 들어, 2개의 위에 놓인 나노와이어가 도 7에 도시됨)을 포함하는 구조체를 지칭할 수 있다는 점을 인정해야 한다.
3개의 수직으로 배열된 나노와이어를 갖는 예시적인 집적 회로 구조체를 강조하기 위해, 도 8a는 본 개시내용의 실시예에 따른, 나노와이어-기반 집적 회로 구조체의 3차원 단면도를 예시한다. 도 8b는 a-a' 축을 따라 취해진 도 8a의 나노와이어-기반 집적 회로 구조체의 소스 또는 드레인 단면도를 예시한다. 도 8c는 b-b' 축을 따라 취해진 도 8a의 나노와이어-기반 집적 회로 구조체의 채널 단면도를 도시한다.
도 8a를 참조하면, 집적 회로 구조체(800)는 기판(802) 위에 하나 이상의 수직으로 적층된 나노와이어(804 세트)를 포함한다. 최하단 나노와이어와 기판(802) 사이의 선택적인 핀은 예시적인 목적으로 나노와이어 부분을 강조하기 위해 묘사되어 있지 않다. 본 명세서에서의 실시예들은 단일 와이어 디바이스들과 다중 와이어 디바이스 둘 다를 타겟으로 한다. 예로서, 예시적인 목적들을 위해 나노와이어들(804A, 804B 및 804C)을 갖는 3 나노와이어-기반 디바이스가 도시된다. 설명의 편의를 위해, 나노와이어(804A)는 설명이 나노와이어들 중 하나에 중점을 두는 예로서 사용된다. 하나의 나노와이어의 속성들이 설명되는 경우, 복수의 나노와이어에 기초하는 실시예들은 나노와이어들 각각에 대해 동일한 또는 본질적으로 동일한 속성들을 가질 수 있다는 것을 인정해야 한다.
나노와이어들(804) 각각은 나노와이어에 채널 영역(806)을 포함한다. 채널 영역(806)은 길이 L을 갖는다. 도 8c를 참조하면, 채널 영역은 길이(L)에 직교하는 둘레(Pc)를 또한 가진다. 도 8a와 도 8c 둘 다를 참조하면, 게이트 전극 스택(808)이 채널 영역들(806) 각각의 전체 둘레(Pc)를 둘러싼다. 게이트 전극 스택(808)은 채널 영역(806)과 게이트 전극(도시되지 않음) 사이에 게이트 유전체 층과 함께 게이트 전극을 포함한다. 실시예에서, 채널 영역(806)은 그것이 아래에 놓인 기판 재료 또는 위에 놓인 채널 제조 재료들과 같은 임의의 개재 재료 없이 게이트 전극 스택(808)에 의해 완전히 둘러싸인다는 점에서 이산적(discrete)이다. 따라서, 복수의 나노와이어(804)를 갖는 실시예들에서, 나노와이어들의 채널 영역들(806)도 또한 서로에 대해 이산적이다.
본 개시내용의 실시예에 따르면, 도 8a 내지 도 8c와 연관된 구조체들에 대한 제조 공정은 도 3a 내지 도 3f와 연관되어 설명된 바와 같은 실리콘 나노와이어들 또는 나노리본들 내로의 게르마늄-확산에 기초하는 공정 방식의 사용을 수반한다. 실시예에서, 제조 공정은 디파퓰레이팅된 채널 구조체를 제공하는 공정 방식의 사용을 수반한다. 예를 들어, 일 실시예에서, 나노와이어(804A)가 제거된다. 또 다른 실시예에서, 나노와이어(804A) 및 나노와이어(804B) 둘 다가 제거된다. 일 실시예에서, 나노와이어(804C)가 제거된다. 또 다른 실시예에서, 나노와이어(804C) 및 나노와이어(804B) 둘 다가 제거된다.
도 8a와 도 8b 둘 다를 참조하면, 집적 회로 구조체(800)는 한 쌍의 비-이산 소스 또는 드레인 영역들(810/812)을 포함한다. 한 쌍의 비-이산 소스 또는 드레인 영역들(810/812)은 복수의 수직으로 적층된 나노와이어(804)의 채널 영역들(806)의 양측에 있다. 게다가, 한 쌍의 비-이산 소스 또는 드레인 영역들(810/812)은 복수의 수직으로 적층된 나노와이어(804)의 채널 영역들(806)에 대해 인접(adjoin)한다. 묘사되지 않은 하나의 이러한 실시예에서, 한 쌍의 비-이산 소스 또는 드레인 영역들(810/812)은, 에피택셜 성장이 채널 영역들(806)을 넘어 연장되는 나노와이어 부분들 상에 그리고 그들 사이에 있다는 점에서 채널 영역들(806)에 대해 직접적으로 수직으로 인접하는데, 여기서 나노와이어 단부들은 소스 또는 드레인 구조체들 내에 보여진다. 또 다른 실시예에서, 도 8a에 묘사된 바와 같이, 한 쌍의 비-이산 소스 또는 드레인 영역들(810/812)은, 그것들이 나노와이어들의 단부들에 형성되고 나노와이어들 사이에 형성되지 않는다는 점에서 채널 영역들(806)에 대해 간접적으로 수직으로 인접한다.
실시예에서, 묘사된 바와 같이, 소스 또는 드레인 영역들(810/812)은 나노와이어(804)의 각각의 채널 영역(806)에 대해 개별 및 이산 소스 또는 드레인 영역들이 없다는 점에서 비-이산적이다. 따라서, 복수의 나노와이어(804)를 갖는 실시예들에서, 나노와이어들의 소스 또는 드레인 영역들(810/812)은 각각의 나노와이어에 대해 이산적인 것과는 대조적으로 전역적 또는 통합된 소스 또는 드레인 영역들이다. 일 실시예에서, 도 8b에 묘사된 바와 같이, 이산 채널 영역들(806)의 길이에 직교하는 단면 사시도로부터, 한 쌍의 비-이산 소스 또는 드레인 영역들(810/812) 각각은 하단 테이퍼링된 부분과 상단 정점 부분을 갖는 대략 직사각형 형상이다. 그러나, 다른 실시예들에서, 나노와이어들의 소스 또는 드레인 영역들(810/812)은 도 4f 내지 도 4j와 연관되어 설명된 너브(nub)들과 같이 상대적으로 더 크지만 이산 비-수직 병합된 에피택셜 구조체들이다.
본 개시내용의 실시예에 따르면, 그리고 도 8a 및 도 8b에 묘사된 바와 같이, 집적 회로 구조체(800)는 한 쌍의 콘택트들(814)을 추가로 포함하고, 각각의 콘택트(814)는 한 쌍의 비-이산 소스 또는 드레인 영역들(810/812) 중 하나 상에 있다. 하나의 이러한 실시예에서, 수직적 의미에서, 각각의 콘택트(814)는 각자의 비-이산 소스 또는 드레인 영역(810/812)을 완전히 둘러싼다. 또 다른 양태에서, 도 8b에 묘사된 바와 같이, 비-이산 소스 또는 드레인 영역들(810/812)의 전체 둘레가 콘택트들(814)과의 접촉을 위해 액세스가능하지 않을 수 있고, 따라서 콘택트들(814)이 비-이산 소스 또는 드레인 영역들(810/812)을 부분적으로만 둘러싼다. 묘사되지 않은 대조적인 실시예에서, a-a' 축을 따라 취해진, 비-이산 소스 또는 드레인 영역들(810/812)의 전체 둘레는 콘택트들(814)에 의해 둘러싸인다. 본 개시내용의 실시예에 따르면, 묘사되지는 않았지만, 콘택트들(814)의 쌍은 도 4j와 연관되어 설명된 바와 같은 비대칭 콘택트들의 쌍이다.
도 8b 및 도 8c를 참조하면, 비-이산 소스 또는 드레인 영역들(810/812)은 단일 통합된 피처가 복수(이 경우, 3개)의 나노와이어(804)에 대한 그리고 더욱 구체적으로는, 하나보다 많은 이산 채널 영역(806)에 대한 소스 또는 드레인 영역으로서 사용된다는 의미에서 전역적이다. 실시예에서, 한 쌍의 비-이산 소스 또는 드레인 영역들(810/812)은 이산 채널 영역들(806)의 반도체 재료와는 상이한 반도체 재료로 구성되어 있는데, 예컨대, 한 쌍의 비-이산 소스 또는 드레인 영역들(810/812)은 게르마늄 또는 실리콘 게르마늄으로 구성되어 있는 반면, 이산 채널 영역들(806)은 실리콘으로 구성되어 있다. 또 다른 실시예에서, 한 쌍의 비-이산 소스 또는 드레인 영역들(810/812)은 이산 채널 영역들(806)의 반도체 재료와 동일하거나 본질적으로 동일한 반도체 재료로 구성되어 있는데, 예컨대, 한 쌍의 비-이산 소스 또는 드레인 영역들(810/812) 및 이산 채널 영역들(806) 둘 다는 실리콘으로 구성되어 있다.
다시 도 8a를 참조하면, 실시예에서, 집적 회로 구조체(800)는 한 쌍의 스페이서들(816)을 추가로 포함한다. 묘사된 바와 같이, 한 쌍의 스페이서들(816)의 외측 부분들은 비-이산 소스 또는 드레인 영역들(810/812)의 부분들과 중첩되어, 한 쌍의 스페이서들(816) 아래에 비-이산 소스 또는 드레인 영역들(810/812)의 "임베디드된" 부분들을 제공할 수 있다. 또한 묘사된 바와 같이, 비-이산 소스 또는 드레인 영역들(810/812)의 임베드된 부분들은 한 쌍의 스페이서들(816) 전체 아래로 연장되지 않을 수 있다.
기판(802)은 집적 회로 구조체 제조에 적합한 재료로 구성될 수 있다. 일 실시예에서, 기판(802)은 실리콘, 게르마늄, 실리콘-게르마늄, 또는 III-V족 화합물 반도체 재료를 포함할 수 있지만 이에 제한되지는 않는 재료의 단결정으로 구성된 하위 벌크 기판을 포함한다. 실리콘 이산화물, 실리콘 질화물 또는 실리콘 산질화물을 포함할 수 있지만 이에 제한되지는 않는 재료로 구성된 상위 절연체 층이 하위 벌크 기판 상에 있다. 따라서, 구조체(800)는 시작 반도체-온-절연체 기판(starting semiconductor-on-insulator substrate)으로부터 제조될 수 있다. 대안적으로, 구조체(800)는 벌크 기판으로부터 직접 형성되고, 로컬 산화(local oxidation)를 사용하여 전술한 상위 절연체 층 대신에 전기 절연성 부분들을 형성한다. 또 다른 대안적인 실시예에서, 구조체(800)는 벌크 기판으로부터 직접 형성되고, 도핑을 사용하여 그 상에 나노와이어들과 같은 전기적으로 격리된 활성 영역들을 형성한다. 하나의 이러한 실시예에서, 첫번째 나노와이어(즉, 기판에 근접한 것)는 오메가-FET(omega-FET) 타입 구조체의 형태로 되어 있다.
실시예에서, 나노와이어들(804)은 이하에서 설명되는 바와 같이 와이어들 또는 리본들로서 크기가 정해질 수 있고, 사각형 또는 둥근 코너들을 가질 수 있다. 실시예에서, 나노와이어들(804)은 실리콘, 게르마늄, 또는 이들의 조합과 같은, 그러나 이에 제한되지는 않는 재료로 구성된다. 하나의 이러한 실시예에서, 나노와이어들(804)은 단결정질이다. 예를 들어, 실리콘 나노와이어(804)의 경우, 단결정질 나노와이어가 예를 들어 z-방향에서 <100> 면을 갖는 (100) 전역적 오리엔테이션을 기반으로 할 수 있다. 이하에서 설명되는 바와 같이, 다른 오리엔테이션들도 고려될 수 있다. 실시예에서, 단면 사시도에서, 나노와이어들(804)의 치수들은 나노-스케일(nano-scale)이다. 예를 들어, 구체적인 실시예에서, 나노와이어들(804)의 가장 작은 치수는 대략 20 나노미터 미만이다. 실시예에서, 나노와이어들(804)은, 특히 채널 영역들(806)에서, 변형 재료(strained material)로 구성된다.
도 8c를 참조하면, 실시예에서, 채널 영역들(806) 각각이 폭(Wc) 및 높이(Hc)를 가지며, 폭(Wc)은 높이(Hc)와 대략 동일하다. 즉, 두 경우 모두에서, 채널 영역들(806)은 정사각형-유사(square-like) 또는, 코너가 둥근 경우, 원형-유사(circle-like)인 단면 프로필을 갖는다. 또 다른 양태에서, 채널 영역의 폭 및 높이는, 전체에 걸쳐 설명된 나노리본들의 경우에서와 같이, 동일할 필요는 없다.
또 다른 양태에서, 핀/나노와이어 집적 회로 구조체의 나노와이어 부분을 제조하는 방법들이 제공된다. 예를 들어, 도 9a 내지 도 9e는 본 개시내용의 실시예에 따른, 핀/나노와이어 구조체의 나노와이어 부분을 제조하는 방법에서의 다양한 작업들을 나타내는 3차원 단면도들을 예시한다.
나노와이어 집적 회로 구조체를 제조하는 방법은 기판 위에 나노와이어를 형성하는 단계를 포함할 수 있다. 2개의 실리콘 나노와이어의 형성을 도시하는 구체적인 예에서, 도 9a는 그 상에 실리콘 층(904)/게르마늄 층(906)/실리콘 층(908) 스택을 갖는 기판(902)(예를 들어, 그 상에 절연 실리콘 이산화물 층(902B)이 있는 벌크 기판 실리콘 기판(902A)으로 구성됨)을 예시한다. 또 다른 실시예에서, 게르마늄 층/실리콘 층/게르마늄 층 스택이 2개의 게르마늄 나노와이어를 궁극적으로 형성하기 위해 사용될 수 있다는 점이 이해되어야 한다.
도 9b를 참조하면, 실리콘 층(904)/게르마늄 층(906)/실리콘 층(908) 스택의 부분뿐만 아니라 실리콘 이산화물 층(902B)의 상단 부분이, 예를 들어, 마스크 및 플라즈마 에칭 공정을 이용하여 핀-타입 구조체(910)가 되도록 패터닝된다. 예시의 목적을 위해, 도 9b의 에칭은 2개의 실리콘 나노와이어 전구체 부분을 형성하는 것으로 도시되어 있다는 것을 인정해야 한다. 에칭이 예시의 용이함을 위해 하단 격리 층 내에서 끝나는 것으로 도시되지만, 본 개시내용의 실시예들의 맥락 내에서 더 복잡한 스택들이 고려된다. 예를 들어, 공정은 도 7과 연관되어 설명된 바와 같이 나노와이어/핀 스택에 적용될 수 있다.
방법은 또한 나노와이어에 채널 영역을 형성하는 단계를 포함할 수 있고, 채널 영역은 길이 및 길이에 직교하는 둘레를 갖는다. 2개의 실리콘 나노와이어 위에 3개의 게이트 구조체를 형성하는 것을 도시하는 특정 예에서, 도 9c는 그 상에 3개의 희생 게이트(912A, 912B 및 912C)를 갖는 핀-타입 구조체(910)를 예시한다. 하나의 그러한 실시예에서, 3개의 희생 게이트(912A, 912B, 및 912C)는 플라즈마 에칭 공정에 의해 블랭킷 퇴적되고 패터닝되는 희생 게이트 산화물 층(914) 및 희생 폴리실리콘 게이트 층(916)으로 구성된다.
3개의 희생 게이트(912A, 912B, 912C)를 형성하기 위한 패터닝에 이어서, 3개의 희생 게이트(912A, 912B, 912C)의 측벽들 상에 스페이서들이 형성될 수 있고, 도핑(예로서, 팁(tip) 및/또는 소스 및 드레인 타입 도핑)이 수행될 수 있으며, 3개의 희생 게이트(912A, 912B, 912C)를 커버하도록 층간 유전체 층이 형성될 수 있다. 층간 유전체 층은 대체 게이트 또는 게이트-최종 공정을 위해 3개의 희생 게이트(912A, 912B 및 912C)를 노출시키도록 연마될 수 있다.
도 9d를 참조하면, 3개의 희생 게이트(912A, 912B 및 912C)가 제거되어, 스페이서(918) 및 층간 유전체 층(920)의 부분이 남게 된다. 추가적으로, 핀 구조체(910)의 게르마늄 층(906)의 부분들 및 절연 실리콘 이산화물 층(902B)의 부분이 3개의 희생 게이트(912A, 912B 및 912C)에 의해 원래 커버된 영역들에서 제거된다. 따라서, 도 9d에 묘사된 바와 같이, 실리콘 층들(904 및 908)의 이산 부분들이 남는다.
일 실시예에서, 도 9d에 도시된 실리콘 층들(904 및 908)의 이산 부분들은 궁극적으로 나노와이어-기반 디바이스에서 채널 영역들이 될 것이다. 따라서, 도 9d에 묘사된 공정 국면에서, 채널 엔지니어링 또는 튜닝이 수행될 수 있다. 예를 들어, 일 실시예에서, 도 9d에 도시된 실리콘 층들(904 및 908)의 이산 부분들은 산화 및 에칭 공정을 이용하여 박형화된다. 그러한 에칭 공정은 게르마늄 층(906)을 에칭함으로써 와이어들이 분리되는 것과 동시에 수행될 수 있다. 따라서, 실리콘 층들(904 및 908)로부터 형성된 초기 와이어들은 더 두껍게 시작하고, 디바이스의 소스 및 드레인 영역들의 크기와는 독립적으로, 나노와이어 디바이스에서의 채널 영역에 대해 적합한 크기로 박형화된다. 따라서, 실시예에서, 채널 영역을 형성하는 단계는 나노와이어의 부분을 제거하는 단계를 포함하고, (이하 설명되는) 소스 및 드레인 영역들의 결과적인 둘레들은 결과적인 채널 영역의 둘레보다 크다.
본 개시내용의 실시예에 따르면, 3개의 희생 게이트들(912A, 912B, 및 912C)의 제거 및 3개의 희생 게이트들(912A, 912B, 및 912C)에 의해 원래 커버된 영역들로부터의 핀 구조체(910)의 게르마늄 층(906)의 부분들 및 절연 실리콘 이산화물 층(902B)의 부분의 제거에 후속하여, 도 3a 내지 도 3f와 연관되어 설명된 바와 같이, 실리콘 나노와이어들 또는 나노리본들로의 게르마늄-확산에 기초하여 게이트-올-어라운드 집적 회로 구조체를 제공하는 제조 공정이 수행된다. 실시예에서, 제조 공정은 디파퓰레이팅된 채널 구조체를 제공하는 공정 방식의 사용을 수반한다.
방법은 또한 채널 영역의 전체 둘레를 둘러싸는 게이트 전극 스택을 형성하는 단계를 포함할 수 있다. 2개의 실리콘 나노와이어 위에 3개의 게이트 구조체를 형성한 것을 도시하는 특정 예에서, 도 9e는 스페이서들(918) 사이 내에서, (하이-k 게이트 유전체 층과 같은) 게이트 유전체 층(922) 및 (금속 게이트 전극 층과 같은) 게이트 전극 층(924)의 퇴적, 및 후속 연마에 뒤이은 구조체를 예시한다. 즉, 게이트 구조체들은 도 9d의 트렌치들(921)에 형성된다. 추가적으로, 도 9e는 영구 게이트 스택의 형성 후의 층간 유전체 층(920)의 후속 제거의 결과를 묘사한다. 핀 구조체(910)의 게르마늄 층(906)의 부분들 및 절연 실리콘 이산화물 층(902B)의 부분도 도 9d에 묘사된 층간 유전체 층(920)의 부분에 의해 원래 커버된 영역들에서 제거된다. 따라서, 도 9e에 묘사된 바와 같이, 실리콘 층들(904 및 908)의 이산 부분들이 남는다.
방법은 또한 채널 영역의 양측에, 나노와이어에 한 쌍의 소스 및 드레인 영역들을 형성하는 단계를 포함할 수 있고, 소스 및 드레인 영역들 각각은 채널 영역의 길이에 직교하는 둘레를 갖는다. 구체적으로, 도 9e에 도시된 실리콘 층들(904 및 908)의 이산 부분들은, 일 실시예에서, 궁극적으로 나노와이어-기반 디바이스에서 소스 및 드레인 영역들의 적어도 일부분이 될 것이다. 하나의 그러한 실시예에서, 에피택셜 소스 또는 드레인 구조체들은 기존의 나노와이어들(904 및 908) 주위에 에피택셜 재료를 병합함으로써 형성된다. 또 다른 실시예에서, 에피택셜 소스 또는 드레인 구조체들이 임베디드되는데, 예를 들어, 나노와이어들(904 및 908)의 부분들이 제거되고 나서 소스 또는 드레인(S/D) 성장이 수행된다. 후자의 경우에, 본 개시내용의 실시예에 따르면, 이러한 에피택셜 소스 또는 드레인 구조체들은, 도 8a 및 도 8b와 연관되어 예시된 바와 같이, 비-이산적일 수 있거나, 도 4j와 연관되어 예시된 바와 같이, 이산적일 수 있다. 어느 경우든, 일 실시예에서, 소스 또는 드레인 구조체들은 N형 에피택셜 소스 또는 드레인 구조체들이며, 둘 다는 인 도펀트 불순물 원자들을 포함한다.
방법은 후속해서 한 쌍의 콘택트를 형성하는 단계를 포함할 수 있고, 한 쌍의 콘택트 중 제1 콘택트는 소스 영역의 둘레를 완전히 또는 거의 완전히 둘러싸고, 한 쌍의 콘택트 중 제2 콘택트는 드레인 영역의 둘레를 완전히 또는 거의 완전히 둘러싼다. 실시예에서, 콘택트들의 쌍은 도 4j와 연관되어 설명된 바와 같이 소스 및 드레인 콘택트 구조체들의 비대칭 쌍이다. 다른 실시예들에서, 콘택트들의 쌍은 소스 및 드레인 콘택트 구조체들의 대칭 쌍이다. 구체적으로는, 에피택셜 성장에 이어서 도 9e의 트렌치들(925)에 콘택트들이 형성된다. 트렌치들 중 하나는 먼저 트렌치들 중 다른 것보다 더 리세싱될 수 있다. 실시예에서, 콘택트들은 금속성 종들로부타 형성된다. 하나의 그러한 실시예에서, 금속성 종들은 콘택트 금속을 등각으로 퇴적하고 그 후 임의의 남아 있는 트렌치 볼륨을 채움으로써 형성된다. 퇴적의 등각 양태는 CVD(chemical vapor deposition), ALD(atomic layer deposition), 또는 금속 리플로우(metal reflow)를 사용하여 수행될 수 있다.
실시예에서, 본 명세서 전반에서 설명되는 바와 같이, 집적 회로 구조체는 대응하는 하나 이상의 위에 놓인 나노와이어 구조체를 갖는 finFET 또는 트라이-게이트 디바이스와 같은, 그러나 이에 제한되지는 않는 비평면 디바이스들을 포함한다. 이러한 실시예에서, 대응하는 반도체 채널 영역은, 하나 이상의 이산 나노와이어 채널 부분이 3차원 보디 위에 놓이면서, 3차원 보디로 구성되거나 3차원 보디에 형성된다. 하나의 그러한 실시예에서, 게이트 구조체들은 3차원 보디의 적어도 상단면 및 한 쌍의 측벽들을 둘러싸고, 하나 이상의 이산 나노와이어 채널 부분 각각을 더 둘러싼다.
실시예에서, 전체에 걸쳐 설명되는 바와 같이, 기판은 제조 공정을 견딜 수 있고 전하가 이주(migrate)할 수 있는 반도체 재료로 구성될 수 있다. 실시예에서, 기판은 활성 영역을 형성하기 위해 인, 비소, 붕소, 갈륨 또는 이들의 조합과 같은, 그러나 이에 제한되지는 않는, 전하 캐리어로 도핑된 결정질 실리콘, 실리콘/게르마늄 또는 게르마늄 층으로 구성되는 벌크 기판이다. 일 실시예에서, 벌크 기판에서의 실리콘 원자들의 농도는 97% 초과이다. 또 다른 실시예에서, 벌크 기판은 별개의 결정질 기판 꼭대기에 성장된 에피택셜 층, 예를 들어, 붕소-도핑된 벌크 실리콘 단결정질 기판(boron-doped bulk silicon mono-crystalline substrate) 꼭대기에 성장된 실리콘 에피택셜 층으로 구성된다. 벌크 기판은 대안적으로 III-V족 재료로 구성될 수 있다. 실시예에서, 벌크 기판은 갈륨 질화물, 갈륨 인화물, 갈륨 비화물, 인듐 인화물, 인듐 안티몬화물, 인듐 갈륨 비화물, 알루미늄 갈륨 비화물, 인듐 갈륨 인화물, 또는 이들의 조합과 같은, 그러나 이에 제한되지는 않는, III-V족 재료로 구성된다. 일 실시예에서, 벌크 기판은 III-V족 재료로 구성되고, 전하 캐리어 도펀트 불순물 원자들은 탄소, 실리콘, 게르마늄, 산소, 황, 셀레늄 또는 텔루륨과 같은, 그러나 이에 제한되지는 않는, 원자들이다.
실시예에서, 전반적으로 설명된 바와 같이, 트렌치 격리 층은 아래에 놓인 벌크 기판으로부터 영구 게이트 구조체의 부분들을 궁극적으로 전기적으로 격리하거나 그의 격리에 기여하거나, 또는 핀 활성 영역들을 격리하는 것과 같이 아래에 놓인 벌크 기판 내에 형성된 활성 영역들을 격리하기에 적합한 재료로 구성될 수 있다. 예를 들어, 일 실시예에서, 트렌치 격리 층은, 실리콘 이산화물, 실리콘 산질화물, 실리콘 질화물, 또는 탄소 도핑된 실리콘 질화물과 같은, 그러나 이에 제한되지는 않는, 유전체 재료로 구성된다.
실시예에서, 전반적으로 설명되는 바와 같이, 게이트 엔드캡 격리 구조체들은 영구 게이트 구조체들의 부분들을 서로 궁극적으로 전기적으로 격리하거나 그의 격리에 기여하기에 적합한 재료 또는 재료들로 구성될 수 있다. 예시적인 재료들 또는 재료 조합들은 실리콘 이산화물, 실리콘 산질화물, 실리콘 질화물, 또는 탄소-도핑된 실리콘 질화물과 같은 단일 재료 구조체를 포함한다. 다른 예시적인 재료들 또는 재료 조합들은, 실리콘 이산화물, 실리콘 산질화물, 실리콘 질화물, 또는 탄소-도핑된 실리콘 질화물의 하위 부분과, 하프늄 산화물과 같은 더 높은 유전 상수 재료의 상위 부분을 갖는 다층 스택을 포함한다.
본 명세서에 개시되는 실시예들은 매우 다양한 상이한 타입들의 집적 회로들 및/또는 마이크로전자 디바이스들을 제조하기 위해 사용될 수 있다. 이러한 집적 회로들의 예들은 프로세서들, 칩셋 컴포넌트들, 그래픽 프로세서들, 디지털 신호 프로세서들, 마이크로-컨트롤러들, 및 이와 유사한 것을 포함하지만, 이들로 제한되지 않는다. 다른 실시예들에서, 반도체 메모리가 제조될 수 있다. 더욱이, 집적 회로들 또는 다른 마이크로전자 디바이스들은 본 기술분야에 알려진 매우 다양한 전자 디바이스들에서 사용될 수 있다. 예를 들어, 컴퓨터 시스템들(예를 들어, 데스크톱, 랩톱, 서버), 셀룰러 폰들, 개인용 전자기기들 등에서. 집적 회로들은 시스템들 내의 버스 및 다른 컴포넌트들과 결합될 수 있다. 예를 들어, 프로세서는 하나 이상의 버스에 의해 메모리, 칩셋 등에 결합될 수 있다. 프로세서, 메모리, 및 칩셋 각각은 본 명세서에 개시된 접근법들을 사용하여 잠재적으로 제조될 수 있다.
도 10은 본 개시내용의 실시예의 일 구현에 따른 컴퓨팅 디바이스(1000)를 예시한다. 컴퓨팅 디바이스(1000)는 보드(1002)를 하우징한다. 보드(1002)는 프로세서(1004) 및 적어도 하나의 통신 칩(1006)을 포함하지만 이에 제한되지는 않는 다수의 컴포넌트를 포함할 수 있다. 프로세서(1004)는 보드(1002)에 물리적으로 및 전기적으로 결합된다. 일부 구현들에서, 적어도 하나의 통신 칩(1006)이 또한 보드(1002)에 물리적으로 및 전기적으로 결합된다. 추가 구현들에서, 통신 칩(1006)은 프로세서(1004)의 일부이다.
그 응용들에 좌우되어, 컴퓨팅 디바이스(1000)는 보드(1002)에 물리적으로 및 전기적으로 결합될 수 있거나 결합되지 않을 수 있는 다른 컴포넌트들을 포함할 수 있다. 이러한 다른 컴포넌트들은 휘발성 메모리(예를 들어, DRAM), 비휘발성 메모리(예를 들어, ROM), 플래시 메모리, 그래픽 프로세서, 디지털 신호 프로세서, 암호 프로세서, 칩셋, 안테나, 디스플레이, 터치스크린 디스플레이, 터치스크린 제어기, 배터리, 오디오 코덱, 비디오 코덱, 전력 증폭기, 글로벌 포지셔닝 시스템(global positioning system, GPS) 디바이스, 컴퍼스, 가속도계, 자이로스코프, 스피커, 카메라, 및 대용량 저장 디바이스(예컨대, 하드 디스크 드라이브, 콤팩트 디스크(CD), 디지털 다기능 디스크(DVD) 등)를 포함하지만, 이에 제한되지는 않는다.
통신 칩(1006)은 컴퓨팅 디바이스(1000)로의 그리고 그로부터의 데이터의 전송을 위한 무선 통신을 가능하게 한다. "무선"이라는 용어 및 그 파생어들은, 비-고체 매체를 통한 변조된 전자기 방사(modulated electromagnetic radiation)의 사용을 통하여 데이터를 통신할 수 있는 회로들, 디바이스들, 시스템들, 방법들, 기법들, 통신 채널들 등을 설명하는 데 이용될 수 있다. 이 용어는, 연관된 디바이스들이 임의의 와이어들을 포함하지 않는다는 것을 암시하지는 않지만, 일부 실시예들에서는 그렇지 않을 수도 있다. 통신 칩(1006)은, 이에 제한되는 것은 아니지만, Wi-Fi(IEEE 802.11 패밀리), WiMAX(IEEE 802.16 패밀리), IEEE 802.20, 롱 텀 에볼루션(long term evolution, LTE), Ev-DO, HSPA+, HSDPA+, HSUPA+, EDGE, GSM, GPRS, CDMA, TDMA, DECT, 블루투스(Bluetooth), 그 파생물들뿐만 아니라, 3G, 4G, 5G 이상으로서 지정되는 임의의 다른 무선 프로토콜들을 포함하는, 다수의 무선 표준들 또는 프로토콜들 중 임의의 것을 구현할 수 있다. 컴퓨팅 디바이스(1000)는 복수의 통신 칩(1006)을 포함할 수 있다. 예를 들어, 제1 통신 칩(1006)은 Wi-Fi 및 블루투스와 같은 단거리 무선 통신들(shorter range wireless communications)에 전용될 수 있고, 제2 통신 칩(1006)은 GPS, EDGE, GPRS, CDMA, WiMAX, LTE, Ev-DO, 및 다른 것들과 같은 장거리 무선 통신들(longer range wireless communications)에 전용될 수 있다.
컴퓨팅 디바이스(1000)의 프로세서(1004)는 프로세서(1004) 내에 패키징된 집적 회로 다이(integrated circuit die)를 포함한다. 프로세서(1004)의 집적 회로 다이는 본 개시내용의 실시예들의 구현들에 따라 구축된 게르마늄-확산 나노와이어/나노리본 채널 구조체들을 갖는 게이트-올-어라운드 집적 회로 구조체들과 같은 하나 이상의 구조체를 포함할 수 있다. 용어 "프로세서"는, 레지스터들 및/또는 메모리로부터의 전자 데이터를 처리하여 그 전자 데이터를 레지스터들 및/또는 메모리에 저장될 수 있는 다른 전자 데이터로 변환하는 임의의 디바이스 또는 디바이스의 일부를 지칭할 수 있다.
통신 칩(1006)은 또한 통신 칩(1006) 내에 패키징된 집적 회로 다이를 포함한다. 통신 칩(1006)의 집적 회로 다이는 본 개시내용의 실시예들의 구현들에 따라 구축된 게르마늄-확산 나노와이어/나노리본 채널 구조체들을 갖는 게이트-올-어라운드 집적 회로 구조체들과 같은 하나 이상의 구조체를 포함할 수 있다.
추가 구현들에서, 컴퓨팅 디바이스(1000) 내에 하우징된 또 다른 컴포넌트는 본 개시내용의 실시예들의 구현들에 따라 구축된 게르마늄-확산 나노와이어/나노리본 채널 구조체들을 갖는 게이트-올-어라운드 집적 회로 구조체들과 같은 하나 이상의 구조체를 포함하는 집적 회로 다이를 포함할 수 있다.
다양한 구현들에서, 컴퓨팅 디바이스(1000)는 랩톱, 넷북, 노트북, 울트라북, 스마트폰, 태블릿, PDA(personal digital assistant), 울트라 모바일 PC, 모바일 폰, 데스크톱 컴퓨터, 서버, 프린터, 스캐너, 모니터, 셋톱박스, 엔터테인먼트 제어 유닛, 디지털 카메라, 휴대용 음악 플레이어, 또는 디지털 비디오 레코더일 수 있다. 추가 구현들에서, 컴퓨팅 디바이스(1000)는 데이터를 처리하는 임의의 다른 전자 디바이스일 수 있다.
도 11은 본 개시내용의 하나 이상의 실시예를 포함하는 인터포저(1100)를 도시한다. 인터포저(1100)는 제1 기판(1102)을 제2 기판(1104)에 브리지(bridge)하기 위해 사용되는 개재 기판이다. 제1 기판(1102)은, 예를 들어, 집적 회로 다이일 수 있다. 제2 기판(1104)은, 예를 들어, 메모리 모듈, 컴퓨터 마더보드, 또는 또 다른 집적 회로 다이일 수 있다. 일반적으로, 인터포저(1100)의 목적은 연결을 더 넓은 피치로 확산(spread)시키거나 또는 연결을 상이한 연결로 리라우팅(reroute)하는 것이다. 예를 들어, 인터포저(1100)는 집적 회로 다이를 BGA(ball grid array)(1106)에 결합할 수 있고, BGA는 후속하여 제2 기판(1104)에 결합될 수 있다. 일부 실시예들에서, 제1 및 제2 기판들(1102/1104)은 인터포저(1100)의 대향 측면들에 부착된다. 다른 실시예들에서, 제1 및 제2 기판들(1102/1104)은 인터포저(1100)의 동일 측면에 부착된다. 그리고 추가 실시예들에서, 3개의 이상의 기판이 인터포저(1100)에 의해 인터커넥트된다.
인터포저(1100)는 에폭시 수지, 섬유유리-강화 에폭시 수지(fiberglass-reinforced epoxy resin), 세라믹 재료, 또는 폴리이미드와 같은 폴리머 재료로 형성될 수 있다. 추가 구현들에서, 인터포저(1100)는 실리콘, 게르마늄, 및 다른 III-V족 및 IV족 재료들과 같이, 반도체 기판에 사용하기 위해 위에서 설명한 동일한 재료들을 포함할 수 있는 대안적인 강성 또는 연성 재료들로 형성될 수 있다.
인터포저(1100)는, 실리콘-관통 비아(through-silicon via, TSV)들(1112)을 포함하지만 이에 제한되지는 않는, 비아들(1110) 및 금속 인터커넥트들(1108)을 포함할 수 있다. 인터포저(1100)는 수동 및 능동 디바이스들을 둘 다 포함하는 임베디드 디바이스들(1114)을 추가로 포함할 수 있다. 그러한 디바이스들은 커패시터들, 디커플링 커패시터들(decoupling capacitors), 저항기들, 인덕터들, 퓨즈들, 다이오드들, 트랜스포머들, 센서들, 및 정전기 방전(electrostatic discharge, ESD) 디바이스들을 포함할 수 있지만, 이들로 제한되지는 않는다. RF(radio-frequency) 디바이스들, 전력 증폭기들, 전력 관리 디바이스들, 안테나들, 어레이들, 센서들, 및 MEMS 디바이스들과 같은 보다 복잡한 디바이스들이 또한 인터포저(1100) 상에 형성될 수 있다. 본 개시내용의 실시예들에 따르면, 본 명세서에 개시된 장치들 또는 공정들은 인터포저(1100)의 제조에 또는 인터포저(1100)에 포함된 컴포넌트들의 제조에 사용될 수 있다.
따라서, 본 개시내용의 실시예들은 게르마늄-확산 나노와이어/나노리본 채널 구조체들을 갖는 게이트-올-어라운드 집적 회로 구조체들, 및 게르마늄-확산 나노와이어/나노리본 채널 구조체들을 갖는 게이트-올-어라운드 집적 회로 구조체들을 제조하는 방법들을 포함한다.
요약서에 설명된 것을 포함하여, 본 개시내용의 실시예들의 예시된 구현들의 상기한 설명은, 본 개시내용을 개시된 정확한 형태들로만 제한하거나 또는 총망라하는 것으로 의도되지 않는다. 본 개시내용의 특정 구현들 및 본 개시내용에 대한 예들이 예시의 목적으로 본 명세서에 설명되어 있지만, 관련 기술분야의 통상의 기술자들이라면 인식할 수 있는 바와 같이, 본 개시내용의 범위 내에서 다양한 등가의 수정들이 가능하다.
이러한 수정들은 전술한 상세한 설명에 비추어 본 개시내용에 대해 행해질 수 있다. 다음의 청구항들에 사용되는 용어들은 본 개시내용을 명세서 및 청구항들에 개시되는 특정 구현들로 제한하는 것으로 해석되어서는 안 된다. 오히려, 본 개시내용의 범위는 청구항 해석의 확립된 원칙들에 따라 해석되어야 하는 다음의 청구항들에 의해 전적으로 결정되어야 한다.
예시적인 실시예 1: 집적 회로 구조체가 서브-핀 구조체 위의 나노와이어들의 수직 배열을 포함하고, 여기서 나노와이어들의 수직 배열 중 개개의 것들은 실리콘 및 게르마늄을 포함하고, 서브-핀 구조체는 서브-핀 구조체의 하단에서보다 서브-핀 구조체의 상단에서 상대적으로 더 높은 게르마늄 농도를 가진다.
예시적인 실시예 2: 예시적인 실시예 1의 집적 회로 구조체로서, 여기서 서브-핀 구조체의 하단은 게르마늄을 포함하지 않는다.
예시적인 실시예 3: 예시적인 실시예 1 또는 예시적인 실시예 2의 집적 회로 구조체로서, 나노와이어들의 수직 배열 위에 게이트 스택을 추가로 포함한다.
예시적인 실시예 4: 예시적인 실시예 1, 예시적인 실시예 2 또는 예시적인 실시예 3의 집적 회로 구조체로서, 나노와이어들의 수직 배열의 측방향 단부들에 에피택셜 소스 또는 드레인 구조체들을 추가로 포함한다.
예시적인 실시예 5: 예시적인 실시예 4의 집적 회로 구조체로서, 여기서 에피택셜 소스 또는 드레인 구조체들은 비-이산 에피택셜 소스 또는 드레인 구조체들이다.
예시적인 실시예 6: 예시적인 실시예 4의 집적 회로 구조체로서, 여기서 에피택셜 소스 또는 드레인 구조체들은 이산 에피택셜 소스 또는 드레인 구조체들이다.
예시적 실시예 7: 예시적인 실시예 1, 예시적인 실시예 2, 예시적인 실시예 3, 예시적인 실시예 4, 예시적인 실시예 5 또는 예시적인 실시예 6의 집적 회로 구조체로서, 여기서 서브-핀 구조체는 기판으로부터 연장된다.
예시적인 실시예 8: 집적 회로 구조체가 기판 위의 제1 나노와이어들의 수직 배열을 포함하고, 여기서 제1 나노와이어들의 수직 배열 중 개개의 것들은 실리콘을 포함한다. 제2 나노와이어들의 수직 배열은 기판 위에 있다. 제2 나노와이어들의 수직 배열 중 개개의 것들은 실리콘 및 게르마늄을 포함한다. 제2 나노와이어들의 수직 배열 중 개개의 것들은 제1 나노와이어들의 수직 배열 중 대응하는 개개의 것들과 동일한 평면에 있다.
예시적인 실시예 9: 예시적인 실시예 8의 집적 회로 구조체로서, 여기서 제1 나노와이어들의 수직 배열은 실리콘을 포함하는 제1 서브-핀 구조체 위에 있고, 여기서 제2 나노와이어들의 수직 배열은 실리콘 및 게르마늄을 포함하는 제2 서브-핀 구조체 위에 있다.
예시적인 실시예 10: 예시적인 실시예 9의 집적 회로 구조체로서, 여기서 제2 서브-핀 구조체는 제2 서브-핀 구조체의 하단에서보다 제2 서브-핀 구조체의 상단에서 상대적으로 더 높은 게르마늄 농도를 갖는다.
예시적인 실시예 11: 예시적인 실시예 10의 집적 회로 구조체로서, 여기서 제2 서브-핀 구조체의 하단은 게르마늄을 포함하지 않는다.
예시적인 실시예 12: 예시적인 실시예 8, 예시적인 실시예 9, 예시적인 실시예 10 또는 예시적인 실시예 11의 집적 회로 구조체로서, 여기서 제1 나노와이어들의 수직 배열 중 개개의 것들은 본질적으로 실리콘으로 구성되어 있다.
예시적인 실시예 13: 컴퓨팅 디바이스가 보드, 및 보드에 결합된 컴포넌트를 포함한다. 컴포넌트는 서브-핀 구조체 위의 나노와이어들의 수직 배열을 포함하는 집적 회로 구조체를 포함하고, 여기서 나노와이어들의 수직 배열 중 개개의 것들은 실리콘 및 게르마늄을 포함하고, 여기서 서브-핀 구조체는 서브-핀 구조체의 하단에서보다 서브-핀 구조체의 상단에서 상대적으로 더 높은 게르마늄 농도를 가진다.
예시적인 실시예 14: 예시적인 실시예 13의 컴퓨팅 디바이스로서, 보드에 결합되는 메모리를 추가로 포함한다.
예시적인 실시예 15: 예시적인 실시예 13 또는 예시적인 실시예 14의 컴퓨팅 디바이스로서, 보드에 결합되는 통신 칩을 추가로 포함한다.
예시적인 실시예 16: 예시적인 실시예 13, 예시적인 실시예 14 또는 예시적인 실시예 15의 컴퓨팅 디바이스로서, 보드에 결합되는 카메라를 추가로 포함한다.
예시적인 실시예 17: 예시적인 실시예 13, 예시적인 실시예 14, 예시적인 실시예 15 또는 예시적인 실시예 16의 컴퓨팅 디바이스로서, 보드에 결합되는 배터리를 추가로 포함한다.
예시적인 실시예 18: 예시적인 실시예 13, 예시적인 실시예 14, 예시적인 실시예 15, 예시적인 실시예 16 또는 예시적인 실시예 17의 컴퓨팅 디바이스로서, 보드에 결합되는 안테나를 추가로 포함한다.
예시적인 실시예 19: 예시적인 실시예 13, 예시적인 실시예 14, 예시적인 실시예 15, 예시적인 실시예 16, 예시적인 실시예 17 또는 예시적인 실시예 18의 컴퓨팅 디바이스로서, 컴포넌트는 패키징된 집적 회로 다이이다.
예시적인 실시예 20: 예시적인 실시예 13, 예시적인 실시예 14, 예시적인 실시예 15, 예시적인 실시예 16, 예시적인 실시예 17, 예시적인 실시예 18 또는 예시적인 실시예 19의 컴퓨팅 디바이스로서, 컴포넌트는 프로세서, 통신 칩, 및 디지털 신호 프로세서로 구성되는 그룹으로부터 선택된다.

Claims (20)

  1. 집적 회로 구조체로서:
    서브-핀 구조체 위의 나노와이어들의 수직 배열 - 상기 나노와이어들의 수직 배열 중 개개의 것들은 실리콘 및 게르마늄을 포함하고, 상기 서브-핀 구조체는 상기 서브-핀 구조체의 하단에서보다 상기 서브-핀 구조체의 상단에서 상대적으로 더 높은 게르마늄 농도를 가짐 - 을 포함하는 집적 회로 구조체.
  2. 제1항에 있어서,
    상기 서브-핀 구조체의 하단은 게르마늄을 포함하지 않는 집적 회로 구조체.
  3. 제1항 또는 제2항에 있어서,
    상기 나노와이어들의 수직 배열 위의 게이트 스택을 추가로 포함하는 집적 회로 구조체.
  4. 제1항 또는 제2항에 있어서,
    상기 나노와이어들의 수직 배열의 측방향 단부들에 있는 에피택셜 소스 또는 드레인 구조체들을 추가로 포함하는 집적 회로 구조체.
  5. 제4항에 있어서,
    상기 에피택셜 소스 또는 드레인 구조체들은 비-이산 에피택셜 소스 또는 드레인 구조체들인 집적 회로 구조체.
  6. 제4항에 있어서,
    상기 에피택셜 소스 또는 드레인 구조체들은 이산 에피택셜 소스 또는 드레인 구조체들인 집적 회로 구조체.
  7. 제1항 또는 제2항에 있어서,
    상기 서브-핀 구조체는 기판으로부터 연장되는 집적 회로 구조체.
  8. 집적 회로 구조체로서:
    기판 위의 제1 나노와이어들의 수직 배열 - 상기 제1 나노와이어들의 수직 배열 중 개개의 것들은 실리콘을 포함함 -;
    상기 기판 위의 제2 나노와이어들의 수직 배열 - 상기 제2 나노와이어들의 수직 배열 중 개개의 것들은 실리콘 및 게르마늄을 포함하고, 상기 제2 나노와이어들의 수직 배열 중 개개의 것들은 상기 제1 나노와이어들의 수직 배열 중 대응하는 개개의 것들과 동일한 평면에 있음 - 을 포함하는 집적 회로 구조체.
  9. 제8항에 있어서,
    상기 제1 나노와이어들의 수직 배열은 실리콘을 포함하는 제1 서브-핀 구조체 위에 있고, 상기 제2 나노와이어들의 수직 배열은 실리콘 및 게르마늄을 포함하는 제2 서브-핀 구조체 위에 있는 집적 회로 구조체.
  10. 제9항에 있어서,
    상기 제2 서브-핀 구조체는 상기 제2 서브-핀 구조체의 하단에서보다 상기 제2 서브-핀 구조체의 상단에서 상대적으로 더 높은 게르마늄 농도를 갖는 집적 회로 구조체.
  11. 제10항에 있어서,
    상기 제2 서브-핀 구조체의 하단은 게르마늄을 포함하지 않는 집적 회로 구조체.
  12. 제8항 내지 제11항 중 어느 한 항에 있어서,
    상기 제1 나노와이어들의 수직 배열 중 개개의 것들은 본질적으로 실리콘으로 구성되는 집적 회로 구조체.
  13. 컴퓨팅 디바이스로서:
    보드; 및
    상기 보드에 결합된 컴포넌트를 포함하고, 상기 컴포넌트는 집적 회로 구조체를 포함하고, 상기 집적 회로 구조체는:
    서브-핀 구조체 위의 나노와이어들의 수직 배열 - 상기 나노와이어들의 수직 배열 중 개개의 것들은 실리콘 및 게르마늄을 포함하고, 상기 서브-핀 구조체는 상기 서브-핀 구조체의 하단에서보다 상기 서브-핀 구조체의 상단에서 상대적으로 더 높은 게르마늄 농도를 가짐 - 을 포함하는 컴퓨팅 디바이스.
  14. 제13항에 있어서,
    상기 보드에 결합된 메모리를 추가로 포함하는 컴퓨팅 디바이스.
  15. 제13항 또는 제14항에 있어서,
    상기 보드에 결합된 통신 칩을 추가로 포함하는 컴퓨팅 디바이스.
  16. 제13항 또는 제14항에 있어서,
    상기 보드에 결합된 카메라를 추가로 포함하는 컴퓨팅 디바이스.
  17. 제13항 또는 제14항에 있어서,
    상기 보드에 결합된 배터리를 추가로 포함하는 컴퓨팅 디바이스.
  18. 제13항 또는 제14항에 있어서,
    상기 보드에 결합된 안테나를 추가로 포함하는 컴퓨팅 디바이스.
  19. 제13항 또는 제14항에 있어서,
    상기 컴포넌트는 패키징된 집적 회로 다이인 컴퓨팅 디바이스.
  20. 제13항 또는 제14항에 있어서,
    상기 컴포넌트는 프로세서, 통신 칩, 및 디지털 신호 프로세서로 구성되는 그룹으로부터 선택되는 컴퓨팅 디바이스.
KR1020210160984A 2020-12-22 2021-11-22 게르마늄-확산 나노리본 채널 구조체들을 갖는 게이트-올-어라운드 집적 회로 구조체들 KR20220091616A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US17/131,622 2020-12-22
US17/131,622 US20220199774A1 (en) 2020-12-22 2020-12-22 Gate-all-around integrated circuit structures having germanium-diffused nanoribbon channel structures

Publications (1)

Publication Number Publication Date
KR20220091616A true KR20220091616A (ko) 2022-06-30

Family

ID=77924311

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020210160984A KR20220091616A (ko) 2020-12-22 2021-11-22 게르마늄-확산 나노리본 채널 구조체들을 갖는 게이트-올-어라운드 집적 회로 구조체들

Country Status (5)

Country Link
US (1) US20220199774A1 (ko)
EP (1) EP4020589A1 (ko)
KR (1) KR20220091616A (ko)
CN (1) CN114664821A (ko)
TW (1) TW202226598A (ko)

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8987794B2 (en) * 2011-12-23 2015-03-24 Intel Coporation Non-planar gate all-around device and method of fabrication thereof
US8957476B2 (en) * 2012-12-20 2015-02-17 Intel Corporation Conversion of thin transistor elements from silicon to silicon germanium
US10283414B2 (en) * 2017-06-20 2019-05-07 Taiwan Semiconductor Manufacturing Co., Ltd. Isolation manufacturing method for semiconductor structures
US10431696B2 (en) * 2017-11-08 2019-10-01 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device structure with nanowire
US11450739B2 (en) * 2018-09-14 2022-09-20 Intel Corporation Germanium-rich nanowire transistor with relaxed buffer layer
US11038036B2 (en) * 2018-09-26 2021-06-15 Taiwan Semiconductor Manufacturing Co., Ltd. Separate epitaxy layers for nanowire stack GAA device
US11532734B2 (en) * 2019-03-29 2022-12-20 Intel Corporation Gate-all-around integrated circuit structures having germanium nanowire channel structures

Also Published As

Publication number Publication date
CN114664821A (zh) 2022-06-24
US20220199774A1 (en) 2022-06-23
EP4020589A1 (en) 2022-06-29
TW202226598A (zh) 2022-07-01

Similar Documents

Publication Publication Date Title
US20230369399A1 (en) Gate-all-around integrated circuit structures having depopulated channel structures using multiple bottom-up oxidation approaches
US20220262957A1 (en) Gate-all-around integrated circuit structures having asymmetric source and drain contact structures
US11462536B2 (en) Integrated circuit structures having asymmetric source and drain structures
US20240047559A1 (en) Gate-all-around integrated circuit structures having depopulated channel structures using bottom-up oxidation approach
EP3644375A1 (en) Gate-all-around integrated circuit structures having vertically discrete source or drain structures
US11862703B2 (en) Gate-all-around integrated circuit structures having dual nanoribbon channel structures
US11581404B2 (en) Gate-all-around integrated circuit structures having depopulated channel structures
US11742410B2 (en) Gate-all-around integrated circuit structures having oxide sub-fins
US11990472B2 (en) Fabrication of gate-all-around integrated circuit structures having pre-spacer deposition cut gates
EP3647263A1 (en) Integrated circuit structures having partitioned source or drain contact structures
US20210408285A1 (en) Gate-all-around integrated circuit structures having germanium-doped nanoribbon channel structures
US20210202478A1 (en) Gate-all-around integrated circuit structures having low aspect ratio isolation structures and subfins
US12002810B2 (en) Gate-all-around integrated circuit structures having depopulated channel structures using bottom-up approach
US11862636B2 (en) Gate-all-around integrated circuit structures having depopulated channel structures using selective bottom-up approach
US20220416040A1 (en) Released fin for advanced integrated circuit structure fabrication
US20210407996A1 (en) Gate-all-around integrated circuit structures having strained dual nanoribbon channel structures
EP4105979A1 (en) Gate-all-around integrated circuit structures having depopulated channel structures using backside removal approach
US20220190159A1 (en) Integrated circuit structures having gesnb source or drain structures
KR20220037951A (ko) 인접 아일랜드 구조체들을 갖는 게이트-올-어라운드 집적 회로 구조체들의 제조
US20220199774A1 (en) Gate-all-around integrated circuit structures having germanium-diffused nanoribbon channel structures
US20240178273A1 (en) Integrated circuit structures with source or drain contacts having enhanced contact area
US20230178622A1 (en) Gate-all-around integrated circuit structures having depopulated channel structures using directed bottom-up approach
US20220392808A1 (en) Gate aligned fin cut for advanced integrated circuit structure fabrication
US20220416022A1 (en) Substrate-less nanowire-based lateral diode integrated circuit structures
US20230299157A1 (en) Integrated circuit structures with deep via structure