KR20220025629A - Semiconductor package - Google Patents

Semiconductor package Download PDF

Info

Publication number
KR20220025629A
KR20220025629A KR1020200137085A KR20200137085A KR20220025629A KR 20220025629 A KR20220025629 A KR 20220025629A KR 1020200137085 A KR1020200137085 A KR 1020200137085A KR 20200137085 A KR20200137085 A KR 20200137085A KR 20220025629 A KR20220025629 A KR 20220025629A
Authority
KR
South Korea
Prior art keywords
semiconductor
chip
semiconductor chip
chips
connection pads
Prior art date
Application number
KR1020200137085A
Other languages
Korean (ko)
Inventor
이만호
송은석
오경석
전성환
Original Assignee
삼성전자주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자주식회사 filed Critical 삼성전자주식회사
Priority to US17/243,127 priority Critical patent/US11631660B2/en
Priority to CN202110899111.0A priority patent/CN114093854A/en
Publication of KR20220025629A publication Critical patent/KR20220025629A/en
Priority to US18/121,664 priority patent/US20230223390A1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0657Stacked arrangements of devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49811Additional leads joined to the metallisation on the insulating substrate, e.g. pins, bumps, wires, flat leads
    • H01L23/49816Spherical bumps on the substrate for external connection, e.g. ball grid arrays [BGA]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49827Via connections through the substrates, e.g. pins going through the substrate, coaxial cables
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/525Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body with adaptable interconnections
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5386Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5389Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates the chips being integrally enclosed by the interconnect and support structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/42Wire connectors; Manufacturing methods related thereto
    • H01L24/44Structure, shape, material or disposition of the wire connectors prior to the connecting process
    • H01L24/46Structure, shape, material or disposition of the wire connectors prior to the connecting process of a plurality of wire connectors
    • H01L27/108
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L2224/32Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
    • H01L2224/321Disposition
    • H01L2224/32135Disposition the layer connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/32145Disposition the layer connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/42Wire connectors; Manufacturing methods related thereto
    • H01L2224/47Structure, shape, material or disposition of the wire connectors after the connecting process
    • H01L2224/48Structure, shape, material or disposition of the wire connectors after the connecting process of an individual wire connector
    • H01L2224/481Disposition
    • H01L2224/48151Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/48221Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/48225Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • H01L2224/48227Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation connecting the wire to a bond pad of the item
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/73Means for bonding being of different types provided for in two or more of groups H01L2224/10, H01L2224/18, H01L2224/26, H01L2224/34, H01L2224/42, H01L2224/50, H01L2224/63, H01L2224/71
    • H01L2224/732Location after the connecting process
    • H01L2224/73251Location after the connecting process on different surfaces
    • H01L2224/73265Layer and wire connectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/85Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a wire connector
    • H01L2224/85909Post-treatment of the connector or wire bonding area
    • H01L2224/8592Applying permanent coating, e.g. protective coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/93Batch processes
    • H01L2224/95Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips
    • H01L2224/97Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips the devices being connected to a common substrate, e.g. interposer, said common substrate being separable into individual assemblies after connecting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/151Die mounting substrate
    • H01L2924/153Connection portion
    • H01L2924/1531Connection portion the connection portion being formed only on the surface of the substrate opposite to the die mounting surface
    • H01L2924/15311Connection portion the connection portion being formed only on the surface of the substrate opposite to the die mounting surface being a ball array, e.g. BGA
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/181Encapsulation

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Geometry (AREA)
  • Semiconductor Memories (AREA)

Abstract

According to the present invention, a semiconductor package comprises: a first semiconductor chip including a first semiconductor element, a first semiconductor substrate, a plurality of through-electrodes penetrating the first semiconductor substrate, and a plurality of first chip connection pads disposed on an upper surface thereof; a plurality of second semiconductor chips sequentially stacked on the upper surface of the first semiconductor chip, and separately including a second semiconductor substrate, a second semiconductor element controlled by the first semiconductor chip, and a plurality of second chip connection pads disposed on an upper surface thereof; a plurality of bonding wires for connecting the first and second chip connection pads; a molding layer for surrounding the second semiconductor chips and the bonding wires; and a plurality of external connection terminals disposed on a lower surface of the first semiconductor chip. Therefore, a relatively wide data bus width can be provided at relatively low manufacturing costs.

Description

반도체 패키지{Semiconductor package}semiconductor package

본 발명은 반도체 패키지에 관한 것으로, 더욱 상세하게는 복수의 반도체 칩을 포함하는 반도체 패키지에 관한 것이다. The present invention relates to a semiconductor package, and more particularly, to a semiconductor package including a plurality of semiconductor chips.

전자 산업의 비약적인 발전 및 사용자의 요구에 따라 전자 제품은 더욱 더 소형화 및 경량화 되고 있으며, 이를 위하여 전자 제품에 탑재되는 반도체 패키지는 그 부피가 점점 작아지면서도 다양한 기능을 포함할 것이 요구되고 있다. 이에 따라 복수의 반도체 칩을 포함하는 반도체 패키지가 개발되고 있다. Electronic products are becoming smaller and lighter in accordance with the rapid development of the electronic industry and user demands. Accordingly, a semiconductor package including a plurality of semiconductor chips has been developed.

본 발명의 기술적 과제는, 복수의 반도체 칩을 함께 포함하는 반도체 패키지를 제공하는 데에 있다. An object of the present invention is to provide a semiconductor package including a plurality of semiconductor chips together.

상기 기술적 과제를 달성하기 위하여, 본 발명은 다음과 같은 반도체 패키지를 제공한다. In order to achieve the above technical problem, the present invention provides a semiconductor package as follows.

본 발명에 따른 반도체 패키지는, 제1 반도체 소자, 제1 반도체 기판, 상기 제1 반도체 기판을 관통하는 복수의 관통 전극, 및 상면에 배치되는 복수의 제1 칩 연결 패드를 포함하는 제1 반도체 칩; 상기 제1 반도체 칩의 상면 상에 순차적으로 적층되며 제2 반도체 기판, 상기 제1 반도체 칩에 의하여 제어되는 제2 반도체 소자, 및 상면에 배치되는 복수의 제2 칩 연결 패드를 각각 포함하는 복수의 제2 반도체 칩; 상기 복수의 제1 칩 연결 패드 및 상기 복수의 제2 칩 연결 패드 사이를 연결하는 복수의 본딩 와이어; 상기 복수의 제2 반도체 칩 및 상기 복수의 본딩 와이어를 감싸는 몰딩층; 및 상기 제1 반도체 칩의 하면 상에 배치되는 복수의 외부 연결 단자;를 포함한다. A semiconductor package according to the present invention includes a first semiconductor chip including a first semiconductor device, a first semiconductor substrate, a plurality of through electrodes penetrating the first semiconductor substrate, and a plurality of first chip connection pads disposed on an upper surface of the semiconductor package. ; A plurality of devices sequentially stacked on the top surface of the first semiconductor chip and each including a second semiconductor substrate, a second semiconductor device controlled by the first semiconductor chip, and a plurality of second chip connection pads disposed on the top surface a second semiconductor chip; a plurality of bonding wires connecting the plurality of first chip connection pads and the plurality of second chip connection pads; a molding layer surrounding the plurality of second semiconductor chips and the plurality of bonding wires; and a plurality of external connection terminals disposed on a lower surface of the first semiconductor chip.

본 발명에 따른 반도체 패키지는, 제1 반도체 소자를 가지는 제1 반도체 기판, 상기 제1 반도체 기판의 활성면 상에 배치되고 복수의 도전성 배선 패턴 및 상기 복수의 도전성 배선 패턴을 감싸는 배선간 절연층을 가지는 배선층, 상기 제1 반도체 기판을 관통하며 상기 제1 반도체 소자와 전기적으로 연결되는 복수의 관통 전극, 상면에 배치되는 복수의 제1 칩 연결 패드, 및 하면에 배치되는 복수의 외부 연결 패드;를 포함하는 제1 반도체 칩; 하면에 다이 접착 필름이 부착되어 상기 제1 반도체 칩의 상면 상에 적층되고, 제2 반도체 기판, 상기 제1 반도체 칩에 의하여 제어되는 제2 반도체 소자, 및 상면에 배치되는 복수의 제2 칩 연결 패드를 각각 포함하는 복수의 제2 반도체 칩; 상기 복수의 제1 칩 연결 패드와 상기 복수의 제2 칩 연결 패드 사이를 연결하도록 연장되는 복수의 본딩 와이어; 상기 제1 반도체 칩의 상면을 덮으며 상기 복수의 제2 반도체 칩 및 상기 복수의 본딩 와이어를 감싸는 몰딩층; 및 상기 복수의 외부 연결 패드에 부착되는 복수의 외부 연결 단자;를 포함한다. A semiconductor package according to the present invention includes a first semiconductor substrate having a first semiconductor element, a plurality of conductive wiring patterns disposed on an active surface of the first semiconductor substrate, and an inter-wiring insulating layer surrounding the plurality of conductive wiring patterns. a wiring layer having a plurality of through electrodes passing through the first semiconductor substrate and electrically connected to the first semiconductor element, a plurality of first chip connection pads disposed on an upper surface, and a plurality of external connection pads disposed on a lower surface; a first semiconductor chip comprising; A die adhesive film is attached to a lower surface and stacked on the upper surface of the first semiconductor chip, a second semiconductor substrate, a second semiconductor device controlled by the first semiconductor chip, and a plurality of second chips disposed on the upper surface are connected a plurality of second semiconductor chips each including a pad; a plurality of bonding wires extending to connect between the plurality of first chip connection pads and the plurality of second chip connection pads; a molding layer covering an upper surface of the first semiconductor chip and surrounding the plurality of second semiconductor chips and the plurality of bonding wires; and a plurality of external connection terminals attached to the plurality of external connection pads.

본 발명에 따른 반도체 패키지는, 재배선 절연층, 상기 재배선 절연층의 상면 및 하면 중 적어도 일면에 배치되는 복수의 재배선 라인 패턴, 및 상기 재배선 절연층을 관통하여 복수의 재배선 라인 패턴 중 일부와 각각 접하여 연결되고 하측으로부터 상측으로 수평 폭이 넓어지며 연장되는 복수의 재배선 비아를 포함하는 재배선 구조물; 상기 재배선 구조물 상에 배치되며, 제1 반도체 기판, 상기 제1 반도체 기판의 활성면 상에 배치되고 복수의 도전성 배선 패턴 및 상기 복수의 도전성 배선 패턴을 감싸는 배선간 절연층을 가지는 배선층, 상면에 배치되는 복수의 제1 칩 연결 패드, 상기 복수의 제1 칩 연결 패드와 연결되며 상기 제1 반도체 기판을 관통하는 복수의 관통 전극;을 포함하는 로직 반도체 칩; 상기 재배선 구조물 상에 상기 로직 반도체 칩과 이격되며 배치되고, 상기 제1 반도체 기판의 상면과 동일한 수직 레벨에 위치하는 상면을 가지는 서포터; 가장자리가 수직 방향을 따라서 서로 정렬되도록 하면에 다이 접착 필름이 부착되어 상기 제1 반도체 기판의 비활성면 상 및 상기 서포터 상에 걸쳐서 순차적으로 적층되고, 제2 반도체 기판, 상기 로직 반도체 칩에 의하여 제어되는 DRAM 소자, 및 상면에 배치되는 복수의 제2 칩 연결 패드를 각각 포함하고 16비트 내지 64비트의 데이터 버스의 폭을 가지는 복수의 DRAM 칩; 상기 복수의 제1 칩 연결 패드에 부착되는 일단과 상기 복수의 제2 칩 연결 패드에 부착되는 타단을 가지며, 상기 복수의 제2 칩 연결 패드로부터 상기 복수의 제1 칩 연결 패드까지 연장되는 복수의 본딩 와이어; 상기 재배선 구조물의 상면을 덮으며, 상기 복수의 DRAM 칩 및 상기 복수의 본딩 와이어를 감싸는 몰딩층; 및 상기 재배선 구조물의 하면에 부착되는 복수의 외부 연결 단자;를 포함한다. A semiconductor package according to the present invention includes a redistribution insulating layer, a plurality of redistribution line patterns disposed on at least one of an upper surface and a lower surface of the redistribution insulating layer, and a plurality of redistribution line patterns passing through the redistribution insulating layer. a redistribution structure including a plurality of redistribution vias connected in contact with a portion of the plurality of redistribution vias and extending horizontally from the lower side to the upper side; a wiring layer disposed on the redistribution structure, a first semiconductor substrate, a wiring layer disposed on an active surface of the first semiconductor substrate and having a plurality of conductive wiring patterns and an inter-wiring insulating layer surrounding the plurality of conductive wiring patterns; a logic semiconductor chip comprising: a plurality of first chip connection pads disposed; a plurality of through electrodes connected to the plurality of first chip connection pads and penetrating the first semiconductor substrate; a supporter disposed on the redistribution structure and spaced apart from the logic semiconductor chip, the supporter having an upper surface positioned at the same vertical level as the upper surface of the first semiconductor substrate; A die adhesive film is attached to the lower surface so that the edges are aligned with each other in the vertical direction and sequentially stacked on the inactive surface of the first semiconductor substrate and on the supporter, controlled by the second semiconductor substrate and the logic semiconductor chip a plurality of DRAM chips each including a DRAM device and a plurality of second chip connection pads disposed on an upper surface thereof and each having a data bus width of 16 bits to 64 bits; a plurality of plurality of first chip connection pads having one end attached to the plurality of first chip connection pads and the other end attached to the plurality of second chip connection pads, and extending from the plurality of second chip connection pads to the plurality of first chip connection pads bonding wire; a molding layer covering an upper surface of the redistribution structure and surrounding the plurality of DRAM chips and the plurality of bonding wires; and a plurality of external connection terminals attached to a lower surface of the redistribution structure.

본 발명에 따른 반도체 패키지는 제1 반도체 칩과 복수의 제2 반도체 칩을 복수의 본딩 와이어에 의하여 전기적으로 연결하여 상대적으로 적은 제조 비용으로 상대적으로 넓은 데이터 버스의 폭을 가지도록 할 수 있다. 또한 본 발명에 따른 반도체 패키지는 실리콘 인터포저와 같은 고비용의 구성을 필요로 하지 않아, 저 비용으로 제조할 수 있다. The semiconductor package according to the present invention may electrically connect the first semiconductor chip and the plurality of second semiconductor chips by a plurality of bonding wires to have a relatively wide data bus width at a relatively low manufacturing cost. In addition, the semiconductor package according to the present invention does not require a high-cost configuration such as a silicon interposer, and can be manufactured at low cost.

도 1 및 도 2는 본 발명의 일 실시예들에 따른 반도체 패키지의 단면도들이다.
도 3a 내지 도 3d는 본 발명의 일 실시예들에 따른 반도체 패키지의 제조 방법을 단계적으로 나타내는 단면도들이다.
도 4 및 도 5는 본 발명의 일 실시예들에 따른 반도체 패키지의 단면도들이다.
도 6a 내지 도 6e는 본 발명의 일 실시예들에 따른 반도체 패키지의 제조 방법을 단계적으로 나타내는 단면도들이다.
도 7 내지 도 16은 본 발명의 일 실시예들에 따른 반도체 패키지의 단면도들이다.
도 17a 및 도 17b는 본 발명의 일 실시예들에 따른 반도체 패키지가 포함하는 제1 반도체 칩이 가지는 예시적인 회로를 나타내는 회로도들이다.
도 18 내지 도 21은 본 발명의 일 실시예들에 따른 반도체 패키지의 단면도이다.
도 22 및 도 23은 본 발명의 일 실시 예들에 따른 반도체 패키지를 포함하는 시스템을 나타내는 단면도들이다.
1 and 2 are cross-sectional views of semiconductor packages according to embodiments of the present invention.
3A to 3D are cross-sectional views illustrating in stages a method of manufacturing a semiconductor package according to embodiments of the present invention.
4 and 5 are cross-sectional views of semiconductor packages according to embodiments of the present invention.
6A to 6E are cross-sectional views illustrating in stages a method of manufacturing a semiconductor package according to an exemplary embodiment of the present invention.
7 to 16 are cross-sectional views of semiconductor packages according to embodiments of the present invention.
17A and 17B are circuit diagrams illustrating exemplary circuits of a first semiconductor chip included in a semiconductor package according to embodiments of the present invention.
18 to 21 are cross-sectional views of semiconductor packages according to embodiments of the present invention.
22 and 23 are cross-sectional views illustrating a system including a semiconductor package according to an exemplary embodiment.

도 1 및 도 2는 본 발명의 일 실시예들에 따른 반도체 패키지의 단면도들이다. 1 and 2 are cross-sectional views of semiconductor packages according to embodiments of the present invention.

도 1을 참조하면, 반도체 패키지(1)는 제1 반도체 칩(100) 및 제1 반도체 칩(100) 상에 순차적으로 적층되는 복수의 제2 반도체 칩(200)을 포함한다. 일부 실시 예에서, 하나의 제1 반도체 칩(100) 상에는 4개, 또는 8개의 제2 반도체 칩(200)이 적층될 수 있다. 일부 실시 예에서, 복수의 제2 반도체 칩(200) 각각은 메모리 소자를 가지고 있는 메모리 반도체 칩일 수 있고, 제1 반도체 칩(100)은 제2 반도체 칩(200)이 가지는 메모리 소자, 예를 들면, DRAM 소자 또는 Flash 메모리 소자를 제어하기 위한 회로들을 가지고 있는 로직 반도체 칩일 수 있다. Referring to FIG. 1 , a semiconductor package 1 includes a first semiconductor chip 100 and a plurality of second semiconductor chips 200 sequentially stacked on the first semiconductor chip 100 . In some embodiments, four or eight second semiconductor chips 200 may be stacked on one first semiconductor chip 100 . In some embodiments, each of the plurality of second semiconductor chips 200 may be a memory semiconductor chip having a memory device, and the first semiconductor chip 100 may be a memory device included in the second semiconductor chip 200 , for example, , a logic semiconductor chip having circuits for controlling a DRAM device or a Flash memory device.

제1 반도체 칩(100)은 베이스 다이, 베이스 칩, 콘트롤러 다이, 콘트롤러 칩, 버퍼 다이, 또는 버퍼 칩이라 호칭할 수 있다. 제2 반도체 칩(200)은 메모리 다이, 메모리 칩, 코어 다이, 또는 코어 칩이라 호칭할 수 있다. 일부 실시 예에서, 제2 반도체 칩(200)이 DRAM 소자를 가지는 경우, 제2 반도체 칩(200)은 DRAM 다이, 또는 DRAM 칩이라 호칭할 수 있다. 일부 실시 예에서, 제2 반도체 칩(200)이 Flash 메모리 소자를 가지는 경우, 제2 반도체 칩(200)은 Flash 메모리 다이, 또는 Flash 메모리 칩이라 호칭할 수 있다. The first semiconductor chip 100 may be referred to as a base die, a base chip, a controller die, a controller chip, a buffer die, or a buffer chip. The second semiconductor chip 200 may be referred to as a memory die, a memory chip, a core die, or a core chip. In some embodiments, when the second semiconductor chip 200 includes a DRAM device, the second semiconductor chip 200 may be referred to as a DRAM die or a DRAM chip. In some embodiments, when the second semiconductor chip 200 includes a flash memory device, the second semiconductor chip 200 may be referred to as a flash memory die or a flash memory chip.

제1 반도체 칩(100)은 활성면에 제1 반도체 소자(112)가 형성된 제1 반도체 기판(110), 및 제1 반도체 기판(110)의 활성면 상에 배치되는 배선층(130)을 포함할 수 있다. 제1 반도체 칩(100)은 제1 반도체 칩(100)의 상면과 하면 사이의 적어도 일부분을 관통하는 복수의 관통 전극(120)을 더 포함할 수 있다. 일부 실시 예에서, 복수의 관통 전극(120)은 제1 반도체 기판(110)의 비활성면, 제1 반도체 칩(100)의 상면과 제1 반도체 기판(110)의 활성면 사이를 관통할 수 있다. The first semiconductor chip 100 may include a first semiconductor substrate 110 having a first semiconductor device 112 formed on an active surface thereof, and a wiring layer 130 disposed on the active surface of the first semiconductor substrate 110 . can The first semiconductor chip 100 may further include a plurality of through electrodes 120 penetrating at least a portion between the upper and lower surfaces of the first semiconductor chip 100 . In some embodiments, the plurality of through electrodes 120 may pass through an inactive surface of the first semiconductor substrate 110 , a top surface of the first semiconductor chip 100 , and an active surface of the first semiconductor substrate 110 . .

제1 반도체 칩(100)은 제1 반도체 기판(110)의 활성면이 하측을 향하는 페이스 다운(face down) 배치를 가질 수 있다. The first semiconductor chip 100 may have a face-down arrangement in which the active surface of the first semiconductor substrate 110 faces downward.

본 명세서에서, 반도체 칩의 상면 및 하면은 도면을 기준으로 상측 면 및 하측 면을 의미하고, 반도체 칩의 전면 및 후면은 반도체 기판의 활성면측 면과 비활성면측 면을 의미한다. 예를 들면, 도 1에서 제1 반도체 칩(100)의 상면은 후면이고, 하면은 전면일 수 있다 In this specification, the upper and lower surfaces of the semiconductor chip mean upper and lower surfaces with reference to the drawings, and the front and rear surfaces of the semiconductor chip mean the active and inactive surfaces of the semiconductor substrate. For example, in FIG. 1 , the upper surface of the first semiconductor chip 100 may be the rear surface, and the lower surface may be the front surface.

제1 반도체 칩(100)은 하면에 배치되며 도전성 배선 패턴(132)과 연결되는 복수의 전면 패드(142) 및 상면에 배치되며 복수의 관통 전극(120)의 일단과 연결되는 복수의 후면 패드(144)를 더 포함할 수 있다. The first semiconductor chip 100 is disposed on a lower surface and includes a plurality of front pads 142 connected to the conductive wiring pattern 132 , and a plurality of rear pads disposed on the upper surface and connected to one end of the plurality of through electrodes 120 . 144) may be further included.

제1 반도체 기판(110)은 예를 들면, 실리콘(Si, silicon) 또는 저머늄(Ge, germanium)과 같은 반도체 물질을 포함할 수 있다. 또는 제1 반도체 기판(110)은 SiC (silicon carbide), GaAs(gallium arsenide), InAs (indium arsenide), 및 InP (indium phosphide)와 같은 화합물 반도체 물질을 포함할 수 있다. 제1 반도체 기판(110)은 도전 영역, 예를 들면 불순물이 도핑된 웰 (well)을 포함할 수 있다. 반도체 기판(110)은 STI (shallow trench isolation) 구조와 같은 다양한 소자 분리 구조를 가질 수 있다. The first semiconductor substrate 110 may include, for example, a semiconductor material such as silicon (Si, silicon) or germanium (Ge). Alternatively, the first semiconductor substrate 110 may include a compound semiconductor material such as silicon carbide (SiC), gallium arsenide (GaAs), indium arsenide (InAs), and indium phosphide (InP). The first semiconductor substrate 110 may include a conductive region, for example, a well doped with impurities. The semiconductor substrate 110 may have various device isolation structures such as a shallow trench isolation (STI) structure.

제1 반도체 기판(110)의 상기 활성면에는 다양한 종류의 복수의 개별 소자(individual devices)를 포함하는 제1 반도체 소자(112)가 형성될 수 있다. 상기 복수의 개별 소자는 다양한 미세 전자 소자 (microelectronic devices), 예를 들면 CMOS 트랜지스터 (complementary metal-insulator-semiconductor transistor) 등과 같은 MOSFET (metal-oxide-semiconductor field effect transistor), 시스템 LSI (large scale integration), 능동 소자, 수동 소자 등을 포함할 수 있다. 상기 복수의 개별 소자는 제1 반도체 기판(110)의 상기 도전 영역에 전기적으로 연결될 수 있다. 제1 반도체 소자(112)는 상기 복수의 개별 소자 중 적어도 2개, 또는 상기 복수의 개별 소자와 제1 반도체 기판(110)의 상기 도전 영역을 전기적으로 연결하는 도전성 배선 또는 도전성 플러그를 더 포함할 수 있다. 또한, 상기 복수의 개별 소자는 각각 절연막에 의하여 이웃하는 다른 개별 소자들과 전기적으로 분리될 수 있다. A first semiconductor device 112 including a plurality of individual devices of various types may be formed on the active surface of the first semiconductor substrate 110 . The plurality of individual devices are various microelectronic devices, for example, a metal-oxide-semiconductor field effect transistor (MOSFET) such as a complementary metal-insulator-semiconductor transistor (CMOS), a system large scale integration (LSI) , active elements, passive elements, and the like. The plurality of individual devices may be electrically connected to the conductive region of the first semiconductor substrate 110 . The first semiconductor device 112 may further include a conductive wire or a conductive plug electrically connecting at least two of the plurality of individual devices, or the plurality of individual devices and the conductive region of the first semiconductor substrate 110 . can In addition, each of the plurality of individual elements may be electrically isolated from other neighboring individual elements by an insulating layer.

복수의 관통 전극(120)은 제1 반도체 칩(100)의 상면과 하면 사이의 적어도 일부분을 관통할 수 있다. 복수의 관통 전극(120)은 제1 반도체 소자(112)와 전기적으로 연결될 수 있다. 일부 실시 예에서, 복수의 관통 전극(120)은 제1 반도체 기판(110)의 상면으로부터 하면까지 관통할 수 있다. 다른 일부 실시 예에서, 복수의 관통 전극(120)은 제1 반도체 기판(110)의 상면으로부터 하면까지 관통한 후, 배선층(130) 내로 연장되도록 배선층(130)의 적어도 일부분을 함께 관통할 수 있다. The plurality of through electrodes 120 may pass through at least a portion between the upper and lower surfaces of the first semiconductor chip 100 . The plurality of through electrodes 120 may be electrically connected to the first semiconductor device 112 . In some embodiments, the plurality of through electrodes 120 may penetrate from the upper surface to the lower surface of the first semiconductor substrate 110 . In some other embodiments, the plurality of through electrodes 120 may penetrate from the upper surface to the lower surface of the first semiconductor substrate 110 and then pass through at least a portion of the wiring layer 130 together to extend into the wiring layer 130 . .

복수의 관통 전극(120)은 각각은 제1 반도체 칩(110)의 적어도 일부분을 관통하는 도전성 플러그와 상기 도전성 플러그를 포위하는 도전성 배리어막을 포함할 수 있다. 상기 도전성 플러그는 원기둥 형상을 가질 수 있고, 상기 도전성 배리어막은 상기 도전성 플러그의 측벽을 포위하는 실린더 형상을 가질 수 있다. 제1 반도체 기판(110)과 복수의 관통 전극(120) 사이에는 복수의 비아 절연막이 개재되어 복수의 관통 전극(120)의 측벽을 포위할 수 있다. Each of the plurality of through electrodes 120 may include a conductive plug passing through at least a portion of the first semiconductor chip 110 and a conductive barrier layer surrounding the conductive plug. The conductive plug may have a cylindrical shape, and the conductive barrier layer may have a cylindrical shape surrounding a sidewall of the conductive plug. A plurality of via insulating layers may be interposed between the first semiconductor substrate 110 and the plurality of through electrodes 120 to surround sidewalls of the plurality of through electrodes 120 .

상기 도전성 플러그는 Cu, CuSn, CuMg, CuNi, CuZn, CuPd, CuAu, CuRe, CuW, W, 또는 W 합금으로 이루어질 수 있으나, 이에 제한되는 것은 아니다. 상기 도전성 플러그는 예를 들면, Al, Au, Be, Bi, Co, Cu, Hf, In, Mn, Mo, Ni, Pb, Pd, Pt, Rh, Re, Ru, Ta, Te, Ti, W, Zn, Zr 중의 하나 또는 그 이상을 포함할 수 있고, 하나 또는 둘 이상의 적층 구조를 포함할 수 있다. 상기 도전성 배리어막은 예를 들면, W, WN, WC, Ti, TiN, Ta, TaN, Ru, Co, Mn, WN, Ni, 또는 NiB 중에서 선택되는 적어도 하나의 물질을 포함할 수 있으나, 이에 제한되는 것은 아니다. 상기 비아 절연막은 산화막, 질화막, 탄화막, 폴리머, 또는 이들의 조합으로 이루어질 수 있다. 상기 비아 절연막은 예를 들면, O3/TEOS(ozone/tetra-ethyl ortho-silicate) 기반의 HARP(High Aspect Ratio Process) 산화막으로 이루어질 수 있다. The conductive plug may be made of Cu, CuSn, CuMg, CuNi, CuZn, CuPd, CuAu, CuRe, CuW, W, or a W alloy, but is not limited thereto. The conductive plug may be, for example, Al, Au, Be, Bi, Co, Cu, Hf, In, Mn, Mo, Ni, Pb, Pd, Pt, Rh, Re, Ru, Ta, Te, Ti, W, It may include one or more of Zn and Zr, and may include one or two or more stacked structures. The conductive barrier layer may include, for example, at least one material selected from W, WN, WC, Ti, TiN, Ta, TaN, Ru, Co, Mn, WN, Ni, or NiB, but is limited thereto. it is not The via insulating layer may be formed of an oxide layer, a nitride layer, a carbide layer, a polymer, or a combination thereof. The via insulating layer may be formed of, for example, an O 3 /TEOS (ozone/tetra-ethyl ortho-silicate)-based HARP (High Aspect Ratio Process) oxide layer.

배선층(130)은 복수의 도전성 배선 패턴(132) 및 복수의 도전성 배선 패턴(132)을 감싸는 배선간 절연층(134)을 포함할 수 있다. 복수의 도전성 배선 패턴(132)은 배선 라인들 및 배선 비아들로 이루어질 수 있다. 일부 실시 예에서, 복수의 도전성 배선 패턴(132)은 서로 다른 수직 레벨들에 위치하는 배선 라인들과 배선 비아들을 가지는 다층 배선 구조일 수 있고, 배선간 절연층(134)은 복수의 도전성 배선 패턴(132)의 다층 배선 구조에 대응하여 복수의 절연층이 적층된 다층 구조를 가질 수 있다. The wiring layer 130 may include a plurality of conductive wiring patterns 132 and an inter-wiring insulating layer 134 surrounding the plurality of conductive wiring patterns 132 . The plurality of conductive wiring patterns 132 may include wiring lines and wiring vias. In some embodiments, the plurality of conductive wiring patterns 132 may have a multilayer wiring structure having wiring lines and wiring vias positioned at different vertical levels, and the inter-wiring insulating layer 134 may include a plurality of conductive wiring patterns. A multilayer structure in which a plurality of insulating layers are stacked may be provided corresponding to the multilayer wiring structure of 132 .

복수의 도전성 배선 패턴(132)은 예를 들면, 알루미늄, 구리 또는 텅스텐과 같은 금속 물질을 포함할 수 있다. 일부 실시 예에서, 복수의 도전성 배선 패턴(132)은 배선용 배리어막 및 배선용 금속층으로 이루어질 수 있다. 상기 배선용 배리어막은 Ti, Ta, Ru, Mn, Co, 또는 W과 같은 금속의 질화물이나 산화물로 이루어지거나, CoWP(Cobalt Tungsten Phosphide), CoWB(Cobalt Tungsten Boron), CoWBP(Cobalt Tungsten Boron Phosphide)와 같은 합금으로 이루어질 수 있다. 상기 배선용 금속층은 W, Al, Ti, Ta, Ru, Mn, 또는 Cu 중에서 선택되는 적어도 하나의 금속을 포함할 수 있다. 배선간 절연층(134)은 예를 들면, 실리콘 산화물로 이루어질 수 있다. 일부 실시 예에서, 배선간 절연층(134)은 TEOS(Tetraethyl orthosilicate)로 이루어질 수 있다. 다른 일부 실시 예에서, 배선간 절연층(134)은 실리콘 산화물보다 유전율이 낮은 절연물질로 이루어질 수 있다. 예를 들면, 배선간 절연층(134)은 약 2.2∼2.4의 초저유전상수(ultra low dielectric constant K)를 가지는 ULK(Ultra Low k) 막으로 이루어질 수 있다. 상기 ULK 막은 SiOC 막 또는 SiCOH 막을 포함할 수 있다. The plurality of conductive wiring patterns 132 may include, for example, a metal material such as aluminum, copper, or tungsten. In some embodiments, the plurality of conductive wiring patterns 132 may include a barrier layer for wiring and a metal layer for wiring. The barrier layer for wiring is made of a nitride or oxide of a metal such as Ti, Ta, Ru, Mn, Co, or W, or such as Cobalt Tungsten Phosphide (CoWP), Cobalt Tungsten Boron (CoWB), or Cobalt Tungsten Boron Phosphide (CoWBP). It may be made of an alloy. The metal layer for wiring may include at least one metal selected from W, Al, Ti, Ta, Ru, Mn, and Cu. The inter-wiring insulating layer 134 may be formed of, for example, silicon oxide. In some embodiments, the inter-wiring insulating layer 134 may be formed of tetraethyl orthosilicate (TEOS). In some other embodiments, the inter-wiring insulating layer 134 may be formed of an insulating material having a dielectric constant lower than that of silicon oxide. For example, the inter-wiring insulating layer 134 may be formed of an ultra low k (ULK) layer having an ultra low dielectric constant K of about 2.2 to 2.4. The ULK film may include a SiOC film or a SiCOH film.

복수의 전면 패드(142) 상에는 복수의 외부 연결 단자(150)가 부착될 수 있다. 일부 실시 예에서, 외부 연결 단자(150)는 도전성 범프일 수 있다. 외부 연결 단자(150)는 반도체 패키지(1)와 외부 장치 사이를 전기적으로 연결할 수 있다. 전면 패드(142)는 외부 연결 패드라 호칭할 수 있다. A plurality of external connection terminals 150 may be attached to the plurality of front pads 142 . In some embodiments, the external connection terminal 150 may be a conductive bump. The external connection terminal 150 may electrically connect the semiconductor package 1 and an external device. The front pad 142 may be referred to as an external connection pad.

복수의 제2 반도체 칩(200)은 제1 반도체 칩(100) 상에 순차적으로 적층될 수 있다. 복수의 제2 반도체 칩(200)은 제1 반도체 기판(110)의 비활성면 상에 순차적으로 적층될 수 있다. 복수의 제2 반도체 칩(200)은 제1 반도체 칩(100) 상에서 수직 방향을 따라서 순차적으로 적층될 수 있다. 복수의 제2 반도체 칩(200)은 수직 방향으로 서로 중첩되도록 적층될 수 있다. 복수의 제2 반도체 칩(200) 각각의 가장자리는 수직 방향을 따라서 서로 정렬될 수 있다. 복수의 제2 반도체 칩(200) 각각은 제2 반도체 기판(210)의 활성면이 상측을 향하는 페이스 업(face up) 배치를 가질 수 있다. The plurality of second semiconductor chips 200 may be sequentially stacked on the first semiconductor chip 100 . The plurality of second semiconductor chips 200 may be sequentially stacked on the inactive surface of the first semiconductor substrate 110 . The plurality of second semiconductor chips 200 may be sequentially stacked on the first semiconductor chip 100 in a vertical direction. The plurality of second semiconductor chips 200 may be stacked to overlap each other in a vertical direction. Edges of each of the plurality of second semiconductor chips 200 may be aligned with each other in a vertical direction. Each of the plurality of second semiconductor chips 200 may have a face-up arrangement in which the active surface of the second semiconductor substrate 210 faces upward.

복수의 제2 반도체 칩(200) 각각은 그 하면에 부착된 다이 접착 필름(250)을 사이에 가지며 그 하부의 구조물 상에 부착될 수 있다. 예를 들면, 복수의 제2 반도체 칩(200) 중 최하단의 제2 반도체 칩(200)은 다이 접착 필름(250)을 사이에 가지며 제1 반도체 칩(100) 상에 부착되고, 복수의 제2 반도체 칩(200) 중 나머지는 다이 접착 필름(250)을 사이에 가지며, 하측의 다른 제2 반도체 칩(200) 상에 부착될 수 있다. 제2 반도체 칩(200)과 제2 반도체 칩(200)의 하면에 부착되는 다이 접착 필름(250)은 서로 동일한 수평 폭 및 수평 면적을 가질 수 있다. Each of the plurality of second semiconductor chips 200 may have a die-adhesive film 250 attached to a lower surface thereof therebetween, and may be attached to a structure under the die-adhesive film 250 . For example, the lowermost second semiconductor chip 200 among the plurality of second semiconductor chips 200 is attached on the first semiconductor chip 100 with the die adhesive film 250 therebetween, and the plurality of second semiconductor chips 200 . The rest of the semiconductor chips 200 have the die adhesive film 250 therebetween, and may be attached to the second semiconductor chip 200 at the lower side. The second semiconductor chip 200 and the die adhesive film 250 attached to the lower surface of the second semiconductor chip 200 may have the same horizontal width and same horizontal area.

일부 실시 예에서, 제2 반도체 칩(200)의 수평 폭 및 수평 면적은 제1 반도체 칩(100)의 수평 폭 및 수평 면적보다 작은 값을 가질 수 있다. 예를 들면, 복수의 제2 반도체 칩(200)은 수직 방향으로 제1 반도체 칩(100) 내에 모두 중첩되도록 적층될 수 있다. In some embodiments, a horizontal width and a horizontal area of the second semiconductor chip 200 may be smaller than a horizontal width and a horizontal area of the first semiconductor chip 100 . For example, the plurality of second semiconductor chips 200 may be stacked to overlap each other in the first semiconductor chip 100 in a vertical direction.

제2 반도체 칩(200)은 활성면에 제2 반도체 소자(212)가 형성된 제2 반도체 기판(210), 및 상면에 배치되는 복수의 칩 패드(220)를 포함할 수 있다. 복수의 칩 패드(220)는 제2 반도체 칩(210)의 상면의 가장자리에 인접하여 배치되는 에지 패드일 수 있다. 제2 반도체 기판(210) 및 제2 반도체 소자(212)는 제1 반도체 기판(110) 및 제1 반도체 소자(212)와 대체로 유사한 바, 중복되는 설명은 생략하도록 한다. 제2 반도체 칩(200)도, 제1 반도체 칩(100)이 가지는 배선층(130)과 유사한 배선층을 포함할 수 있으나, 도시의 편의를 위하여 생략되어 있다. The second semiconductor chip 200 may include a second semiconductor substrate 210 on which a second semiconductor device 212 is formed on an active surface, and a plurality of chip pads 220 disposed on an upper surface of the second semiconductor chip 200 . The plurality of chip pads 220 may be edge pads disposed adjacent to the edge of the top surface of the second semiconductor chip 210 . Since the second semiconductor substrate 210 and the second semiconductor device 212 are substantially similar to the first semiconductor substrate 110 and the first semiconductor device 212 , overlapping descriptions will be omitted. The second semiconductor chip 200 may also include a wiring layer similar to that of the wiring layer 130 of the first semiconductor chip 100 , but is omitted for convenience of illustration.

제2 반도체 소자(212)는 들면, 메모리 소자일 수 있다. 예를 들면, 제2 반도체 소자(212)는 디램(dynamic random access memory, DRAM), 또는 플래시(flash) 메모리일 수 있다. The second semiconductor device 212 may be, for example, a memory device. For example, the second semiconductor device 212 may be a dynamic random access memory (DRAM) or a flash memory.

복수의 제2 반도체 칩(200)의 칩 패드(220)에는 복수의 본딩 와이어(230)의 일단이 부착되고, 복수의 후면 패드(144)에는 복수의 본딩 와이어(230)의 타단이 부착될 수 있다. 복수의 본딩 와이어(230)는 서로 대응되는 복수의 제2 반도체 칩(200) 각각의 복수의 칩 패드(220)와 제1 반도체 칩(100)의 복수의 후면 패드(144)를 직접 연결할 수 있다. 복수의 제2 반도체 칩(200) 각각과 제1 반도체 칩(100)은 복수의 본딩 와이어(230) 중 서로 다른 본딩 와이어(230)들을 통하여 전기적으로 연결될 수 있다. One end of the plurality of bonding wires 230 may be attached to the chip pad 220 of the plurality of second semiconductor chips 200 , and the other end of the plurality of bonding wires 230 may be attached to the plurality of rear pads 144 . there is. The plurality of bonding wires 230 may directly connect the plurality of chip pads 220 of each of the plurality of second semiconductor chips 200 corresponding to each other and the plurality of rear pads 144 of the first semiconductor chip 100 to each other. . Each of the plurality of second semiconductor chips 200 and the first semiconductor chip 100 may be electrically connected to each other through different bonding wires 230 among the plurality of bonding wires 230 .

제1 반도체 칩(100)의 후면 패드(144)는 제1 칩 연결 패드라 호칭할 수 있고, 제2 반도체 칩(200)의 칩 패드(220)는 제2 칩 연결 패드라 호칭할 수 있다. 즉, 본딩 와이어(230)는 제1 칩 연결 패드와 제2 칩 연결 패드를 연결하며, 제1 칩 연결 패드로부터 제2 칩 연결 패드까지 연장될 수 있다. The back pad 144 of the first semiconductor chip 100 may be referred to as a first chip connection pad, and the chip pad 220 of the second semiconductor chip 200 may be referred to as a second chip connection pad. That is, the bonding wire 230 connects the first chip connection pad and the second chip connection pad, and may extend from the first chip connection pad to the second chip connection pad.

다이 접착 필름(250)은 하측의 제2 반도체 칩(200)의 복수의 칩 패드(220)를 덮을 수 있다. 복수의 본딩 와이어(230) 중 복수의 칩 패드(220)와 연결되는 일단의 부분은 다이 접착 필름(250) 내에 매립될 수 있다. 다이 접착 필름(250)은 예를 들면, 무기질 접착제 또는 고분자 접착제로 이루어질 수 있다. 상기 고분자 접착제는, 예를 들면, 열경화성 수지(Thermosetting Polymer) 또는 열가소성 수지(Thermoplastic Polymer)로 이루어질 수 있다. 상기 열경화성 수지의 경우 모노머(Monomer)가 가열 성형된 후 삼차원 망상 구조(Cross-link Structure)를 가지며 재가열하여도 연화되지 않는다. 이와 달리 상기 열가소성 수지의 경우 가열에 의해서 가소성을 나타내는 수지로서 선형 고분자(Linear Polymer)의 구조를 갖는다. 또한, 상기 고분자 접착제는 이 두 가지 성분을 혼합시켜 만든 하이브리드(Hybrid)형으로 이루어질 수도 있다.The die adhesive film 250 may cover the plurality of chip pads 220 of the lower second semiconductor chip 200 . A portion of one end connected to the plurality of chip pads 220 among the plurality of bonding wires 230 may be embedded in the die adhesive film 250 . The die adhesive film 250 may be formed of, for example, an inorganic adhesive or a polymer adhesive. The polymer adhesive may be made of, for example, a thermosetting resin or a thermoplastic resin. In the case of the thermosetting resin, after the monomer is heat-molded, it has a three-dimensional cross-link structure and is not softened even when reheated. On the other hand, in the case of the thermoplastic resin, it is a resin that exhibits plasticity by heating and has a structure of a linear polymer. In addition, the polymer adhesive may be of a hybrid type made by mixing these two components.

복수의 제2 반도체 칩(200)과 수직 방향으로 중첩되는 제1 반도체 칩(100)의 일부분 상에는 복수의 제2 반도체 칩(200)이 부착되고, 복수의 제2 반도체 칩(200)과 수직 방향으로 중첩되지 않는 제1 반도체 칩(100)의 나머지 부분에는 복수의 본딩 와이어(230)가 연결되는 복수의 후면 패드(144)가 배치될 수 있다. A plurality of second semiconductor chips 200 are attached to a portion of the first semiconductor chip 100 overlapping the plurality of second semiconductor chips 200 in the vertical direction, and the plurality of second semiconductor chips 200 are vertically overlapped with each other in the vertical direction. A plurality of rear pads 144 to which a plurality of bonding wires 230 are connected may be disposed on the remaining portion of the first semiconductor chip 100 that does not overlap with each other.

복수의 제2 반도체 칩(200) 각각이 가지는 복수의 칩 패드(220) 중 일부개는 데이터(DQ) 신호의 전송을 위한 데이터 패드들일 수 있다. 예를 들면, 복수의 제2 반도체 칩(200) 각각의 상기 데이터 패드들은 16개 내지 64개일 수 있다. 즉, 복수의 제2 반도체 칩(200) 각각의 데이터 버스의 폭은 16비트 내지 64비트일 수 있다. Some of the plurality of chip pads 220 included in each of the plurality of second semiconductor chips 200 may be data pads for data DQ signal transmission. For example, the number of the data pads of each of the plurality of second semiconductor chips 200 may be 16 to 64. That is, the width of each data bus of the plurality of second semiconductor chips 200 may be 16 bits to 64 bits.

제1 반도체 칩(100)이 가지는 복수의 후면 패드(144) 중 일부개는 복수의 제2 반도체 칩(200)의 데이터 패드들과 연결되는 데이터 연결 패드들일 수 있다. 복수의 제2 반도체 칩(200)의 상기 데이터 패드들 각각과 제1 반도체 칩(100)의 상기 데이터 연결 패드들 각각은 서로 다른 본딩 와이어(230)를 통하여 1:1로 연결될 수 있다. 즉, 제1 반도체 칩(100)의 상기 데이터 연결 패드들의 개수는, 제1 반도체 칩(100) 상에 적층된 복수의 제2 반도체 칩(200)의 개수와, 복수의 제2 반도체 칩(200) 각각이 가지는 상기 데이터 패드들의 개수의 곱일 수 있다. 예를 들면, 제1 반도체 칩(100)의 상기 데이터 연결 패드들은 64개 내지 256개일 수 있고, 반도체 패키지(1)의 데이터 버스의 폭은 64비트 내지 256비트일 수 있다. Some of the plurality of back pads 144 of the first semiconductor chip 100 may be data connection pads connected to the data pads of the plurality of second semiconductor chips 200 . Each of the data pads of the plurality of second semiconductor chips 200 and each of the data connection pads of the first semiconductor chip 100 may be connected 1:1 through different bonding wires 230 . That is, the number of the data connection pads of the first semiconductor chip 100 is the number of the plurality of second semiconductor chips 200 stacked on the first semiconductor chip 100 and the number of the plurality of second semiconductor chips 200 . ) may be a product of the number of the data pads each has. For example, the number of the data connection pads of the first semiconductor chip 100 may be 64 to 256, and the width of the data bus of the semiconductor package 1 may be 64 to 256 bits.

반도체 패키지(1)는 복수의 제2 반도체 칩(200)의 복수의 칩 패드(220)와 제1 반도체 칩(100)의 복수의 후면 패드(144)가 복수의 본딩 와이어(230)를 통하여 전기적으로 연결되고, 복수의 후면 패드(144)와 제1 반도체 소자(112)는 복수의 관통 전극(120)을 통하여 전기적으로 연결될 수 있다. 제1 반도체 소자(112)는 복수의 외부 연결 단자(150)를 통하여 외부 장치와 데이터를 송수신할 수 있다. 배선층(130)이 가지는 복수의 도전성 배선 패턴(132) 중 적어도 일부는 제1 반도체 소자(112)와 복수의 외부 연결 단자(150) 사이를 전기적으로 연결할 수 있다. 일부 실시 예에서, 복수의 도전성 배선 패턴(132) 중 일부는 복수의 관통 전극(120)과 제1 반도체 소자(112) 사이를 전기적으로 연결할 수 있다. 다른 일부 실시 예에서, 복수의 도전성 배선 패턴(132) 중 일부는 복수의 관통 전극(120) 중 일부와 복수의 외부 연결 단자(150) 중 일부 사이를 직접 전기적으로 연결할 수 있다. In the semiconductor package 1 , the plurality of chip pads 220 of the plurality of second semiconductor chips 200 and the plurality of rear pads 144 of the first semiconductor chip 100 are electrically connected through a plurality of bonding wires 230 . , and the plurality of back pads 144 and the first semiconductor device 112 may be electrically connected through the plurality of through electrodes 120 . The first semiconductor device 112 may transmit/receive data to and from an external device through the plurality of external connection terminals 150 . At least a portion of the plurality of conductive wiring patterns 132 of the wiring layer 130 may electrically connect between the first semiconductor device 112 and the plurality of external connection terminals 150 . In some embodiments, some of the plurality of conductive wiring patterns 132 may electrically connect between the plurality of through electrodes 120 and the first semiconductor device 112 . In some other embodiments, some of the plurality of conductive wiring patterns 132 may directly electrically connect some of the plurality of through electrodes 120 and some of the plurality of external connection terminals 150 .

반도체 패키지(1)는 제1 반도체 칩(100) 상에서, 제1 반도체 칩(100)의 상면을 덮으며 복수의 제2 반도체 칩(200) 및 복수의 본딩 와이어(230)를 감싸는 몰딩층(300)을 더 포함할 수 있다. 몰딩층(300)은 예를 들면, 에폭시 몰드 컴파운드(epoxy mold compound, EMC)를 포함할 수 있다. 일부 실시예에서, 몰딩층(300)의 수평 폭 및 수평 면적은 제1 반도체 칩(100)의 수평 폭 및 수평 면적과 동일한 값을 가질 수 있다. 예를 들면, 제1 반도체 칩(100)의 측벽과 몰딩층(300)의 측벽은 수직 방향으로 정렬되어, 동일 평면(coplanar)을 이룰 수 있다. The semiconductor package 1 has a molding layer 300 on the first semiconductor chip 100 that covers the upper surface of the first semiconductor chip 100 and surrounds the plurality of second semiconductor chips 200 and the plurality of bonding wires 230 . ) may be further included. The molding layer 300 may include, for example, an epoxy mold compound (EMC). In some embodiments, a horizontal width and a horizontal area of the molding layer 300 may have the same values as a horizontal width and a horizontal area of the first semiconductor chip 100 . For example, the sidewall of the first semiconductor chip 100 and the sidewall of the molding layer 300 may be aligned in a vertical direction to form a coplanar surface.

본 발명에 따른 반도체 패키지(1)는 복수의 제2 반도체 칩(200)의 개수와, 복수의 제2 반도체 칩(200) 각각의 데이터 버스의 폭의 곱에 의하여, 반도체 패키지(1)의 데이터 버스의 폭이 결정될 수 있다. 예를 들어, 반도체 패키지(1)가 포함하는 제2 반도체 칩(200)이 4개이고, 제2 반도체 칩(200)의 데이터 버스의 폭이 32비트인 경우, 제1 반도체 패키지(1)의 데이터 버스의 폭은 4ㅧ32비트, 즉 128비트일 수 있다. 1024비트 이상의 데이터 버스의 폭을 가지는 HBM(High Bandwidth Memory)과 비교하여, 수십 비트 내지 수백 비트의 데이터 버스의 폭을 가질 수 있는 본 발명에 따른 반도체 패키지(1)는 WBM(Wide Bandwidth Memory)라 호칭할 수 있다. In the semiconductor package 1 according to the present invention, the data of the semiconductor package 1 is obtained by the product of the number of the plurality of second semiconductor chips 200 and the width of each data bus of the plurality of second semiconductor chips 200 . The width of the bus may be determined. For example, when the number of the second semiconductor chips 200 included in the semiconductor package 1 is four and the width of the data bus of the second semiconductor chip 200 is 32 bits, the data of the first semiconductor package 1 is The width of the bus may be 4 x 32 bits, that is, 128 bits. Compared with HBM (High Bandwidth Memory) having a data bus width of 1024 bits or more, the semiconductor package 1 according to the present invention, which can have a data bus width of tens of bits to hundreds of bits, is called WBM (Wide Bandwidth Memory). can be called

따라서 본 발명에 따른 반도체 패키지(1)는, 제1 반도체 칩(100)과 복수의 제2 반도체 칩(200)을 복수의 본딩 와이어(230)에 의하여 전기적으로 연결하여 상대적으로 적은 제조 비용으로 상대적으로 넓은 데이터 버스의 폭을 가지도록 할 수 있다. 또한 제1 반도체 칩(100)에 부착되는 복수의 외부 연결 단자(150)를 통하여 외부 장치와 데이터를 송수신할 수 있으므로, 실리콘 인터포저와 같은 상대적으로 고비용의 구성을 필요로 하지 않아, 저 비용으로 제조할 수 있다. Therefore, in the semiconductor package 1 according to the present invention, the first semiconductor chip 100 and the plurality of second semiconductor chips 200 are electrically connected by a plurality of bonding wires 230 to achieve a relatively low manufacturing cost. to have a wide data bus width. In addition, since data can be transmitted/received with an external device through the plurality of external connection terminals 150 attached to the first semiconductor chip 100 , a relatively high-cost configuration such as a silicon interposer is not required, thereby reducing the cost. can be manufactured.

도 2를 참조하면, 반도체 패키지(1a)는 제1 반도체 칩(100a) 및 제1 반도체 칩(100a) 상에 순차적으로 적층되는 복수의 제2 반도체 칩(200)을 포함한다. 제1 반도체 칩(100a)은 제2 반도체 칩(200)이 가지는 메모리 소자를 제어하기 위한 회로들을 가지고 있는 로직 반도체 칩일 수 있다. Referring to FIG. 2 , a semiconductor package 1a includes a first semiconductor chip 100a and a plurality of second semiconductor chips 200 sequentially stacked on the first semiconductor chip 100a. The first semiconductor chip 100a may be a logic semiconductor chip having circuits for controlling the memory device of the second semiconductor chip 200 .

제1 반도체 칩(100a)은 활성면에 제1 반도체 소자(112a)가 형성된 제1 반도체 기판(110a), 및 제1 반도체 기판(110a)의 활성면 상에 배치되며 복수의 도전성 배선 패턴(132a) 및 배선간 절연층(134a)을 포함하는 배선층(130a)을 포함할 수 있다. 제1 반도체 칩(100a)은 제1 반도체 칩(100a)의 상면과 하면 사이의 적어도 일부분을 관통하는 복수의 관통 전극(120a)을 더 포함할 수 있다. 제1 반도체 칩(100a)은 제1 반도체 기판(110a)의 활성면이 상측을 향하는 페이스 업(face up) 배치를 가질 수 있다. 제1 반도체 칩(100a)은 상면에 배치되며 도전성 배선 패턴(132a)과 연결되는 복수의 전면 패드(142a) 및 하면에 배치되며 복수의 관통 전극(120a)의 일단과 연결되는 복수의 후면 패드(144a)를 더 포함할 수 있다. The first semiconductor chip 100a includes a first semiconductor substrate 110a having a first semiconductor device 112a formed on an active surface thereof, and a plurality of conductive wiring patterns 132a disposed on the active surface of the first semiconductor substrate 110a. ) and a wiring layer 130a including an inter-wiring insulating layer 134a. The first semiconductor chip 100a may further include a plurality of through electrodes 120a passing through at least a portion between the top and bottom surfaces of the first semiconductor chip 100a. The first semiconductor chip 100a may have a face-up arrangement in which the active surface of the first semiconductor substrate 110a faces upward. The first semiconductor chip 100a has a plurality of front pads 142a disposed on its upper surface and connected to the conductive wiring pattern 132a, and a plurality of rear pads disposed on its lower surface and connected to one end of the plurality of through electrodes 120a ( 144a) may be further included.

제1 반도체 기판(110a), 제1 반도체 소자(112a), 관통 전극(120a), 배선층(130a), 전면 패드(142a), 후면 패드(144a)는 도 1에 보인 제1 반도체 기판(110), 제1 반도체 소자(112), 관통 전극(120), 배선층(130), 전면 패드(142), 후면 패드(144)와 대체로 유사한 바, 중복되는 설명은 생략하고 차이점을 위주로 설명하도록 한다. The first semiconductor substrate 110a, the first semiconductor device 112a, the through electrode 120a, the wiring layer 130a, the front pad 142a, and the rear pad 144a are the first semiconductor substrate 110 shown in FIG. , the first semiconductor device 112 , the through electrode 120 , the wiring layer 130 , the front pad 142 , and the back pad 144 are substantially similar to each other, and overlapping descriptions will be omitted and the differences will be mainly described.

복수의 제2 반도체 칩(200)은 제1 반도체 기판(110a)의 활성면에 배치되는 배선층(130a) 상에 순차적으로 적층될 수 있다. The plurality of second semiconductor chips 200 may be sequentially stacked on the wiring layer 130a disposed on the active surface of the first semiconductor substrate 110a.

복수의 제2 반도체 칩(200)의 칩 패드(220)에는 복수의 본딩 와이어(230)의 일단이 부착되고, 복수의 전면 패드(142a)에는 복수의 본딩 와이어(230)의 타단이 부착될 수 있다. 본딩 와이어(230)는 복수의 제2 반도체 칩(200) 각각의 칩 패드(220)와 제1 반도체 칩(100a)의 복수의 전면 패드(142a)를 연결할 수 있다. One end of the plurality of bonding wires 230 may be attached to the chip pad 220 of the plurality of second semiconductor chips 200 , and the other end of the plurality of bonding wires 230 may be attached to the plurality of front pads 142a. there is. The bonding wire 230 may connect the chip pads 220 of each of the plurality of second semiconductor chips 200 to the plurality of front pads 142a of the first semiconductor chip 100a.

제1 반도체 칩(100)의 전면 패드(142a)는 제1 칩 연결 패드라 호칭할 수 있고, 제2 반도체 칩(200)의 칩 패드(220)는 제2 칩 연결 패드라 호칭할 수 있다. 즉, 본딩 와이어(230)는 제1 칩 연결 패드와 제2 칩 연결 패드를 연결하며, 제1 칩 연결 패드로부터 제2 칩 연결 패드까지 연장될 수 있다. The front pad 142a of the first semiconductor chip 100 may be referred to as a first chip connection pad, and the chip pad 220 of the second semiconductor chip 200 may be referred to as a second chip connection pad. That is, the bonding wire 230 connects the first chip connection pad and the second chip connection pad, and may extend from the first chip connection pad to the second chip connection pad.

복수의 제2 반도체 칩(200)과 수직 방향으로 중첩되는 제1 반도체 칩(100a)의 일부분 상에는 복수의 제2 반도체 칩(200)이 부착되고, 복수의 제2 반도체 칩(200)과 수직 방향으로 중첩되지 않는 제1 반도체 칩(100a)의 나머지 부분에는 복수의 본딩 와이어(230)가 연결되는 복수의 전면 패드(142a)가 배치될 수 있다. A plurality of second semiconductor chips 200 are attached to a portion of the first semiconductor chip 100a overlapping the plurality of second semiconductor chips 200 in the vertical direction, and the plurality of second semiconductor chips 200 are vertically overlapped with each other in the vertical direction. A plurality of front pads 142a to which a plurality of bonding wires 230 are connected may be disposed on the remaining portion of the first semiconductor chip 100a that does not overlap with each other.

복수의 제2 반도체 칩(200) 각각이 가지는 복수의 칩 패드(220) 중 일부개는 데이터(DQ) 신호의 전송을 위한 데이터 패드들일 수 있다. 제1 반도체 칩(100a)이 가지는 복수의 전면 패드(142a) 중 일부개는 복수의 제2 반도체 칩(200)의 데이터 패드들과 연결되는 데이터 연결 패드들일 수 있다. 복수의 제2 반도체 칩(200)의 상기 데이터 패드들 각각과 제1 반도체 칩(100a)의 상기 데이터 연결 패드들 각각은 서로 다른 본딩 와이어(230)를 통하여 1:1로 연결될 수 있다. Some of the plurality of chip pads 220 included in each of the plurality of second semiconductor chips 200 may be data pads for data DQ signal transmission. Some of the plurality of front pads 142a of the first semiconductor chip 100a may be data connection pads connected to data pads of the plurality of second semiconductor chips 200 . Each of the data pads of the plurality of second semiconductor chips 200 and each of the data connection pads of the first semiconductor chip 100a may be connected 1:1 through different bonding wires 230 .

반도체 패키지(1a)는 복수의 제2 반도체 칩(200)의 복수의 칩 패드(220)와 제1 반도체 칩(100a)의 복수의 전면 패드(142a)가 복수의 본딩 와이어(230)를 통하여 전기적으로 연결되고, 복수의 전면 패드(142a)와 제1 반도체 소자(112a)는 복수의 도전성 배선 패턴(132a)을 통하여 전기적으로 연결될 수 있다. 제1 반도체 소자(112a)는 복수의 후면 패드(144a) 상에 부착되는 복수의 외부 연결 단자(150)를 통하여 외부 장치와 데이터를 송수신할 수 있다. 복수의 관통 전극(120a) 중 적어도 일부는 제1 반도체 소자(112a)와 복수의 외부 연결 단자(150) 사이를 전기적으로 연결할 수 있다. 일부 실시 예에서, 복수의 관통 전극(120a) 중 일부는 복수의 도전성 배선 패턴(132a) 중 일부와 복수의 외부 연결 단자(150) 중 일부 사이를 직접 전기적으로 연결할 수 있다. In the semiconductor package 1a, a plurality of chip pads 220 of the plurality of second semiconductor chips 200 and a plurality of front pads 142a of the first semiconductor chip 100a are electrically connected through a plurality of bonding wires 230. , and the plurality of front pads 142a and the first semiconductor device 112a may be electrically connected through a plurality of conductive wiring patterns 132a. The first semiconductor device 112a may transmit/receive data to and from an external device through the plurality of external connection terminals 150 attached to the plurality of rear pads 144a. At least some of the plurality of through electrodes 120a may electrically connect between the first semiconductor element 112a and the plurality of external connection terminals 150 . In some embodiments, some of the plurality of through electrodes 120a may directly electrically connect some of the plurality of conductive wiring patterns 132a and some of the plurality of external connection terminals 150 .

본 발명에 따른 반도체 패키지(1a)는 상대적으로 적은 제조 비용으로 상대적으로 넓은 데이터 버스의 폭을 가지도록 할 수 있다. The semiconductor package 1a according to the present invention can have a relatively wide data bus width at a relatively low manufacturing cost.

도 3a 내지 도 3d는 본 발명의 일 실시예들에 따른 반도체 패키지의 제조 방법을 단계적으로 나타내는 단면도들이다. 구체적으로 도 3a 내지 도 3d는 도 1에 보인 반도체 패키지의 제조 방법을 단계적으로 나타내는 단면도들이다. 3A to 3D are cross-sectional views illustrating in stages a method of manufacturing a semiconductor package according to embodiments of the present invention. Specifically, FIGS. 3A to 3D are cross-sectional views illustrating the method of manufacturing the semiconductor package shown in FIG. 1 in stages.

도 3a를 참조하면, 베이스 기판(10)을 준비한다. 베이스 기판(10)은 예를 들면, 실리콘 웨이퍼일 수 있다. 베이스 기판(10)은 복수의 제1 반도체 칩(100)을 포함할 수 있다. 이때, 베이스 기판(10)이 포함하는 복수의 제1 반도체 칩(100)이란, 이후에 분리되어 개별화된 복수의 제1 반도체 칩(100)이 될 부분들을 의미한다. 즉, 제1 반도체 기판(110)에 복수의 제1 반도체 칩(100) 각각에 포함될 제1 반도체 소자(112), 복수의 관통 전극(120), 배선층(130), 복수의 전면 패드(142), 및 복수의 후면 패드(144)를 형성하여, 베이스 기판(10)을 형성할 수 있다. Referring to FIG. 3A , a base substrate 10 is prepared. The base substrate 10 may be, for example, a silicon wafer. The base substrate 10 may include a plurality of first semiconductor chips 100 . In this case, the plurality of first semiconductor chips 100 included in the base substrate 10 refers to portions that will be separated and then become a plurality of individualized first semiconductor chips 100 . That is, the first semiconductor device 112 to be included in each of the plurality of first semiconductor chips 100 on the first semiconductor substrate 110 , the plurality of through electrodes 120 , the wiring layer 130 , and the plurality of front pads 142 . , and a plurality of rear pads 144 may be formed to form the base substrate 10 .

일부 실시 예에서, 복수의 전면 패드(142) 상에 복수의 외부 연결 단자(150)를 부착할 수 있다. 다른 일부 실시 예에서, 복수의 외부 연결 단자(150)는 도 3d에 보인 개별화 공정을 수행하기 전에 복수의 전면 패드(142) 상에 부착할 수 있다. In some embodiments, a plurality of external connection terminals 150 may be attached to the plurality of front pads 142 . In some other embodiments, the plurality of external connection terminals 150 may be attached to the plurality of front pads 142 before performing the individualization process shown in FIG. 3D .

도 3b를 참조하면, 베이스 기판(10) 상에 복수의 제1 반도체 칩(100) 각각에 대응하여 복수의 제2 반도체 칩(200)을 적층한다. 복수의 제2 반도체 칩(200) 각각은 그 하면에 다이 접착 필름(250)을 부착한 후, 베이스 기판(10)이 포함하는 제1 반도체 기판(110)의 비활성면 상에 순차적으로 적층될 수 있다. 복수의 제1 반도체 칩(100) 각각에 대응하는 최하단의 제2 반도체 칩(200)을 베이스 기판(10) 상에 부착한 후, 최하단의 제2 반도체 칩(200)의 칩 패드(220)와 후면 패드(144) 사이를 연결하는 본딩 와이어(230)를 형성한다. 이후, 최하단의 제2 반도체 칩(200) 상에 다른 제2 반도체 칩(200)을 부착하고, 다시 최하단의 제2 반도체 칩(200)이 부착된 베이스 기판(10) 상에 다른 제2 반도체 칩(200)을 부착한 후, 다른 제2 반도체 칩(200)의 칩 패드(220)와 후면 패드(144) 사이를 연결하는 본딩 와이어(230)를 형성하는 과정을 반복적으로 수행할 수 있다. Referring to FIG. 3B , a plurality of second semiconductor chips 200 are stacked on a base substrate 10 to correspond to each of the plurality of first semiconductor chips 100 . Each of the plurality of second semiconductor chips 200 may be sequentially laminated on the inactive surface of the first semiconductor substrate 110 included in the base substrate 10 after attaching the die adhesive film 250 to the lower surface thereof. there is. After attaching the lowermost second semiconductor chip 200 corresponding to each of the plurality of first semiconductor chips 100 on the base substrate 10 , the chip pad 220 of the lowermost second semiconductor chip 200 and A bonding wire 230 connecting between the rear pads 144 is formed. Thereafter, another second semiconductor chip 200 is attached to the lowermost second semiconductor chip 200 , and another second semiconductor chip is placed on the base substrate 10 to which the lowermost second semiconductor chip 200 is attached. After attaching the 200 , the process of forming the bonding wire 230 connecting the chip pad 220 and the back pad 144 of the second semiconductor chip 200 may be repeatedly performed.

도 3c 및 도 3d를 함께 참조하면, 베이스 기판(10) 상에 복수의 제2 반도체 칩(200) 및 복수의 본딩 와이어(230)를 감싸는 몰딩층(300)을 형성한다. Referring to FIGS. 3C and 3D together, the molding layer 300 surrounding the plurality of second semiconductor chips 200 and the plurality of bonding wires 230 is formed on the base substrate 10 .

이후, 베이스 기판(10)이 복수개의 제1 반도체 칩(100)으로 분리되도록 베이스 기판(10) 및 몰딩층(300)을 절단하는 개별화 공정을 수행하여, 복수개의 반도체 패키지(1)를 형성할 수 있다. Thereafter, an individualization process of cutting the base substrate 10 and the molding layer 300 is performed so that the base substrate 10 is separated into a plurality of first semiconductor chips 100 to form a plurality of semiconductor packages 1 . can

도 2에 보인 반도체 패키지(1a) 또한 도 3a 내지 도 3d에서 설명한 반도체 패키지(1)의 제조 방법을 참조하여, 제1 반도체 기판(110a)의 활성면과 비활성면의 방향을 제1 반도체 기판(110)의 활성면과 비활성면의 방향과 반대가 되도록, 복수의 제1 반도체 칩(100a)을 포함하는 베이스 기판을 준비하여 형성할 수 있다. The direction of the active surface and the inactive surface of the first semiconductor substrate 110a of the semiconductor package 1a shown in FIG. The base substrate including the plurality of first semiconductor chips 100a may be prepared and formed so as to be opposite to the directions of the active and inactive surfaces of the 110 .

도 4 및 도 5는 본 발명의 일 실시예들에 따른 반도체 패키지의 단면도들이다. 4 and 5 are cross-sectional views of semiconductor packages according to embodiments of the present invention.

도 4를 참조하면, 반도체 패키지(2)는 재배선 구조물(500), 재배선 구조물(500) 상의 제1 반도체 칩(100), 및 제1 반도체 칩(100) 상에 순차적으로 적층되는 복수의 제2 반도체 칩(200)을 포함한다. 제1 반도체 칩(100)은, 상면에 배치되며 복수의 관통 전극(120)과 연결되는 복수의 제1 칩 연결 패드(140)를 더 포함할 수 있다. 제1 칩 연결 패드(140)는 후면 패드라 호칭할 수 있다. Referring to FIG. 4 , the semiconductor package 2 includes a redistribution structure 500 , a first semiconductor chip 100 on the redistribution structure 500 , and a plurality of sequentially stacked on the first semiconductor chip 100 . and a second semiconductor chip 200 . The first semiconductor chip 100 may further include a plurality of first chip connection pads 140 disposed on the upper surface and connected to the plurality of through electrodes 120 . The first chip connection pad 140 may be referred to as a rear pad.

복수의 제2 반도체 칩(200)의 칩 패드(220), 즉 제2 칩 연결 패드(220)에는 복수의 본딩 와이어(230)의 일단이 부착되고, 복수의 제1 칩 연결 패드(140), 즉 후면 패드에는 복수의 본딩 와이어(230)의 타단이 부착될 수 있다. One end of the plurality of bonding wires 230 is attached to the chip pad 220 of the plurality of second semiconductor chips 200 , that is, the second chip connection pad 220 , the plurality of first chip connection pads 140 , That is, the other end of the plurality of bonding wires 230 may be attached to the rear pad.

재배선 구조물(500)은 재배선 절연층(510) 및 재배선 도전 구조물(520)을 포함할 수 있다. 일부 실시 예에서, 재배선 구조물(500)은 적층된 복수개의 재배선 절연층(510)을 포함할 수 있다. 재배선 절연층(510)은 예를 들면, PID(photo imageable dielectric), 또는 감광성 폴리이미드(photosensitive polyimide, PSPI)로부터 형성될 수 있다. 재배선 도전 구조물(520)은 예를 들면, 구리(Cu), 알루미늄(Al), 텅스텐(W), 티타늄(Ti), 탄탈륨(Ta), 인듐(In), 몰리브덴(Mo), 망간(Mn), 코발트(Co), 주석(Sn), 니켈(Ni), 마그네슘(Mg), 레늄(Re), 베릴륨(Be), 갈륨(Ga), 루테늄(Ru) 등과 같은 금속 또는 이들의 합금일 수 있지만, 이들에 한정되는 것은 아니다. 일부 실시 예에서, 재배선 도전 구조물(520)은 티타늄, 티타늄 질화물, 또는 티타늄 텅스텐을 포함하는 씨드층 상에 금속 또는 금속의 합금이 적층되어 형성될 수 있다. The redistribution structure 500 may include a redistribution insulating layer 510 and a redistribution conductive structure 520 . In some embodiments, the redistribution structure 500 may include a plurality of stacked redistribution insulating layers 510 . The redistribution insulating layer 510 may be formed of, for example, a photo imageable dielectric (PID) or a photosensitive polyimide (PSPI). The redistribution conductive structure 520 may include, for example, copper (Cu), aluminum (Al), tungsten (W), titanium (Ti), tantalum (Ta), indium (In), molybdenum (Mo), or manganese (Mn). ), cobalt (Co), tin (Sn), nickel (Ni), magnesium (Mg), rhenium (Re), beryllium (Be), gallium (Ga), a metal such as ruthenium (Ru), or an alloy thereof However, it is not limited to these. In some embodiments, the redistribution conductive structure 520 may be formed by laminating a metal or an alloy of a metal on a seed layer including titanium, titanium nitride, or titanium tungsten.

재배선 도전 구조물(520)은, 재배선 절연층(510)의 상면 및 하면 중 적어도 일면에 배치되는 복수의 재배선 라인 패턴(522), 및 재배선 절연층(510)을 관통하여 복수의 재배선 라인 패턴(522) 중 일부와 각각 접하여 연결되는 복수의 재배선 비아(524)를 포함할 수 있다. 일부 실시예에서, 복수의 재배선 라인 패턴(522) 중 적어도 일부는 복수의 재배선 비아(524) 중 일부와 함께 형성되어 일체를 이룰 수 있다. 예를 들면, 재배선 라인 패턴(522)과 재배선 라인 패턴(522)의 하면과 접하는 재배선 비아(5264는 일체를 이를 수 있다. The redistribution conductive structure 520 includes a plurality of redistribution line patterns 522 disposed on at least one of an upper surface and a lower surface of the redistribution insulating layer 510 , and a plurality of redistribution line patterns 522 passing through the redistribution insulating layer 510 . It may include a plurality of redistribution vias 524 connected to a portion of the line line pattern 522 , respectively. In some embodiments, at least a portion of the plurality of redistribution line patterns 522 may be formed together with a portion of the plurality of redistribution vias 524 to form an integral body. For example, the redistribution line pattern 522 and the redistribution via 5264 contacting the lower surface of the redistribution line pattern 522 may be integrally formed.

일부 실시예에서, 복수의 재배선 비아(524)는 하측으로부터 상측으로 수평 폭이 넓어지며 연장되는 테이퍼드(tapered)한 형상을 가질 수 있다. 즉, 복수의 재배선 비아(524)는 반도체 칩(100)으로부터 멀어지면서 수평 폭이 좁아질 수 있다. In some embodiments, the plurality of redistribution vias 524 may have a tapered shape extending horizontally from the lower side to the upper side. That is, the horizontal width of the plurality of redistribution vias 524 may become narrower as they move away from the semiconductor chip 100 .

재배선 구조물(500)의 하면에는 재배선 도전 구조물(520)과 전기적으로 연결되는 복수의 외부 연결 패드(540)가 배치될 수 있으며, 복수의 외부 연결 패드(540)에는 복수의 외부 연결 단자(550)가 부착될 수 있다. 일부 실시 예에서, 외부 연결 단자(550)는 솔더 볼일 수 있다. A plurality of external connection pads 540 electrically connected to the redistribution conductive structure 520 may be disposed on a lower surface of the redistribution structure 500 , and a plurality of external connection terminals 540 may be disposed on the plurality of external connection pads 540 . 550) may be attached. In some embodiments, the external connection terminal 550 may be a solder ball.

재배선 도전 구조물(520)은, 복수의 도전성 배선 패턴(132)과 외부 연결 단자(550)가 부착된 복수의 외부 연결 패드(540)를 전기적으로 연결될 수 있다. The redistribution conductive structure 520 may electrically connect the plurality of conductive wiring patterns 132 and the plurality of external connection pads 540 to which the external connection terminals 550 are attached.

일부 실시 예에서, 제1 반도체 칩(100)의 배선층(130)과 재배선 구조물(500) 사이에 도 1에 보인 복수의 전면 패드(142)와 유사한 복수의 전면 패드가 개재될 수 있다. 상기 복수의 전면 패드의 상면은 배선층(130)의 도전성 배선 패턴(132)과 연결될 수 있고, 하면은 재배선 구조물(500)의 재배선 도전 구조물(520)과 연결될 수 있다. In some embodiments, a plurality of front pads similar to the plurality of front pads 142 shown in FIG. 1 may be interposed between the wiring layer 130 of the first semiconductor chip 100 and the redistribution structure 500 . An upper surface of the plurality of front pads may be connected to the conductive wiring pattern 132 of the wiring layer 130 , and a lower surface of the plurality of front pads may be connected to the redistribution conductive structure 520 of the redistribution structure 500 .

반도체 패키지(2)는 재배선 구조물(500) 상에서, 재배선 구조물(500)의 상면을 덮으며 제1 반도체 칩(100), 복수의 제2 반도체 칩(200) 및 복수의 본딩 와이어(230)를 감싸는 몰딩층(302)을 더 포함할 수 있다. 일부 실시예에서, 몰딩층(302)의 수평 폭 및 수평 면적은 재배선 구조물(500)의 수평 폭 및 수평 면적과 동일한 값을 가질 수 있다. 예를 들면, 재배선 구조물(500)의 측벽과 몰딩층(300)의 측벽은 수직 방향으로 정렬되어, 동일 평면(coplanar)을 이룰 수 있다. The semiconductor package 2 covers the upper surface of the redistribution structure 500 on the redistribution structure 500 , and includes a first semiconductor chip 100 , a plurality of second semiconductor chips 200 , and a plurality of bonding wires 230 . It may further include a molding layer 302 surrounding the. In some embodiments, a horizontal width and a horizontal area of the molding layer 302 may have the same values as a horizontal width and a horizontal area of the redistribution structure 500 . For example, the sidewall of the redistribution structure 500 and the sidewall of the molding layer 300 may be aligned in a vertical direction to form a coplanar surface.

도 5를 참조하면, 반도체 패키지(2a)는 재배선 구조물(500), 재배선 구조물(500) 상의 제1 반도체 칩(100a), 및 제1 반도체 칩(100a) 상에 순차적으로 적층되는 복수의 제2 반도체 칩(200)을 포함한다. 제1 반도체 칩(100a)은, 상면에 배치되며 복수의 관통 전극(120a)과 연결되는 복수의 제1 칩 연결 패드(140a)를 더 포함할 수 있다. 제1 칩 연결 패드(140a)는 전면 패드라 호칭할 수 있다. Referring to FIG. 5 , the semiconductor package 2a includes a redistribution structure 500 , a first semiconductor chip 100a on the redistribution structure 500 , and a plurality of sequentially stacked on the first semiconductor chip 100a . and a second semiconductor chip 200 . The first semiconductor chip 100a may further include a plurality of first chip connection pads 140a disposed on the upper surface and connected to the plurality of through electrodes 120a. The first chip connection pad 140a may be referred to as a front pad.

복수의 제2 반도체 칩(200)의 칩 패드(220), 즉 제2 칩 연결 패드(220)에는 복수의 본딩 와이어(230)의 일단이 부착되고, 복수의 제1 칩 연결 패드(140a), 즉 전면 패드에는 복수의 본딩 와이어(230)의 타단이 부착될 수 있다. One end of the plurality of bonding wires 230 is attached to the chip pad 220 of the plurality of second semiconductor chips 200 , that is, the second chip connection pad 220 , and a plurality of first chip connection pads 140a; That is, the other end of the plurality of bonding wires 230 may be attached to the front pad.

일부 실시 예에서, 제1 반도체 칩(100a)의 비활성면과 재배선 구조물(500) 사이에 도 2에 보인 복수의 후면 패드(144)와 유사한 복수의 후면 패드가 개재될 수 있다. 상기 복수의 후면 패드의 상면은 복수의 관통 전극(120a)과 연결될 수 있고, 하면은 재배선 구조물(500)의 재배선 도전 구조물(520)과 연결될 수 있다. In some embodiments, a plurality of rear pads similar to the plurality of rear pads 144 shown in FIG. 2 may be interposed between the non-active surface of the first semiconductor chip 100a and the redistribution structure 500 . An upper surface of the plurality of back pads may be connected to the plurality of through electrodes 120a , and a lower surface of the plurality of rear pads may be connected to the redistribution conductive structure 520 of the redistribution structure 500 .

반도체 패키지(2a)는 재배선 구조물(500) 상에서, 제1 반도체 칩(100a), 복수의 제2 반도체 칩(200) 및 복수의 본딩 와이어(230)를 감싸는 몰딩층(302)을 더 포함할 수 있다. The semiconductor package 2a may further include a molding layer 302 surrounding the first semiconductor chip 100a, the plurality of second semiconductor chips 200 and the plurality of bonding wires 230 on the redistribution structure 500 . can

도 6a 내지 도 6e는 본 발명의 일 실시예들에 따른 반도체 패키지의 제조 방법을 단계적으로 나타내는 단면도들이다. 구체적으로 도 6a 내지 도 6e는 도 4에 보인 반도체 패키지의 제조 방법을 단계적으로 나타내는 단면도들이다. 6A to 6E are cross-sectional views illustrating in stages a method of manufacturing a semiconductor package according to an exemplary embodiment of the present invention. Specifically, FIGS. 6A to 6E are cross-sectional views illustrating the method of manufacturing the semiconductor package shown in FIG. 4 in stages.

도 6a를 참조하면, 재배선 기판(50)을 준비한다. 재배선 기판(50)은 예를 들면, 지지 기판(도시 생략) 상에 형성된 복수의 재배선 구조물(500)을 포함할 수 있다. 이때, 재배선 기판(50)이 포함하는 복수의 재배선 구조물(500)이란, 이후에 분리되어 개별화된 복수의 재배선 구조물(500)이 될 부분들을 의미한다. 즉, 상기 지지 기판 상에 재배선 절연층(510) 및 재배선 도전 구조물(520)을 1회 이상 반복 형성하여, 재배선 기판(50)을 형성할 수 있다. Referring to FIG. 6A , a redistribution substrate 50 is prepared. The redistribution substrate 50 may include, for example, a plurality of redistribution structures 500 formed on a support substrate (not shown). In this case, the plurality of redistribution structures 500 included in the redistribution substrate 50 means portions that will be separated and become a plurality of individualized redistribution structures 500 . That is, the redistribution substrate 50 may be formed by repeatedly forming the redistribution insulating layer 510 and the redistribution conductive structure 520 on the support substrate one or more times.

일부 실시 예에서, 재배선 기판(50)의 하면에 재배선 도전 구조물(520)과 연결되는 복수의 외부 연결 단자(550)를 부착할 수 있다. 다른 일부 실시 예에서, 복수의 외부 연결 단자(550)는 도 6e에 보인 개별화 공정을 수행하기 전에 재배선 기판(50)의 하면에 부착할 수 있다. In some embodiments, a plurality of external connection terminals 550 connected to the redistribution conductive structure 520 may be attached to the lower surface of the redistribution substrate 50 . In some other embodiments, the plurality of external connection terminals 550 may be attached to the lower surface of the redistribution board 50 before the individualization process shown in FIG. 6E is performed.

도 6b를 참조하면, 재배선 기판(50) 상에 복수의 제1 반도체 칩(100)을 부착한다. 복수의 제1 반도체 칩(100)은 재배선 기판(50)의 상면 상에 서로 이격되도록 배치될 수 있다. Referring to FIG. 6B , a plurality of first semiconductor chips 100 are attached to the redistribution substrate 50 . The plurality of first semiconductor chips 100 may be disposed on the upper surface of the redistribution substrate 50 to be spaced apart from each other.

도 6c를 참조하면, 복수의 제1 반도체 칩(100) 상에, 복수의 제1 반도체 칩(100) 각각에 대응하여 복수의 제2 반도체 칩(200)을 적층한다. 복수의 제2 반도체 칩(200) 각각은 그 하면에 다이 접착 필름(250)을 부착한 후, 베이스 기판(10)이 포함하는 제1 반도체 기판(110)의 비활성면 상에 순차적으로 적층될 수 있다. 복수의 제1 반도체 칩(100) 각각에 대응하는 최하단의 제2 반도체 칩(200)을 베이스 기판(10) 상에 부착한 후, 최하단의 제2 반도체 칩(200)의 칩 패드(220)와 후면 패드(144) 사이를 연결하는 본딩 와이어(230)를 형성한다. 이후, 최하단의 제2 반도체 칩(200) 상에 다른 제2 반도체 칩(200)을 부착하고, 다시 최하단의 제2 반도체 칩(200)이 부착된 베이스 기판(10) 상에 다른 제2 반도체 칩(200)을 부착한 후, 다른 제2 반도체 칩(200)의 칩 패드(220)와 후면 패드(144) 사이를 연결하는 본딩 와이어(230)를 형성하는 과정을 반복적으로 수행할 수 있다. Referring to FIG. 6C , a plurality of second semiconductor chips 200 are stacked on the plurality of first semiconductor chips 100 to correspond to each of the plurality of first semiconductor chips 100 . Each of the plurality of second semiconductor chips 200 may be sequentially laminated on the inactive surface of the first semiconductor substrate 110 included in the base substrate 10 after attaching the die adhesive film 250 to the lower surface thereof. there is. After attaching the lowermost second semiconductor chip 200 corresponding to each of the plurality of first semiconductor chips 100 on the base substrate 10 , the chip pad 220 of the lowermost second semiconductor chip 200 and A bonding wire 230 connecting between the rear pads 144 is formed. Thereafter, another second semiconductor chip 200 is attached to the lowermost second semiconductor chip 200 , and another second semiconductor chip is placed on the base substrate 10 to which the lowermost second semiconductor chip 200 is attached. After attaching the 200 , the process of forming the bonding wire 230 connecting the chip pad 220 and the back pad 144 of the second semiconductor chip 200 may be repeatedly performed.

도 6d 및 도 6e를 함께 참조하면, 재배선 기판(50) 상에 제1 반도체 칩(100), 복수의 제2 반도체 칩(200) 및 복수의 본딩 와이어(230)를 감싸는 몰딩층(302)을 형성한다. 6D and 6E together, a molding layer 302 surrounding the first semiconductor chip 100 , the plurality of second semiconductor chips 200 , and the plurality of bonding wires 230 on the redistribution substrate 50 . to form

이후, 재배선 기판(50)이 복수개의 재배선 구조물(500)로 분리되도록 재배선 기판(50) 및 몰딩층(300)을 절단하는 개별화 공정을 수행하여, 복수개의 반도체 패키지(2)를 형성할 수 있다. Thereafter, an individualization process of cutting the redistribution substrate 50 and the molding layer 300 is performed so that the redistribution substrate 50 is separated into a plurality of redistribution structures 500 , thereby forming a plurality of semiconductor packages 2 . can do.

도 5에 보인 반도체 패키지(2a) 또한 도 6a 내지 도 6e에서 설명한 반도체 패키지(2)의 제조 방법을 참조하여, 제1 반도체 기판(110a)의 활성면과 비활성면의 방향을 제1 반도체 기판(110)의 활성면과 비활성면의 방향과 반대가 되도록, 복수의 제1 반도체 칩(100a)을 재배선 기판(50) 상에 부착하여 형성할 수 있다. The direction of the active surface and the inactive surface of the first semiconductor substrate 110a of the semiconductor package 2a shown in FIG. The plurality of first semiconductor chips 100a may be attached to and formed on the redistribution substrate 50 so that the directions of the active surface and the inactive surface of the 110 are opposite to each other.

도 7 내지 도 16은 본 발명의 일 실시예들에 따른 반도체 패키지의 단면도들이다. 7 to 16 are cross-sectional views of semiconductor packages according to embodiments of the present invention.

도 7을 참조하면, 반도체 패키지(3)는 제1 반도체 칩(100b) 및 제1 반도체 칩(100b) 상에 순차적으로 적층되는 복수의 제2 반도체 칩(200)을 포함한다. 일부 실시 예에서, 복수의 제2 반도체 칩(200) 각각은 메모리 소자를 가지고 있는 메모리 반도체 칩일 수 있고, 제1 반도체 칩(100b)은 제2 반도체 칩(200)이 가지는 메모리 소자를 제어하기 위한 회로들을 가지고 있는 로직 반도체 칩일 수 있다. Referring to FIG. 7 , the semiconductor package 3 includes a first semiconductor chip 100b and a plurality of second semiconductor chips 200 sequentially stacked on the first semiconductor chip 100b. In some embodiments, each of the plurality of second semiconductor chips 200 may be a memory semiconductor chip having a memory device, and the first semiconductor chip 100b may be configured to control a memory device included in the second semiconductor chip 200 . It may be a logic semiconductor chip having circuits.

제1 반도체 칩(100b)은 활성면에 제1 반도체 소자(112b)가 형성된 제1 반도체 기판(110b), 및 제1 반도체 기판(110b)의 활성면 상에 배치되며 복수의 도전성 배선 패턴(132b) 및 배선간 절연층(134b)을 포함하는 배선층(130b)을 포함할 수 있다. 제1 반도체 칩(100b)은 제1 반도체 칩(100b)의 상면과 하면 사이의 적어도 일부분을 관통하는 복수의 관통 전극(120b)을 더 포함할 수 있다. 제1 반도체 칩(100b)은 제1 반도체 기판(110b)의 활성면이 하측을 향하는 페이스 다운(face down) 배치를 가질 수 있다. 제1 반도체 칩(100b)은 하면에 배치되며 도전성 배선 패턴(132b)과 연결되는 복수의 전면 패드(142b) 및 상면에 배치되며 복수의 관통 전극(120b)과 연결되는 복수의 후면 패드(144b)를 더 포함할 수 있다. The first semiconductor chip 100b is disposed on the first semiconductor substrate 110b on which the first semiconductor device 112b is formed on the active surface, and on the active surface of the first semiconductor substrate 110b, and includes a plurality of conductive wiring patterns 132b. ) and a wiring layer 130b including an inter-wiring insulating layer 134b. The first semiconductor chip 100b may further include a plurality of through electrodes 120b passing through at least a portion between the top and bottom surfaces of the first semiconductor chip 100b. The first semiconductor chip 100b may have a face-down arrangement in which the active surface of the first semiconductor substrate 110b faces downward. The first semiconductor chip 100b includes a plurality of front pads 142b disposed on the lower surface and connected to the conductive wiring pattern 132b, and a plurality of rear pads 144b disposed on the upper surface and connected to the plurality of through electrodes 120b. may further include.

제1 반도체 기판(110b), 제1 반도체 소자(112b), 관통 전극(120b), 배선층(130b), 전면 패드(142b), 후면 패드(144b)는 도 1에 보인 제1 반도체 기판(110), 제1 반도체 소자(112), 관통 전극(120), 배선층(130), 전면 패드(142), 후면 패드(144)와 대체로 유사한 바, 중복되는 설명은 생략하고 차이점을 위주로 설명하도록 한다. The first semiconductor substrate 110b, the first semiconductor device 112b, the through electrode 120b, the wiring layer 130b, the front pad 142b, and the rear pad 144b are the first semiconductor substrate 110 shown in FIG. 1 . , the first semiconductor device 112 , the through electrode 120 , the wiring layer 130 , the front pad 142 , and the back pad 144 are substantially similar to each other, and overlapping descriptions will be omitted and the differences will be mainly described.

제1 반도체 소자(112b)는 복수의 제2 반도체 칩(200)과 전기적으로 연결되는 분기 구조를 변경해주는 회로를 포함할 수 있다. 일부 실시 예에서 제1 반도체 소자(112b)는 SerDes(Serializer/Deserialize) 회로를 포함할 수 있다. 예를 들면, 제1 반도체 소자(112b)는 제2 반도체 칩(200)의 데이터 패드들 중 2개와 연결되는 2개의 분기를 1개로 감소되도록 변경하여 전면 패드(142b)에 부착되는 외부 연결 단자(150)에 전달할 수 있다. The first semiconductor device 112b may include a circuit for changing a branch structure electrically connected to the plurality of second semiconductor chips 200 . In some embodiments, the first semiconductor device 112b may include a Serializer/Deserialize (SerDes) circuit. For example, in the first semiconductor device 112b, two branches connected to two of the data pads of the second semiconductor chip 200 are reduced to one, and the external connection terminal ( ) attached to the front pad 142b. 150) can be transferred.

따라서 본 발명에 따른 반도체 패키지(3)는 복수의 제2 반도체 칩(200)의 개수와, 복수의 제2 반도체 칩(200) 각각의 데이터 버스의 폭의 곱과 제1 반도체 소자(112b)가 가지는 전기 경로의 수를 변경해주는 회로에 의하여, 반도체 패키지(3)의 데이터 버스의 폭이 결정될 수 있다. 예를 들어, 반도체 패키지(3)가 포함하는 제2 반도체 칩(200)이 4개이고, 제2 반도체 칩(200)의 데이터 버스의 폭이 32비트인 경우, 제1 반도체 소자(112b)가 2개의 경로를 1개로 변경하는 경우, 제1 반도체 패키지(3)의 데이터 버스의 폭은 4ㅧ32/2비트, 즉 64비트일 수 있다. Therefore, in the semiconductor package 3 according to the present invention, the product of the number of the plurality of second semiconductor chips 200 and the width of each data bus of the plurality of second semiconductor chips 200 and the first semiconductor element 112b The width of the data bus of the semiconductor package 3 may be determined by a circuit that changes the number of branch electrical paths. For example, when the number of second semiconductor chips 200 included in the semiconductor package 3 is 4 and the data bus width of the second semiconductor chip 200 is 32 bits, the first semiconductor device 112b is 2 When the number of paths is changed to one, the width of the data bus of the first semiconductor package 3 may be 4×32/2 bits, that is, 64 bits.

도 8을 참조하면, 반도체 패키지(3a)는 제1 반도체 칩(100c) 및 제1 반도체 칩(100c) 상에 순차적으로 적층되는 복수의 제2 반도체 칩(200)을 포함한다. 일부 실시 예에서, 복수의 제2 반도체 칩(200) 각각은 메모리 소자를 가지고 있는 메모리 반도체 칩일 수 있고, 제1 반도체 칩(100c)은 제2 반도체 칩(200)이 가지는 메모리 소자를 제어하기 위한 회로들을 가지고 있는 로직 반도체 칩일 수 있다. Referring to FIG. 8 , the semiconductor package 3a includes a first semiconductor chip 100c and a plurality of second semiconductor chips 200 sequentially stacked on the first semiconductor chip 100c. In some embodiments, each of the plurality of second semiconductor chips 200 may be a memory semiconductor chip having a memory device, and the first semiconductor chip 100c may be configured to control a memory device included in the second semiconductor chip 200 . It may be a logic semiconductor chip having circuits.

제1 반도체 칩(100c)은 활성면에 제1 반도체 소자(112c)가 형성된 제1 반도체 기판(110c), 및 제1 반도체 기판(110c)의 활성면 상에 배치되며 복수의 도전성 배선 패턴(132c) 및 배선간 절연층(134c)을 포함하는 배선층(130c)을 포함할 수 있다. 제1 반도체 칩(100c)은 제1 반도체 칩(100c)의 상면과 하면 사이의 적어도 일부분을 관통하는 복수의 관통 전극(120c)을 더 포함할 수 있다. 제1 반도체 칩(100c)은 제1 반도체 기판(110b)의 활성면이 상측을 향하는 페이스 업(face up) 배치를 가질 수 있다. 제1 반도체 칩(100c)은 상면에 배치되며 도전성 배선 패턴(132c)과 연결되는 복수의 전면 패드(142c) 및 하면에 배치되며 복수의 관통 전극(120c)과 연결되는 복수의 후면 패드(144c)를 더 포함할 수 있다. The first semiconductor chip 100c is disposed on the first semiconductor substrate 110c on which the first semiconductor device 112c is formed on the active surface, and on the active surface of the first semiconductor substrate 110c, and includes a plurality of conductive wiring patterns 132c. ) and a wiring layer 130c including an inter-wiring insulating layer 134c. The first semiconductor chip 100c may further include a plurality of through electrodes 120c penetrating at least a portion between the upper and lower surfaces of the first semiconductor chip 100c. The first semiconductor chip 100c may have a face-up arrangement in which the active surface of the first semiconductor substrate 110b faces upward. The first semiconductor chip 100c has a plurality of front pads 142c disposed on the upper surface and connected to the conductive wiring pattern 132c, and a plurality of rear pads 144c disposed on the lower surface and connected to the plurality of through electrodes 120c. may further include.

제1 반도체 기판(110c), 제1 반도체 소자(112c), 관통 전극(120c), 배선층(130c), 전면 패드(142c), 후면 패드(144c)는 도 2에 보인 제1 반도체 기판(110a), 제1 반도체 소자(112a), 관통 전극(120a), 배선층(130a), 전면 패드(142a), 후면 패드(144a)와 대체로 유사한 바, 중복되는 설명은 생략하고 차이점을 위주로 설명하도록 한다. The first semiconductor substrate 110c, the first semiconductor device 112c, the through electrode 120c, the wiring layer 130c, the front pad 142c, and the rear pad 144c are the first semiconductor substrate 110a shown in FIG. 2 . , the first semiconductor device 112a, the through electrode 120a, the wiring layer 130a, the front pad 142a, and the back pad 144a are substantially similar to each other, so the overlapping description will be omitted and the differences will be mainly described.

도 7에 보인 제1 반도체 소자(112b)와 유사하게, 제1 반도체 소자(112c)는 복수의 제2 반도체 칩(200)과 전기적으로 연결되는 분기 구조를 변경해주는 회로를 포함할 수 있다. 일부 실시 예에서 제1 반도체 소자(112c)는 SerDes 회로를 포함할 수 있다. 예를 들면, 제1 반도체 소자(112c)는 제2 반도체 칩(200)의 데이터 패드들 중 2개와 연결되는 2개의 분기를 1개로 변경하여 후면 패드(144c)에 부착되는 외부 연결 단자(150)에 전달할 수 있다. Similar to the first semiconductor device 112b shown in FIG. 7 , the first semiconductor device 112c may include a circuit for changing a branch structure electrically connected to the plurality of second semiconductor chips 200 . In some embodiments, the first semiconductor device 112c may include a SerDes circuit. For example, the first semiconductor device 112c changes two branches connected to two of the data pads of the second semiconductor chip 200 into one, and the external connection terminal 150 attached to the rear pad 144c. can be forwarded to

도 9를 참조하면, 반도체 패키지(4)는 재배선 구조물(500), 재배선 구조물(500) 상의 제1 반도체 칩(100b), 및 제1 반도체 칩(100b) 상에 순차적으로 적층되는 복수의 제2 반도체 칩(200)을 포함한다. 제1 반도체 칩(100b)은, 상면에 배치되며 복수의 관통 전극(120b)과 연결되는 복수의 제1 칩 연결 패드(140b)를 더 포함할 수 있다. 제1 칩 연결 패드(140b)는 후면 패드라 호칭할 수 있다. Referring to FIG. 9 , the semiconductor package 4 includes a redistribution structure 500 , a first semiconductor chip 100b on the redistribution structure 500 , and a plurality of sequentially stacked on the first semiconductor chip 100b . and a second semiconductor chip 200 . The first semiconductor chip 100b may further include a plurality of first chip connection pads 140b disposed on the upper surface and connected to the plurality of through electrodes 120b. The first chip connection pad 140b may be referred to as a rear pad.

복수의 제2 반도체 칩(200)의 칩 패드(220), 즉 제2 칩 연결 패드(220)에는 복수의 본딩 와이어(230)의 일단이 부착되고, 복수의 제1 칩 연결 패드(140b), 즉 후면 패드에는 복수의 본딩 와이어(230)의 타단이 부착될 수 있다. One end of the plurality of bonding wires 230 is attached to the chip pad 220 of the plurality of second semiconductor chips 200 , that is, the second chip connection pad 220 , the plurality of first chip connection pads 140b; That is, the other end of the plurality of bonding wires 230 may be attached to the rear pad.

반도체 패키지(4)는 재배선 구조물(500) 상에서, 제1 반도체 칩(100b), 복수의 제2 반도체 칩(200) 및 복수의 본딩 와이어(230)를 감싸는 몰딩층(302)을 더 포함할 수 있다. The semiconductor package 4 may further include a molding layer 302 surrounding the first semiconductor chip 100b, the plurality of second semiconductor chips 200 and the plurality of bonding wires 230 on the redistribution structure 500 . can

도 9에 보인 반도체 패키지(4)와 도 7의 반도체 패키지(3)의 차이점은, 도 4에 보인 반도체 패키지(2)와 도 1에 보인 반도체 패키지(1)의 차이점과 유사한 바, 중복되는 설명은 생략하도록 한다. The difference between the semiconductor package 4 shown in FIG. 9 and the semiconductor package 3 shown in FIG. 7 is similar to the difference between the semiconductor package 2 shown in FIG. 4 and the semiconductor package 1 shown in FIG. 1 , and overlapping explanations should be omitted.

도 10을 참조하면, 반도체 패키지(4a)는 재배선 구조물(500), 재배선 구조물(500) 상의 제1 반도체 칩(100c), 및 제1 반도체 칩(100c) 상에 순차적으로 적층되는 복수의 제2 반도체 칩(200)을 포함한다. 제1 반도체 칩(100c)은, 상면에 배치되며 복수의 관통 전극(120c)과 연결되는 복수의 제1 칩 연결 패드(140c)를 더 포함할 수 있다. 제1 칩 연결 패드(140c)는 전면 패드라 호칭할 수 있다. Referring to FIG. 10 , the semiconductor package 4a includes a redistribution structure 500 , a first semiconductor chip 100c on the redistribution structure 500 , and a plurality of sequentially stacked on the first semiconductor chip 100c . and a second semiconductor chip 200 . The first semiconductor chip 100c may further include a plurality of first chip connection pads 140c disposed on the upper surface and connected to the plurality of through electrodes 120c. The first chip connection pad 140c may be referred to as a front pad.

복수의 제2 반도체 칩(200)의 칩 패드(220), 즉 제2 칩 연결 패드(220)에는 복수의 본딩 와이어(230)의 일단이 부착되고, 복수의 제1 칩 연결 패드(140c), 즉 전면 패드에는 복수의 본딩 와이어(230)의 타단이 부착될 수 있다. One end of a plurality of bonding wires 230 is attached to the chip pad 220 of the plurality of second semiconductor chips 200 , that is, the second chip connection pad 220 , and a plurality of first chip connection pads 140c; That is, the other end of the plurality of bonding wires 230 may be attached to the front pad.

반도체 패키지(4a)는 재배선 구조물(500) 상에서, 제1 반도체 칩(100c), 복수의 제2 반도체 칩(200) 및 복수의 본딩 와이어(230)를 감싸는 몰딩층(302)을 더 포함할 수 있다. The semiconductor package 4a may further include a molding layer 302 surrounding the first semiconductor chip 100c, the plurality of second semiconductor chips 200 and the plurality of bonding wires 230 on the redistribution structure 500 . can

도 10에 보인 반도체 패키지(4a)와 도 8에 보인 반도체 패키지(3a)의 차이점은, 도 5에 보인 반도체 패키지(2a)와 도 2에 보인 반도체 패키지(1a)의 차이점과 유사한 바, 중복되는 설명은 생략하도록 한다. The difference between the semiconductor package 4a shown in FIG. 10 and the semiconductor package 3a shown in FIG. 8 is similar to the difference between the semiconductor package 2a shown in FIG. 5 and the semiconductor package 1a shown in FIG. The description will be omitted.

도 11을 참조하면, 반도체 패키지(5)는 제1 반도체 칩(100d) 및 제1 반도체 칩(100d) 상에 적층되는 복수의 제2 반도체 칩(200)을 포함한다. 일부 실시 예에서, 복수의 제2 반도체 칩(200) 각각은 메모리 소자를 가지고 있는 메모리 반도체 칩일 수 있고, 제1 반도체 칩(100d)은 제2 반도체 칩(200)이 가지는 메모리 소자를 제어하기 위한 회로들을 가지고 있는 로직 반도체 칩일 수 있다. Referring to FIG. 11 , the semiconductor package 5 includes a first semiconductor chip 100d and a plurality of second semiconductor chips 200 stacked on the first semiconductor chip 100d. In some embodiments, each of the plurality of second semiconductor chips 200 may be a memory semiconductor chip having a memory device, and the first semiconductor chip 100d may be configured to control a memory device included in the second semiconductor chip 200 . It may be a logic semiconductor chip having circuits.

제1 반도체 칩(100d)은 활성면에 제1 반도체 소자(112d)가 형성된 제1 반도체 기판(110d), 및 제1 반도체 기판(110d)의 활성면 상에 배치되며 복수의 도전성 배선 패턴(132d) 및 배선간 절연층(134d)을 포함하는 배선층(130d)을 포함할 수 있다. 제1 반도체 칩(100d)은 제1 반도체 칩(100d)의 상면과 하면 사이의 적어도 일부분을 관통하는 복수의 관통 전극(120d)을 더 포함할 수 있다. 제1 반도체 칩(100d)은 하면에 배치되며 도전성 배선 패턴(132d)과 연결되는 복수의 전면 패드(142d) 및 상면에 배치되며 복수의 관통 전극(120d)과 연결되는 복수의 후면 패드(144d)를 더 포함할 수 있다. The first semiconductor chip 100d is disposed on the first semiconductor substrate 110d on which the first semiconductor element 112d is formed on the active surface, and on the active surface of the first semiconductor substrate 110d, and includes a plurality of conductive wiring patterns 132d. ) and a wiring layer 130d including an inter-wiring insulating layer 134d. The first semiconductor chip 100d may further include a plurality of through electrodes 120d penetrating at least a portion between the top and bottom surfaces of the first semiconductor chip 100d. The first semiconductor chip 100d includes a plurality of front pads 142d disposed on the lower surface and connected to the conductive wiring pattern 132d, and a plurality of rear pads 144d disposed on the upper surface and connected to the plurality of through electrodes 120d. may further include.

제1 반도체 기판(110d), 제1 반도체 소자(112d), 관통 전극(120d), 배선층(130d), 전면 패드(142d), 후면 패드(144d)는 도 7에 보인 제1 반도체 기판(110b), 제1 반도체 소자(112b), 관통 전극(120b), 배선층(130b), 전면 패드(142b), 후면 패드(144b)와 대체로 유사한 바, 중복되는 설명은 생략하고 차이점을 위주로 설명하도록 한다. The first semiconductor substrate 110d, the first semiconductor device 112d, the through electrode 120d, the wiring layer 130d, the front pad 142d, and the rear pad 144d are the first semiconductor substrate 110b shown in FIG. 7 . , the first semiconductor device 112b, the through electrode 120b, the wiring layer 130b, the front pad 142b, and the rear pad 144b are substantially similar to each other, so the overlapping description will be omitted and the differences will be mainly described.

복수의 제2 반도체 칩(200) 중 일부개는 제1 반도체 칩(100d) 상에 순차적으로 적층되고, 복수의 제2 반도체 칩(200) 중 다른 일부개는 순차적으로 적층된 복수의 제2 반도체 칩(200) 중 일부개와 이격되도록 제1 반도체 칩(100d) 상에 순차적으로 적층될 수 있다. Some of the plurality of second semiconductor chips 200 are sequentially stacked on the first semiconductor chip 100d, and other portions of the plurality of second semiconductor chips 200 are sequentially stacked on the plurality of second semiconductors. They may be sequentially stacked on the first semiconductor chip 100d to be spaced apart from some of the chips 200 .

도 7에 보인 반도체 패키지(3)가 포함하는 복수의 제2 반도체 칩(200)과 도 10에 보인 반도체 패키지(5)가 포함하는 복수의 제2 반도체 칩(200)의 개수는 동일하나, 도 7에 보인 반도체 패키지(3)에서 제1 반도체 칩(100b) 상에 수직 방향으로 적층된 제2 반도체 칩(200)들의 개수와 도 10에 보인 반도체 패키지(5)에서 제1 반도체 칩(100d) 상에 수직 방향으로 적층된 제2 반도체 칩(200)들의 개수는 다를 수 있다. The number of the plurality of second semiconductor chips 200 included in the semiconductor package 3 shown in FIG. 7 and the plurality of second semiconductor chips 200 included in the semiconductor package 5 shown in FIG. 10 are the same, but in FIG. The number of second semiconductor chips 200 vertically stacked on the first semiconductor chip 100b in the semiconductor package 3 shown in FIG. 7 and the first semiconductor chip 100d in the semiconductor package 5 shown in FIG. 10 . The number of the second semiconductor chips 200 stacked in the vertical direction may be different.

예를 들면, 도 7에 보인 반도체 패키지(3)는 제1 반도체 칩(100b) 상에 8개의 제2 반도체 칩(200)이 적층된 1개의 적층 구조물을 가질 수 있고, 도 11에 보인 반도체 패키지(5)는 제1 반도체 칩(100d) 상에 4개의 반도체 칩(200)이 적층된 2개의 적층 구조물을 가질 수 있다. For example, the semiconductor package 3 shown in FIG. 7 may have a single stack structure in which eight second semiconductor chips 200 are stacked on the first semiconductor chip 100b, and the semiconductor package shown in FIG. 11 . (5) may have two stacked structures in which four semiconductor chips 200 are stacked on the first semiconductor chip 100d.

즉, 도 11에 보인 반도체 패키지(5)는, 도 7에 보인 반도체 패키지(3)와 실질적으로 동일한 동작 특성을 가지되, 도 7에 보인 반도체 패키지(3)와 비교하여 수직 방향으로 크기, 즉 수직 높이는 상대적으로 작은 값을 가지되, 수평 방향으로 폭과 수평 면적은 상대적으로 큰 값을 가질 수 있다. That is, the semiconductor package 5 shown in FIG. 11 has substantially the same operating characteristics as the semiconductor package 3 shown in FIG. 7 , but has a size in the vertical direction compared to the semiconductor package 3 shown in FIG. 7 , that is, A vertical height may have a relatively small value, but a width and a horizontal area in a horizontal direction may have a relatively large value.

도 12를 참조하면, 반도체 패키지(5a)는 제1 반도체 칩(100e) 및 제1 반도체 칩(100e) 상에 적층되는 복수의 제2 반도체 칩(200)을 포함한다. 일부 실시 예에서, 복수의 제2 반도체 칩(200) 각각은 메모리 소자를 가지고 있는 메모리 반도체 칩일 수 있고, 제1 반도체 칩(100e)은 제2 반도체 칩(200)이 가지는 메모리 소자를 제어하기 위한 회로들을 가지고 있는 로직 반도체 칩일 수 있다. Referring to FIG. 12 , the semiconductor package 5a includes a first semiconductor chip 100e and a plurality of second semiconductor chips 200 stacked on the first semiconductor chip 100e. In some embodiments, each of the plurality of second semiconductor chips 200 may be a memory semiconductor chip having a memory device, and the first semiconductor chip 100e may be configured to control a memory device included in the second semiconductor chip 200 . It may be a logic semiconductor chip having circuits.

제1 반도체 칩(100e)은 활성면에 제1 반도체 소자(112e)가 형성된 제1 반도체 기판(110e), 및 제1 반도체 기판(110e)의 활성면 상에 배치되며 복수의 도전성 배선 패턴(132e) 및 배선간 절연층(134e)을 포함하는 배선층(130e)을 포함할 수 있다. 제1 반도체 칩(100e)은 제1 반도체 칩(100e)의 상면과 하면 사이의 적어도 일부분을 관통하는 복수의 관통 전극(120e)을 더 포함할 수 있다. 제1 반도체 칩(100e)은 상면에 배치되며 도전성 배선 패턴(132e)과 연결되는 복수의 전면 패드(142e) 및 하면에 배치되며 복수의 관통 전극(120e)과 연결되는 복수의 후면 패드(144e)를 더 포함할 수 있다. The first semiconductor chip 100e includes a first semiconductor substrate 110e having a first semiconductor device 112e formed on an active surface thereof, and a plurality of conductive wiring patterns 132e disposed on the active surface of the first semiconductor substrate 110e. ) and a wiring layer 130e including an inter-wiring insulating layer 134e. The first semiconductor chip 100e may further include a plurality of through electrodes 120e penetrating at least a portion between the top and bottom surfaces of the first semiconductor chip 100e. The first semiconductor chip 100e has a plurality of front pads 142e disposed on its upper surface and connected to the conductive wiring pattern 132e, and a plurality of rear pads 144e disposed on its lower surface and connected to the plurality of through electrodes 120e. may further include.

제1 반도체 기판(110e), 제1 반도체 소자(112e), 관통 전극(120e), 배선층(130e), 전면 패드(142e), 후면 패드(144e)는 도 8에 보인 제1 반도체 기판(110c), 제1 반도체 소자(112c), 관통 전극(120c), 배선층(130c), 전면 패드(142c), 후면 패드(144c)와 대체로 유사한 바, 중복되는 설명은 생략하고 차이점을 위주로 설명하도록 한다. The first semiconductor substrate 110e, the first semiconductor device 112e, the through electrode 120e, the wiring layer 130e, the front pad 142e, and the rear pad 144e are the first semiconductor substrate 110c shown in FIG. 8 . , the first semiconductor device 112c, the through electrode 120c, the wiring layer 130c, the front pad 142c, and the rear pad 144c are substantially similar to each other, and thus the overlapping description will be omitted and the differences will be mainly described.

복수의 제2 반도체 칩(200) 중 일부개는 제1 반도체 칩(100e) 상에 순차적으로 적층되고, 복수의 제2 반도체 칩(200) 중 다른 일부개는 순차적으로 적층된 복수의 제2 반도체 칩(200) 중 일부개와 이격되도록 제1 반도체 칩(100e) 상에 순차적으로 적층될 수 있다. Some of the plurality of second semiconductor chips 200 are sequentially stacked on the first semiconductor chip 100e, and other portions of the plurality of second semiconductor chips 200 are sequentially stacked on the plurality of second semiconductors. They may be sequentially stacked on the first semiconductor chip 100e so as to be spaced apart from some of the chips 200 .

도 12에 보인 반도체 패키지(5a)와 도 8에 보인 반도체 패키지(3a)의 차이점은, 도 11에 보인 반도체 패키지(5)와 도 7에 보인 반도체 패키지(3)의 차이점과 유사한 바, 중복되는 설명은 생략하도록 한다. The difference between the semiconductor package 5a shown in FIG. 12 and the semiconductor package 3a shown in FIG. 8 is similar to the difference between the semiconductor package 5 shown in FIG. 11 and the semiconductor package 3 shown in FIG. 7 . The description will be omitted.

즉, 도 12에 보인 반도체 패키지(5a)는, 도 8에 보인 반도체 패키지(3a)와 실질적으로 동일한 동작 특성을 가지되, 도 8에 보인 반도체 패키지(3a)와 비교하여 수직 방향으로 크기, 즉 수직 높이는 상대적으로 작은 값을 가지되, 수평 방향으로 폭과 수평 면적은 상대적으로 큰 값을 가질 수 있다. That is, the semiconductor package 5a shown in FIG. 12 has substantially the same operating characteristics as the semiconductor package 3a shown in FIG. 8 , but has a size in the vertical direction compared to the semiconductor package 3a shown in FIG. 8 , that is, A vertical height may have a relatively small value, but a width and a horizontal area in a horizontal direction may have a relatively large value.

도 13을 참조하면, 반도체 패키지(6)는 제1 반도체 칩(100f) 및 제1 반도체 칩(100f) 상에 순차적으로 적층되는 복수의 제2 반도체 칩(200)을 포함한다. 일부 실시 예에서, 복수의 제2 반도체 칩(200) 각각은 메모리 소자를 가지고 있는 메모리 반도체 칩일 수 있고, 제1 반도체 칩(100f)은 제2 반도체 칩(200)이 가지는 메모리 소자를 제어하기 위한 회로들을 가지고 있는 로직 반도체 칩일 수 있다. Referring to FIG. 13 , the semiconductor package 6 includes a first semiconductor chip 100f and a plurality of second semiconductor chips 200 sequentially stacked on the first semiconductor chip 100f. In some embodiments, each of the plurality of second semiconductor chips 200 may be a memory semiconductor chip having a memory device, and the first semiconductor chip 100f may be configured to control a memory device included in the second semiconductor chip 200 . It may be a logic semiconductor chip having circuits.

제1 반도체 칩(100f)은 활성면에 제1 반도체 소자(112f)가 형성된 제1 반도체 기판(110f), 및 제1 반도체 기판(110f)의 활성면 상에 배치되며 복수의 도전성 배선 패턴(132f) 및 배선간 절연층(134f)을 포함하는 배선층(130f)을 포함할 수 있다. 제1 반도체 칩(100f)은 제1 반도체 칩(100f)의 상면과 하면 사이의 적어도 일부분을 관통하는 복수의 관통 전극(120f)을 더 포함할 수 있다. 제1 반도체 칩(100f)은 하면에 배치되며 도전성 배선 패턴(132f)과 연결되는 복수의 전면 패드(142f) 및 상면에 배치되며 복수의 관통 전극(120f)과 연결되는 복수의 후면 패드(144f)를 더 포함할 수 있다. The first semiconductor chip 100f includes a first semiconductor substrate 110f having a first semiconductor device 112f formed on an active surface thereof, and a plurality of conductive wiring patterns 132f disposed on the active surface of the first semiconductor substrate 110f. ) and a wiring layer 130f including an inter-wiring insulating layer 134f. The first semiconductor chip 100f may further include a plurality of through electrodes 120f passing through at least a portion between the top and bottom surfaces of the first semiconductor chip 100f. The first semiconductor chip 100f includes a plurality of front pads 142f disposed on the lower surface and connected to the conductive wiring pattern 132f, and a plurality of rear pads 144f disposed on the upper surface and connected to the plurality of through electrodes 120f. may further include.

제1 반도체 기판(110f), 제1 반도체 소자(112f), 관통 전극(120f), 배선층(130f), 전면 패드(142f), 후면 패드(144f)는 도 7에 보인 제1 반도체 기판(110b), 제1 반도체 소자(112b), 관통 전극(120b), 배선층(130b), 전면 패드(142b), 후면 패드(144b)와 대체로 유사한 바, 중복되는 설명은 생략하고 차이점을 위주로 설명하도록 한다. The first semiconductor substrate 110f, the first semiconductor device 112f, the through electrode 120f, the wiring layer 130f, the front pad 142f, and the rear pad 144f are the first semiconductor substrate 110b shown in FIG. 7 . , the first semiconductor device 112b, the through electrode 120b, the wiring layer 130b, the front pad 142b, and the rear pad 144b are substantially similar to each other, so the overlapping description will be omitted and the differences will be mainly described.

제1 반도체 소자(112f)는 복수의 제2 반도체 칩(200)과 전기적으로 연결되는 분기 구조를 변경해주는 회로를 포함할 수 있다. 일부 실시 예에서 제1 반도체 소자(112f)는 SerDes 회로를 포함할 수 있다. 예를 들면, 제1 반도체 소자(112f)는 제2 반도체 칩(200)의 데이터 패드들 중 4개와 연결되는 4개의 분기를 1개로 변경하여 전면 패드(142f)에 부착되는 외부 연결 단자(150)에 전달할 수 있다. 예를 들면, 반도체 패키지(6)의 데이터 버스의 폭은 16비트 내지 64비트일 수 있다. The first semiconductor device 112f may include a circuit for changing a branch structure electrically connected to the plurality of second semiconductor chips 200 . In some embodiments, the first semiconductor device 112f may include a SerDes circuit. For example, the first semiconductor device 112f changes four branches connected to four of the data pads of the second semiconductor chip 200 into one, and the external connection terminal 150 attached to the front pad 142f. can be forwarded to For example, the width of the data bus of the semiconductor package 6 may be 16 bits to 64 bits.

따라서 본 발명에 따른 반도체 패키지(6)는 복수의 제2 반도체 칩(200)의 개수와, 복수의 제2 반도체 칩(200) 각각의 데이터 버스의 폭의 곱과 제1 반도체 소자(112f)가 가지는 전기 경로의 수를 변경해주는 회로에 의하여, 반도체 패키지(6)의 데이터 버스의 폭이 결정될 수 있다. 예를 들어, 반도체 패키지(6)가 포함하는 제2 반도체 칩(200)이 4개이고, 제2 반도체 칩(200)의 데이터 버스의 폭이 32비트인 경우, 제1 반도체 소자(112f)가 4개의 경로를 1개로 변경하는 경우, 제1 반도체 패키지(6)의 데이터 버스의 폭은 4ㅧ32/4비트, 즉 32비트일 수 있다. Accordingly, in the semiconductor package 6 according to the present invention, the product of the number of the plurality of second semiconductor chips 200 and the width of each data bus of the plurality of second semiconductor chips 200 and the first semiconductor element 112f The width of the data bus of the semiconductor package 6 may be determined by a circuit that changes the number of branch electrical paths. For example, when the number of the second semiconductor chips 200 included in the semiconductor package 6 is four and the width of the data bus of the second semiconductor chip 200 is 32 bits, the number of the first semiconductor elements 112f is 4 When the number of paths is changed to one, the width of the data bus of the first semiconductor package 6 may be 4x32/4 bits, that is, 32 bits.

도 14를 참조하면, 반도체 패키지(6a)는 제1 반도체 칩(100g) 및 제1 반도체 칩(100g) 상에 순차적으로 적층되는 복수의 제2 반도체 칩(200)을 포함한다. 일부 실시 예에서, 복수의 제2 반도체 칩(200) 각각은 메모리 소자를 가지고 있는 메모리 반도체 칩일 수 있고, 제1 반도체 칩(100g)은 제2 반도체 칩(200)이 가지는 메모리 소자를 제어하기 위한 회로들을 가지고 있는 로직 반도체 칩일 수 있다. Referring to FIG. 14 , the semiconductor package 6a includes a first semiconductor chip 100g and a plurality of second semiconductor chips 200 sequentially stacked on the first semiconductor chip 100g. In some embodiments, each of the plurality of second semiconductor chips 200 may be a memory semiconductor chip having a memory device, and the first semiconductor chip 100g may be configured to control a memory device included in the second semiconductor chip 200 . It may be a logic semiconductor chip having circuits.

제1 반도체 칩(100g)은 활성면에 제1 반도체 소자(112g)가 형성된 제1 반도체 기판(110g), 및 제1 반도체 기판(110g)의 활성면 상에 배치되며 복수의 도전성 배선 패턴(132g) 및 배선간 절연층(134g)을 포함하는 배선층(130g)을 포함할 수 있다. 제1 반도체 칩(100g)은 제1 반도체 칩(100g)의 상면과 하면 사이의 적어도 일부분을 관통하는 복수의 관통 전극(120g)을 더 포함할 수 있다. 제1 반도체 칩(100g)은 상면에 배치되며 도전성 배선 패턴(132g)과 연결되는 복수의 전면 패드(142g) 및 하면에 배치되며 복수의 관통 전극(120g)과 연결되는 복수의 후면 패드(144g)를 더 포함할 수 있다. The first semiconductor chip 100g is disposed on the first semiconductor substrate 110g on which the first semiconductor element 112g is formed on the active surface, and on the active surface of the first semiconductor substrate 110g, and includes a plurality of conductive wiring patterns 132g. ) and a wiring layer 130g including an inter-wiring insulating layer 134g. The first semiconductor chip 100g may further include a plurality of through electrodes 120g penetrating at least a portion between the upper surface and the lower surface of the first semiconductor chip 100g. The first semiconductor chip 100g has a plurality of front pads 142g disposed on its upper surface and connected to the conductive wiring pattern 132g, and a plurality of rear pads 144g disposed on its lower surface and connected to the plurality of through electrodes 120g. may further include.

제1 반도체 기판(110g), 제1 반도체 소자(112g), 관통 전극(120g), 배선층(130g), 전면 패드(142g), 후면 패드(144g)는 도 8에 보인 제1 반도체 기판(110c), 제1 반도체 소자(112c), 관통 전극(120c), 배선층(130c), 전면 패드(142c), 후면 패드(144c)와 대체로 유사한 바, 중복되는 설명은 생략하고 차이점을 위주로 설명하도록 한다. The first semiconductor substrate 110g, the first semiconductor device 112g, the through electrode 120g, the wiring layer 130g, the front pad 142g, and the rear pad 144g are the first semiconductor substrate 110c shown in FIG. , the first semiconductor device 112c, the through electrode 120c, the wiring layer 130c, the front pad 142c, and the rear pad 144c are substantially similar to each other, and thus the overlapping description will be omitted and the differences will be mainly described.

도 13에 보인 제1 반도체 소자(112f)와 유사하게, 제1 반도체 소자(112g)는 복수의 제2 반도체 칩(200)과 전기적으로 연결되는 분기 구조를 변경해주는 회로를 포함할 수 있다. 일부 실시 예에서 제1 반도체 소자(112g)는 SerDes 회로를 포함할 수 있다. 예를 들면, 제1 반도체 소자(112g)는 제2 반도체 칩(200)의 데이터 패드들 중 4개와 연결되는 4개의 분기를 1개로 변경하여 전면 패드(142g)에 부착되는 외부 연결 단자(150)에 전달할 수 있다. Similar to the first semiconductor device 112f shown in FIG. 13 , the first semiconductor device 112g may include a circuit for changing a branch structure electrically connected to the plurality of second semiconductor chips 200 . In some embodiments, the first semiconductor device 112g may include a SerDes circuit. For example, the first semiconductor device 112g changes four branches connected to four of the data pads of the second semiconductor chip 200 into one, and the external connection terminal 150 attached to the front pad 142g. can be forwarded to

도 15를 참조하면, 반도체 패키지(7)는 재배선 구조물(500), 재배선 구조물(500) 상의 제1 반도체 칩(100f), 및 제1 반도체 칩(100f) 상에 순차적으로 적층되는 복수의 제2 반도체 칩(200)을 포함한다. 제1 반도체 칩(100f)은, 상면에 배치되며 복수의 관통 전극(120f)과 연결되는 복수의 제1 칩 연결 패드(140f)를 더 포함할 수 있다. 제1 칩 연결 패드(140f)는 후면 패드라 호칭할 수 있다. 15 , the semiconductor package 7 includes a redistribution structure 500 , a first semiconductor chip 100f on the redistribution structure 500 , and a plurality of sequentially stacked on the first semiconductor chip 100f . and a second semiconductor chip 200 . The first semiconductor chip 100f may further include a plurality of first chip connection pads 140f disposed on the upper surface and connected to the plurality of through electrodes 120f. The first chip connection pad 140f may be referred to as a rear pad.

복수의 제2 반도체 칩(200)의 칩 패드(220), 즉 제2 칩 연결 패드(220)에는 복수의 본딩 와이어(230)의 일단이 부착되고, 복수의 제1 칩 연결 패드(140f), 즉 후면 패드에는 복수의 본딩 와이어(230)의 타단이 부착될 수 있다. One end of the plurality of bonding wires 230 is attached to the chip pad 220 of the plurality of second semiconductor chips 200 , that is, the second chip connection pad 220 , and a plurality of first chip connection pads 140f; That is, the other end of the plurality of bonding wires 230 may be attached to the rear pad.

반도체 패키지(7)는 재배선 구조물(500) 상에서, 제1 반도체 칩(100f), 복수의 제2 반도체 칩(200) 및 복수의 본딩 와이어(230)를 감싸는 몰딩층(302)을 더 포함할 수 있다. The semiconductor package 7 may further include a molding layer 302 surrounding the first semiconductor chip 100f, the plurality of second semiconductor chips 200 and the plurality of bonding wires 230 on the redistribution structure 500 . can

도 15에 보인 반도체 패키지(7)와 도 11의 반도체 패키지(5)의 차이점은, 도 4에 보인 반도체 패키지(2)와 도 1에 보인 반도체 패키지(1)의 차이점과 유사한 바, 중복되는 설명은 생략하도록 한다. The difference between the semiconductor package 7 shown in FIG. 15 and the semiconductor package 5 shown in FIG. 11 is similar to the difference between the semiconductor package 2 shown in FIG. 4 and the semiconductor package 1 shown in FIG. 1 , and overlapping explanations should be omitted.

도 16을 참조하면, 반도체 패키지(7a)는 재배선 구조물(500), 재배선 구조물(500) 상의 제1 반도체 칩(100g), 및 제1 반도체 칩(100g) 상에 순차적으로 적층되는 복수의 제2 반도체 칩(200)을 포함한다. 제1 반도체 칩(100g)은, 상면에 배치되며 복수의 관통 전극(120g)과 연결되는 복수의 제1 칩 연결 패드(140g)를 더 포함할 수 있다. 제1 칩 연결 패드(140g)는 전면 패드라 호칭할 수 있다. Referring to FIG. 16 , the semiconductor package 7a includes a redistribution structure 500 , a first semiconductor chip 100g on the redistribution structure 500 , and a plurality of sequentially stacked on the first semiconductor chip 100g . and a second semiconductor chip 200 . The first semiconductor chip 100g may further include a plurality of first chip connection pads 140g disposed on the upper surface and connected to the plurality of through electrodes 120g. The first chip connection pad 140g may be referred to as a front pad.

복수의 제2 반도체 칩(200)의 칩 패드(220), 즉 제2 칩 연결 패드(220)에는 복수의 본딩 와이어(230)의 일단이 부착되고, 복수의 제1 칩 연결 패드(140g), 즉 전면 패드에는 복수의 본딩 와이어(230)의 타단이 부착될 수 있다. One end of the plurality of bonding wires 230 is attached to the chip pad 220 of the plurality of second semiconductor chips 200 , that is, the second chip connection pad 220 , the plurality of first chip connection pads 140g; That is, the other end of the plurality of bonding wires 230 may be attached to the front pad.

반도체 패키지(7a)는 재배선 구조물(500) 상에서, 제1 반도체 칩(100g), 복수의 제2 반도체 칩(200) 및 복수의 본딩 와이어(230)를 감싸는 몰딩층(302)을 더 포함할 수 있다. The semiconductor package 7a may further include a molding layer 302 surrounding the first semiconductor chip 100g, the plurality of second semiconductor chips 200 and the plurality of bonding wires 230 on the redistribution structure 500 . can

도 16에 보인 반도체 패키지(7a)와 도 12에 보인 반도체 패키지(5a)의 차이점은, 도 5에 보인 반도체 패키지(2a)와 도 2에 보인 반도체 패키지(1a)의 차이점과 유사한 바, 중복되는 설명은 생략하도록 한다. The difference between the semiconductor package 7a shown in FIG. 16 and the semiconductor package 5a shown in FIG. 12 is similar to the difference between the semiconductor package 2a shown in FIG. 5 and the semiconductor package 1a shown in FIG. The description will be omitted.

도 17a 및 도 17b는 본 발명의 일 실시예들에 따른 반도체 패키지가 포함하는 제1 반도체 칩의 제1 반도체 소자가 가지는 예시적인 회로를 나타내는 회로도들이다. 17A and 17B are circuit diagrams illustrating exemplary circuits of a first semiconductor device of a first semiconductor chip included in a semiconductor package according to embodiments of the present invention.

도 17a 및 도 17b를 함께 참조하면, 반도체 패키지가 포함하는 제1 반도체 칩의 제1 반도체 소자는 SerDes 회로를 포함할 수 있다. 도 17a에 보인 회로는 도 7 및 도 9에 보인 제1 반도체 소자(112b), 도 8 및 도 10에 보인 반도체 소자(112c), 도 11에 보인 반도체 소자(112d), 또는 도 12에 보인 반도체 소자(112e)가 가지는 SerDes 회로이고, 도 17b에 보인 회로는 도 13 및 도 15에 보인 반도체 소자(112f), 또는 도 14 및 도 16에 보인 반도체 소자(112g)가 가지는 SerDes 회로일 수 있다. 17A and 17B together, the first semiconductor device of the first semiconductor chip included in the semiconductor package may include a SerDes circuit. The circuit shown in FIG. 17A is the first semiconductor device 112b shown in FIGS. 7 and 9 , the semiconductor device 112c shown in FIGS. 8 and 10 , the semiconductor device 112d shown in FIG. 11 , or the semiconductor device shown in FIG. 12 . The device 112e is a SerDes circuit, and the circuit shown in FIG. 17B may be the SerDes circuit included in the semiconductor device 112f shown in FIGS. 13 and 15 or the semiconductor device 112g shown in FIGS. 14 and 16 .

도 17a를 참조하면, SerDes 회로는 2개의 다이(Die 1, Die 2), 즉 도 7 내지 도 12에 보인 복수의 제2 반도체 칩(200) 중 서로 다른 2개의 제2 반도체 칩(200) 각각의 데이터(DQ) 신호 1개(1x)를 병렬로 입력받아, 데이터 신호 2개(2x)를 직렬로 출력할 수 있다. 따라서 도 7 내지 도 12에 보인 제2 반도체 칩(200)의 데이터 패드들 중 2개와 연결되는 2개의 분기를 1개로 변경하여 외부 연결 단자(150, 550)에 전달할 수 있다. Referring to FIG. 17A , the SerDes circuit includes two dies Die 1 and Die 2 , that is, two different second semiconductor chips 200 among the plurality of second semiconductor chips 200 shown in FIGS. 7 to 12 , respectively. One data (DQ) signal of the (1x) may be input in parallel, and two data signals (2x) may be serially output. Accordingly, two branches connected to two of the data pads of the second semiconductor chip 200 shown in FIGS. 7 to 12 may be changed to one and transmitted to the external connection terminals 150 and 550 .

도 17b를 참조하면, SerDes 회로는 4개의 다이(Die 1, Die 2, Die 3, Die 4), 즉 도 13 내지 도 16에 보인 복수의 제2 반도체 칩(200) 중 서로 다른 4개의 제2 반도체 칩(200) 각각의 데이터(DQ) 신호 1개(1x)를 병렬로 입력받아, 데이터 신호 2개(4x)를 직렬로 출력할 수 있다. 따라서 도 13 내지 도 16에 보인 제2 반도체 칩(200)의 데이터 패드들 중 4개와 연결되는 4개의 분기를 1개로 변경하여 외부 연결 단자(150, 550)에 전달할 수 있다. Referring to FIG. 17B , the SerDes circuit includes four dies Die 1 , Die 2 , Die 3 , and Die 4 , that is, four different second semiconductor chips 200 among the plurality of second semiconductor chips 200 shown in FIGS. 13 to 16 . One data DQ signal 1x of each of the semiconductor chips 200 may be input in parallel, and two data signals 4x may be serially output. Accordingly, four branches connected to four of the data pads of the second semiconductor chip 200 shown in FIGS. 13 to 16 may be changed to one and transmitted to the external connection terminals 150 and 550 .

도 18 내지 도 21은 본 발명의 일 실시예들에 따른 반도체 패키지의 단면도이다. 18 to 21 are cross-sectional views of semiconductor packages according to embodiments of the present invention.

도 18을 참조하면, 반도체 패키지(8)는 재배선 구조물(500), 재배선 구조물(500) 상의 제1 반도체 칩(100h), 및 제1 반도체 칩(100h) 상에 순차적으로 적층되는 복수의 제2 반도체 칩(200a)을 포함한다. 일부 실시 예에서, 복수의 제2 반도체 칩(200a) 각각은 메모리 소자를 가지고 있는 메모리 반도체 칩일 수 있고, 제1 반도체 칩(100h)은 제2 반도체 칩(200a)이 가지는 메모리 소자를 제어하기 위한 회로들을 가지고 있는 로직 반도체 칩일 수 있다. Referring to FIG. 18 , the semiconductor package 8 includes a redistribution structure 500 , a first semiconductor chip 100h on the redistribution structure 500 , and a plurality of sequentially stacked on the first semiconductor chip 100h . and a second semiconductor chip 200a. In some embodiments, each of the plurality of second semiconductor chips 200a may be a memory semiconductor chip having a memory device, and the first semiconductor chip 100h may be configured to control a memory device included in the second semiconductor chip 200a. It may be a logic semiconductor chip having circuits.

제1 반도체 칩(100h)은 활성면에 제1 반도체 소자(112h)가 형성된 제1 반도체 기판(110h), 및 제1 반도체 기판(110h)의 활성면 상에 배치되며 복수의 도전성 배선 패턴(132h) 및 배선간 절연층(134h)을 포함하는 배선층(130h)을 포함할 수 있다. 제1 반도체 칩(100h)은 제1 반도체 칩(100h)의 상면과 하면 사이의 적어도 일부분을 관통하는 복수의 관통 전극(120h)을 더 포함할 수 있다. 제1 반도체 칩(100h)은, 상면에 배치되며 복수의 관통 전극(120h)과 연결되는 복수의 제1 칩 연결 패드(140h)를 더 포함할 수 있다. 제1 칩 연결 패드(140h)는 후면 패드라 호칭할 수 있다. The first semiconductor chip 100h is disposed on the first semiconductor substrate 110h on which the first semiconductor element 112h is formed on the active surface, and on the active surface of the first semiconductor substrate 110h, and includes a plurality of conductive wiring patterns 132h. ) and a wiring layer 130h including an inter-wiring insulating layer 134h. The first semiconductor chip 100h may further include a plurality of through electrodes 120h penetrating at least a portion between the top and bottom surfaces of the first semiconductor chip 100h. The first semiconductor chip 100h may further include a plurality of first chip connection pads 140h disposed on the upper surface and connected to the plurality of through electrodes 120h. The first chip connection pad 140h may be referred to as a rear pad.

제2 반도체 칩(200a)은 활성면에 제2 반도체 소자(212a)가 형성된 제2 반도체 기판(210a), 및 상면에 배치되는 복수의 칩 패드(220a)를 포함할 수 있다. 제2 반도체 칩(200a)의 칩 패드(220a)는 제2 칩 연결 패드라 호칭할 수 있다. The second semiconductor chip 200a may include a second semiconductor substrate 210a having a second semiconductor device 212a formed on an active surface thereof, and a plurality of chip pads 220a disposed on an upper surface of the second semiconductor chip 200a. The chip pad 220a of the second semiconductor chip 200a may be referred to as a second chip connection pad.

제1 반도체 기판(110h), 제1 반도체 소자(112h), 관통 전극(120h), 배선층(130h), 제1 칩 연결 패드(140h), 제2 반도체 기판(210a), 제2 반도체 소자(212a), 및 칩 패드(220a)는 도 4에 보인 제1 반도체 기판(110), 제1 반도체 소자(112), 관통 전극(120), 배선층(130), 제1 칩 연결 패드(140), 제2 반도체 기판(210), 제2 반도체 소자(212), 및 칩 패드(220)와 대체로 유사한 바, 중복되는 설명은 생략하고 차이점을 위주로 설명하도록 한다. The first semiconductor substrate 110h, the first semiconductor device 112h, the through electrode 120h, the wiring layer 130h, the first chip connection pad 140h, the second semiconductor substrate 210a, and the second semiconductor device 212a ), and the chip pad 220a shown in FIG. 4 include the first semiconductor substrate 110 , the first semiconductor device 112 , the through electrode 120 , the wiring layer 130 , the first chip connection pad 140 , and the second Since the second semiconductor substrate 210 , the second semiconductor device 212 , and the chip pad 220 are substantially similar to each other, the overlapping description will be omitted and the differences will be mainly described.

복수의 제2 반도체 칩(200a)의 칩 패드(220a), 즉 제2 칩 연결 패드(220a)에는 복수의 본딩 와이어(230a)의 일단이 부착되고, 복수의 제1 칩 연결 패드(140h), 즉 후면 패드에는 복수의 본딩 와이어(230a)의 타단이 부착될 수 있다. One end of the plurality of bonding wires 230a is attached to the chip pad 220a of the plurality of second semiconductor chips 200a, that is, the second chip connection pad 220a, and a plurality of first chip connection pads 140h; That is, the other end of the plurality of bonding wires 230a may be attached to the rear pad.

복수의 칩 패드(220a)는 제2 반도체 칩(210a)의 상면의 가장자리에 인접하는 부분, 즉, 제2 반도체 칩(210a)의 측면에 인접하는 상면의 부분에 배치되는 에지 패드일 수 있다. 일부 실시 예에서, 복수의 칩 패드(220a)는 제2 반도체 칩(210a)의 하나의 측면에만 인접하여 배치될 수 있다. The plurality of chip pads 220a may be edge pads disposed on a portion adjacent to an edge of the top surface of the second semiconductor chip 210a, that is, a portion of the top surface adjacent to a side surface of the second semiconductor chip 210a. In some embodiments, the plurality of chip pads 220a may be disposed adjacent to only one side surface of the second semiconductor chip 210a.

복수의 제2 반도체 칩(200a) 각각은 그 하면에 부착된 다이 접착 필름(250)을 사이에 가지며 그 하부의 구조물 상에 부착될 수 있다. 복수의 제2 반도체 칩(200a)은 제1 반도체 칩(100h)으로부터 수평 방향을 따라서 외측으로 돌출되도록, 제1 반도체 칩(100h) 상에 걸쳐서 적층될 수 있다. 복수의 제2 반도체 칩(200a)의 적어도 한 측면에 인접하는 부분은 제1 반도체 칩(100h)에 대하여 오버행(overhang)되도록 제1 반도체 칩(100h) 상에 걸쳐서 적층될 수 있다. Each of the plurality of second semiconductor chips 200a may have a die adhesive film 250 attached to a lower surface thereof therebetween, and may be attached to a structure thereunder. The plurality of second semiconductor chips 200a may be stacked over the first semiconductor chip 100h so as to protrude outward from the first semiconductor chip 100h in a horizontal direction. Portions adjacent to at least one side of the plurality of second semiconductor chips 200a may be stacked over the first semiconductor chip 100h to overhang with respect to the first semiconductor chip 100h.

일부 실시 예에서, 제2 반도체 칩(200a)의 수평 폭 및 수평 면적은 제1 반도체 칩(100h)의 수평 폭 및 수평 면적보다 큰 값을 가질 수 있다. 예를 들면, 복수의 제2 반도체 칩(200a)은 수직 방향으로 제1 반도체 칩(100h) 내에 일부분만 중첩되고, 나머지 부분은 외측으로 돌출되어 제1 반도체 칩(100h)과 중첩되지 않을 수 있다. In some embodiments, the horizontal width and horizontal area of the second semiconductor chip 200a may be greater than the horizontal width and horizontal area of the first semiconductor chip 100h. For example, the plurality of second semiconductor chips 200a may partially overlap within the first semiconductor chip 100h in a vertical direction, and the remaining portions may protrude outward so as not to overlap the first semiconductor chip 100h. .

수직 방향으로 제1 반도체 칩(100h)과 중첩되지 않는 복수의 제2 반도체 칩(200a)의 부분과 재배선 구조물(500) 사이에는 서포터(190)가 개재될 수 있다. 서포터(190)는 재배선 구조물(500) 상에서 제1 반도체 칩(100h)과 서로 이격될 수 있다. 서포터(190)는 예를 들면, 실리콘과 같은 반도체 기판, 또는 세라믹 기판으로 이루어질 수 있다. The supporter 190 may be interposed between portions of the plurality of second semiconductor chips 200a that do not overlap the first semiconductor chip 100h in the vertical direction and the redistribution structure 500 . The supporter 190 may be spaced apart from the first semiconductor chip 100h on the redistribution structure 500 . The supporter 190 may be formed of, for example, a semiconductor substrate such as silicon or a ceramic substrate.

서포터(190)의 상면과 제1 반도체 기판(110h)의 상면은 동일 수직 레벨에 위치할 수 있다. 복수의 제2 반도체 칩(200a)은 제1 반도체 칩(100h) 및 서포터(190) 상에 걸쳐서 순차적으로 적층될 수 있다. The upper surface of the supporter 190 and the upper surface of the first semiconductor substrate 110h may be positioned at the same vertical level. The plurality of second semiconductor chips 200a may be sequentially stacked over the first semiconductor chip 100h and the supporter 190 .

서포터(190)의 수평 폭 및 수평 면적은 제2 반도체 칩(200a)의 수평 폭 및 수평 면적보다 작은 값을 가질 수 있다. 예를 들면, 서포터(190)의 모든 부분은 수직 방향으로 복수의 제2 반도체 칩(200a) 내에 중첩될 수 있다. The horizontal width and horizontal area of the supporter 190 may be smaller than the horizontal width and horizontal area of the second semiconductor chip 200a. For example, all portions of the supporter 190 may be overlapped in the plurality of second semiconductor chips 200a in a vertical direction.

복수의 반도체 칩(210a)의 적어도 하나의 측면과 서포터(190)의 적어도 하나의 측면은 수직 방향으로 정렬될 수 있다. 일부 실시 예에서, 복수의 칩 패드(220a)가 인접하여 배치되는 제2 반도체 칩(210a)의 일 측면에 반대되는 타 측면과 서포터(190)의 측면은 수직 방향으로 정렬될 수 있다. At least one side surface of the plurality of semiconductor chips 210a and at least one side surface of the supporter 190 may be vertically aligned. In some embodiments, the other side opposite to one side of the second semiconductor chip 210a on which the plurality of chip pads 220a are adjacently disposed and the side surface of the supporter 190 may be vertically aligned.

반도체 패키지(8)는 재배선 구조물(500) 상에서, 서포터(190), 제1 반도체 칩(100h), 복수의 제2 반도체 칩(200a) 및 복수의 본딩 와이어(230a)를 감싸는 몰딩층(304)을 더 포함할 수 있다. The semiconductor package 8 includes a molding layer 304 surrounding the supporter 190 , the first semiconductor chip 100h , the plurality of second semiconductor chips 200a , and the plurality of bonding wires 230a on the redistribution structure 500 . ) may be further included.

도 19를 참조하면, 반도체 패키지(8a)는 재배선 구조물(500), 재배선 구조물(500) 상의 제1 반도체 칩(100i), 및 제1 반도체 칩(100i) 상에 순차적으로 적층되는 복수의 제2 반도체 칩(200i)을 포함한다. Referring to FIG. 19 , the semiconductor package 8a includes a redistribution structure 500 , a first semiconductor chip 100i on the redistribution structure 500 , and a plurality of sequentially stacked on the first semiconductor chip 100i . and a second semiconductor chip 200i.

제1 반도체 칩(100i)은 활성면에 제1 반도체 소자(112i)가 형성된 제1 반도체 기판(110i), 및 제1 반도체 기판(110i)의 활성면 상에 배치되며 복수의 도전성 배선 패턴(132i) 및 배선간 절연층(134i)을 포함하는 배선층(130i)을 포함할 수 있다. 제1 반도체 칩(100i)은 제1 반도체 칩(100i)의 상면과 하면 사이의 적어도 일부분을 관통하는 복수의 관통 전극(120i)을 더 포함할 수 있다. 제1 반도체 칩(100i)은, 상면에 배치되며 복수의 관통 전극(120i)과 연결되는 복수의 제1 칩 연결 패드(140i)를 더 포함할 수 있다. 제1 칩 연결 패드(140i)는 전면 패드라 호칭할 수 있다. The first semiconductor chip 100i includes a first semiconductor substrate 110i having a first semiconductor device 112i formed on an active surface thereof, and a plurality of conductive wiring patterns 132i disposed on the active surface of the first semiconductor substrate 110i. ) and a wiring layer 130i including an inter-wiring insulating layer 134i. The first semiconductor chip 100i may further include a plurality of through electrodes 120i penetrating at least a portion between the upper surface and the lower surface of the first semiconductor chip 100i. The first semiconductor chip 100i may further include a plurality of first chip connection pads 140i disposed on the upper surface and connected to the plurality of through electrodes 120i. The first chip connection pad 140i may be referred to as a front pad.

제1 반도체 기판(110i), 제1 반도체 소자(112i), 관통 전극(120i), 배선층(130i), 및 제1 칩 연결 패드(140i)는 도 5에 보인 제1 반도체 기판(110a), 제1 반도체 소자(112a), 관통 전극(120a), 배선층(130a), 및 제1 칩 연결 패드(140a)와 대체로 유사한 바, 중복되는 설명은 생략하고 차이점을 위주로 설명하도록 한다. The first semiconductor substrate 110i, the first semiconductor element 112i, the through electrode 120i, the wiring layer 130i, and the first chip connection pad 140i include the first semiconductor substrate 110a and the second semiconductor substrate 110a shown in FIG. Since the first semiconductor element 112a, the through electrode 120a, the wiring layer 130a, and the first chip connection pad 140a are substantially similar to each other, the overlapping description will be omitted and the differences will be mainly described.

복수의 제2 반도체 칩(200a)의 칩 패드(220a), 즉 제2 칩 연결 패드(220a)에는 복수의 본딩 와이어(230a)의 일단이 부착되고, 복수의 제1 칩 연결 패드(140i), 즉 전면 패드에는 복수의 본딩 와이어(230a)의 타단이 부착될 수 있다. One end of the plurality of bonding wires 230a is attached to the chip pad 220a of the plurality of second semiconductor chips 200a, that is, the second chip connection pad 220a, and the plurality of first chip connection pads 140i; That is, the other end of the plurality of bonding wires 230a may be attached to the front pad.

복수의 제2 반도체 칩(200a)은 제1 반도체 칩(100i)으로부터 외측으로 돌출되도록, 제1 반도체 칩(100i) 상에 걸쳐서 적층될 수 있다. 복수의 제2 반도체 칩(200a)의 적어도 한 측면에 인접하는 부분은 제1 반도체 칩(100i)에 대하여 오버행되도록 제1 반도체 칩(100i) 상에 걸쳐서 적층될 수 있다. The plurality of second semiconductor chips 200a may be stacked over the first semiconductor chip 100i to protrude outward from the first semiconductor chip 100i. Portions adjacent to at least one side of the plurality of second semiconductor chips 200a may be stacked over and over the first semiconductor chip 100i to overhang the first semiconductor chip 100i.

일부 실시 예에서, 제2 반도체 칩(200a)의 수평 폭 및 수평 면적은 제1 반도체 칩(100i)의 수평 폭 및 수평 면적보다 큰 값을 가질 수 있다. 예를 들면, 복수의 제2 반도체 칩(200a)은 수직 방향으로 제1 반도체 칩(100i) 내에 일부분만 중첩되고, 나머지 부분은 외측으로 돌출되어 제1 반도체 칩(100i)과 중첩되지 않을 수 있다. In some embodiments, a horizontal width and a horizontal area of the second semiconductor chip 200a may be greater than a horizontal width and a horizontal area of the first semiconductor chip 100i. For example, the plurality of second semiconductor chips 200a may partially overlap within the first semiconductor chip 100i in a vertical direction, and the remaining portions may protrude outward so as not to overlap the first semiconductor chip 100i. .

수직 방향으로 제1 반도체 칩(100i)과 중첩되지 않는 복수의 제2 반도체 칩(200a)의 부분과 재배선 구조물(500) 사이에는 서포터(190)가 개재될 수 있다. 서포터(190)는 재배선 구조물(500) 상에서 제1 반도체 칩(100)과 서로 이격될 수 있다. 서포터(190)의 상면과 제1 반도체 기판(110i)의 상면은 동일 수직 레벨에 위치할 수 있다. 복수의 제2 반도체 칩(200a)은 제1 반도체 칩(100i) 및 서포터(190) 상에 걸쳐서 순차적으로 적층될 수 있다. The supporter 190 may be interposed between portions of the plurality of second semiconductor chips 200a that do not overlap the first semiconductor chip 100i in the vertical direction and the redistribution structure 500 . The supporter 190 may be spaced apart from the first semiconductor chip 100 on the redistribution structure 500 . The upper surface of the supporter 190 and the upper surface of the first semiconductor substrate 110i may be positioned at the same vertical level. The plurality of second semiconductor chips 200a may be sequentially stacked over the first semiconductor chip 100i and the supporter 190 .

반도체 패키지(8a)는 재배선 구조물(500) 상에서, 서포터(190), 제1 반도체 칩(100i), 복수의 제2 반도체 칩(200a) 및 복수의 본딩 와이어(230a)를 감싸는 몰딩층(304)을 더 포함할 수 있다. The semiconductor package 8a has a molding layer 304 surrounding the supporter 190 , the first semiconductor chip 100i , the plurality of second semiconductor chips 200a , and the plurality of bonding wires 230a on the redistribution structure 500 . ) may be further included.

도 20을 참조하면, 반도체 패키지(8b)는 재배선 구조물(500), 재배선 구조물(500) 상의 제1 반도체 칩(100j), 및 제1 반도체 칩(100j) 상에 순차적으로 적층되는 복수의 제2 반도체 칩(200a)을 포함한다. 제1 반도체 칩(100j)은 활성면에 제1 반도체 소자(112j)가 형성된 제1 반도체 기판(110j), 및 제1 반도체 기판(110j)의 활성면 상에 배치되며 복수의 도전성 배선 패턴(132j) 및 배선간 절연층(134j)을 포함하는 배선층(130j)을 포함할 수 있다. 제1 반도체 칩(100j)은 제1 반도체 칩(100j)의 상면과 하면 사이의 적어도 일부분을 관통하는 복수의 관통 전극(120j)을 더 포함할 수 있다. 제1 반도체 칩(100j)은, 상면에 배치되며 복수의 관통 전극(120j)과 연결되는 복수의 제1 칩 연결 패드(140j)를 더 포함할 수 있다. 제1 칩 연결 패드(140j)는 후면 패드라 호칭할 수 있다. Referring to FIG. 20 , the semiconductor package 8b includes a redistribution structure 500 , a first semiconductor chip 100j on the redistribution structure 500 , and a plurality of sequentially stacked on the first semiconductor chip 100j . and a second semiconductor chip 200a. The first semiconductor chip 100j is a first semiconductor substrate 110j having a first semiconductor device 112j formed on an active surface thereof, and is disposed on the active surface of the first semiconductor substrate 110j and includes a plurality of conductive wiring patterns 132j ) and a wiring layer 130j including an inter-wiring insulating layer 134j. The first semiconductor chip 100j may further include a plurality of through electrodes 120j penetrating at least a portion between the top and bottom surfaces of the first semiconductor chip 100j. The first semiconductor chip 100j may further include a plurality of first chip connection pads 140j disposed on the upper surface and connected to the plurality of through electrodes 120j. The first chip connection pad 140j may be referred to as a rear pad.

제1 반도체 기판(110j), 제1 반도체 소자(112j), 관통 전극(120j), 배선층(130j), 및 제1 칩 연결 패드(140j)는 도 4에 보인 제1 반도체 기판(110), 제1 반도체 소자(112), 관통 전극(120), 배선층(130), 및 제1 칩 연결 패드(140), 와 대체로 유사한 바, 중복되는 설명은 생략하고 차이점을 위주로 설명하도록 한다. The first semiconductor substrate 110j, the first semiconductor element 112j, the through electrode 120j, the wiring layer 130j, and the first chip connection pad 140j are the first semiconductor substrate 110 and the first chip connection pad 140j shown in FIG. 1 The semiconductor element 112 , the through electrode 120 , the wiring layer 130 , and the first chip connection pad 140 , and are substantially similar to each other, and overlapping descriptions will be omitted and the differences will be mainly described.

제1 반도체 소자(112j)는 복수의 제2 반도체 칩(200a)과 전기적으로 연결되는 분기 구조를 변경해주는 회로를 포함할 수 있다. 일부 실시 예에서 제1 반도체 소자(112j)는 SerDes 회로를 포함할 수 있다. 예를 들면, 제1 반도체 소자(112j)는 제2 반도체 칩(200a)의 데이터 패드들 중 2개와 연결되는 2개의 분기를 1개로 감소되도록 변경하여 배선층(130)에 전달할 수 있다.The first semiconductor device 112j may include a circuit for changing a branch structure electrically connected to the plurality of second semiconductor chips 200a. In some embodiments, the first semiconductor device 112j may include a SerDes circuit. For example, the first semiconductor device 112j may change two branches connected to two of the data pads of the second semiconductor chip 200a to be reduced to one so as to be transferred to the wiring layer 130 .

복수의 제2 반도체 칩(200a)은 제1 반도체 칩(100j)으로부터 외측으로 돌출되도록, 제1 반도체 칩(100j) 상에 걸쳐서 적층될 수 있다. 복수의 제2 반도체 칩(200a)의 적어도 한 측면에 인접하는 부분은 제1 반도체 칩(100j)에 대하여 오버행되도록 제1 반도체 칩(100j) 상에 걸쳐서 적층될 수 있다. The plurality of second semiconductor chips 200a may be stacked over the first semiconductor chip 100j to protrude outward from the first semiconductor chip 100j. Portions adjacent to at least one side surface of the plurality of second semiconductor chips 200a may be stacked over and over the first semiconductor chip 100j to overhang with respect to the first semiconductor chip 100j.

일부 실시 예에서, 제2 반도체 칩(200a)의 수평 폭 및 수평 면적은 제1 반도체 칩(100j)의 수평 폭 및 수평 면적보다 큰 값을 가질 수 있다. 예를 들면, 복수의 제2 반도체 칩(200a)은 수직 방향으로 제1 반도체 칩(100j) 내에 일부분만 중첩되고, 나머지 부분은 외측으로 돌출되어 제1 반도체 칩(100j)과 중첩되지 않을 수 있다. In some embodiments, the horizontal width and horizontal area of the second semiconductor chip 200a may be greater than the horizontal width and horizontal area of the first semiconductor chip 100j. For example, the plurality of second semiconductor chips 200a may only partially overlap within the first semiconductor chip 100j in the vertical direction, and the remaining portions may protrude outward so as not to overlap the first semiconductor chip 100j. .

수직 방향으로 제1 반도체 칩(100j)과 중첩되지 않는 복수의 제2 반도체 칩(200a)의 부분과 재배선 구조물(500) 사이에는 서포터(190)가 개재될 수 있다. 서포터(190)는 재배선 구조물(500) 상에서 제1 반도체 칩(100j)과 서로 이격될 수 있다. 서포터(190)의 상면과 제1 반도체 기판(110j)의 상면은 동일 수직 레벨에 위치할 수 있다. 복수의 제2 반도체 칩(200a)은 제1 반도체 칩(100j) 및 서포터(190) 상에 걸쳐서 순차적으로 적층될 수 있다. A supporter 190 may be interposed between portions of the plurality of second semiconductor chips 200a that do not overlap the first semiconductor chip 100j in the vertical direction and the redistribution structure 500 . The supporter 190 may be spaced apart from the first semiconductor chip 100j on the redistribution structure 500 . The upper surface of the supporter 190 and the upper surface of the first semiconductor substrate 110j may be positioned at the same vertical level. The plurality of second semiconductor chips 200a may be sequentially stacked over the first semiconductor chip 100j and the supporter 190 .

반도체 패키지(8b)는 재배선 구조물(500) 상에서, 서포터(190), 제1 반도체 칩(100j), 복수의 제2 반도체 칩(200a) 및 복수의 본딩 와이어(230a)를 감싸는 몰딩층(304)을 더 포함할 수 있다. The semiconductor package 8b has a molding layer 304 surrounding the supporter 190 , the first semiconductor chip 100j , the plurality of second semiconductor chips 200a , and the plurality of bonding wires 230a on the redistribution structure 500 . ) may be further included.

도 21을 참조하면, 반도체 패키지(8c)는 재배선 구조물(500), 재배선 구조물(500) 상의 제1 반도체 칩(100k), 및 제1 반도체 칩(100k) 상에 순차적으로 적층되는 복수의 제2 반도체 칩(200a)을 포함한다. 제1 반도체 칩(100k)은 활성면에 제1 반도체 소자(112k)가 형성된 제1 반도체 기판(110k), 및 제1 반도체 기판(110k)의 활성면 상에 배치되며 복수의 도전성 배선 패턴(132k) 및 배선간 절연층(134k)을 포함하는 배선층(130k)을 포함할 수 있다. 제1 반도체 칩(100k)은 제1 반도체 칩(100k)의 상면과 하면 사이의 적어도 일부분을 관통하는 복수의 관통 전극(120k)을 더 포함할 수 있다. 제1 반도체 칩(100k)은, 상면에 배치되며 복수의 관통 전극(120k)과 연결되는 복수의 제1 칩 연결 패드(140k)를 더 포함할 수 있다. 제1 칩 연결 패드(140k)는 후면 패드라 호칭할 수 있다. Referring to FIG. 21 , the semiconductor package 8c includes a redistribution structure 500 , a first semiconductor chip 100k on the redistribution structure 500 , and a plurality of sequentially stacked on the first semiconductor chip 100k . and a second semiconductor chip 200a. The first semiconductor chip 100k includes a first semiconductor substrate 110k having a first semiconductor device 112k formed on an active surface thereof, and a plurality of conductive wiring patterns 132k disposed on the active surface of the first semiconductor substrate 110k. ) and a wiring layer 130k including an inter-wiring insulating layer 134k. The first semiconductor chip 100k may further include a plurality of through electrodes 120k penetrating at least a portion between the upper and lower surfaces of the first semiconductor chip 100k. The first semiconductor chip 100k may further include a plurality of first chip connection pads 140k disposed on the upper surface and connected to the plurality of through electrodes 120k. The first chip connection pad 140k may be referred to as a rear pad.

제1 반도체 기판(110k), 제1 반도체 소자(112k), 관통 전극(120k), 배선층(130k), 및 제1 칩 연결 패드(140k)는 도 5에 보인 제1 반도체 기판(110a), 제1 반도체 소자(112a), 관통 전극(120a), 배선층(130a), 및 제1 칩 연결 패드(140a)와 대체로 유사한 바, 중복되는 설명은 생략하고 차이점을 위주로 설명하도록 한다. The first semiconductor substrate 110k, the first semiconductor device 112k, the through electrode 120k, the wiring layer 130k, and the first chip connection pad 140k include the first semiconductor substrate 110a and the second semiconductor substrate 110a shown in FIG. 5 . Since the first semiconductor element 112a, the through electrode 120a, the wiring layer 130a, and the first chip connection pad 140a are substantially similar to each other, the overlapping description will be omitted and the differences will be mainly described.

제1 반도체 소자(112k)는 복수의 제2 반도체 칩(200a)과 전기적으로 연결되는 분기 구조를 변경해주는 회로를 포함할 수 있다. 일부 실시 예에서 제1 반도체 소자(112k)는 SerDes 회로를 포함할 수 있다. 예를 들면, 제1 반도체 소자(112k)는 제2 반도체 칩(200a)의 데이터 패드들 중 2개와 연결되는 2개의 분기를 1개로 감소되도록 변경하여 관통 전극(120k)에 전달할 수 있다.The first semiconductor device 112k may include a circuit for changing a branch structure electrically connected to the plurality of second semiconductor chips 200a. In some embodiments, the first semiconductor device 112k may include a SerDes circuit. For example, the first semiconductor device 112k may change two branches connected to two of the data pads of the second semiconductor chip 200a to be reduced to one, and may be transmitted to the through electrode 120k.

복수의 제2 반도체 칩(200a)은 제1 반도체 칩(100k)으로부터 외측으로 돌출되도록, 제1 반도체 칩(100k) 상에 걸쳐서 적층될 수 있다. 복수의 제2 반도체 칩(200a)의 적어도 한 측면에 인접하는 부분은 제1 반도체 칩(100k)에 대하여 오버행되도록 제1 반도체 칩(100k) 상에 걸쳐서 적층될 수 있다. The plurality of second semiconductor chips 200a may be stacked over the first semiconductor chip 100k to protrude outward from the first semiconductor chip 100k. Portions adjacent to at least one side surface of the plurality of second semiconductor chips 200a may be stacked over and over the first semiconductor chip 100k to overhang with respect to the first semiconductor chip 100k.

일부 실시 예에서, 제2 반도체 칩(200a)의 수평 폭 및 수평 면적은 제1 반도체 칩(100k)의 수평 폭 및 수평 면적보다 큰 값을 가질 수 있다. 예를 들면, 복수의 제2 반도체 칩(200a)은 수직 방향으로 제1 반도체 칩(100k) 내에 일부분만 중첩되고, 나머지 부분은 외측으로 돌출되어 제1 반도체 칩(100k)과 중첩되지 않을 수 있다. In some embodiments, a horizontal width and a horizontal area of the second semiconductor chip 200a may be greater than a horizontal width and a horizontal area of the first semiconductor chip 100k. For example, the plurality of second semiconductor chips 200a may only partially overlap in the first semiconductor chip 100k in the vertical direction, and the remaining portions may protrude outward so as not to overlap the first semiconductor chip 100k. .

수직 방향으로 제1 반도체 칩(100k)과 중첩되지 않는 복수의 제2 반도체 칩(200a)의 부분과 재배선 구조물(500) 사이에는 서포터(190)가 개재될 수 있다. 서포터(190)는 재배선 구조물(500) 상에서 제1 반도체 칩(100k)과 서로 이격될 수 있다. 서포터(190)의 상면과 제1 반도체 기판(110k)의 상면은 동일 수직 레벨에 위치할 수 있다. 복수의 제2 반도체 칩(200a)은 제1 반도체 칩(100k) 및 서포터(190) 상에 걸쳐서 순차적으로 적층될 수 있다. The supporter 190 may be interposed between portions of the plurality of second semiconductor chips 200a that do not overlap the first semiconductor chip 100k in the vertical direction and the redistribution structure 500 . The supporter 190 may be spaced apart from the first semiconductor chip 100k on the redistribution structure 500 . The upper surface of the supporter 190 and the upper surface of the first semiconductor substrate 110k may be positioned at the same vertical level. The plurality of second semiconductor chips 200a may be sequentially stacked over the first semiconductor chip 100k and the supporter 190 .

반도체 패키지(8c)는 재배선 구조물(500) 상에서, 서포터(190), 제1 반도체 칩(100k), 복수의 제2 반도체 칩(200a) 및 복수의 본딩 와이어(230a)를 감싸는 몰딩층(304)을 더 포함할 수 있다. The semiconductor package 8c has a molding layer 304 surrounding the supporter 190 , the first semiconductor chip 100k , the plurality of second semiconductor chips 200a , and the plurality of bonding wires 230a on the redistribution structure 500 . ) may be further included.

도 22 및 도 23은 본 발명의 일 실시 예들에 따른 반도체 패키지를 포함하는 시스템을 나타내는 단면도들이다. 22 and 23 are cross-sectional views illustrating a system including a semiconductor package according to an exemplary embodiment.

도 22를 참조하면, 시스템(1000)은 제1 반도체 칩(100) 및 복수의 제2 반도체 칩(200)을 포함하는 반도체 패키지(1)와 제3 반도체 칩(400)이 부착되는 인터포저(600), 및 인터포저(600)가 실장되는 메인 보드(700)를 포함할 수 있다. Referring to FIG. 22 , the system 1000 includes a semiconductor package 1 including a first semiconductor chip 100 and a plurality of second semiconductor chips 200 and an interposer to which the third semiconductor chip 400 is attached. 600 , and a main board 700 on which the interposer 600 is mounted.

제3 반도체 칩(400)은, 제3 반도체 기판(410), 제3 반도체 소자(412), 복수의 제3 칩 연결 패드(430), 및 복수의 칩 연결 단자(450)를 포함할 수 있다. 제3 반도체 기판(410), 제3 반도체 소자(412), 제3 칩 연결 패드(430), 및 칩 연결 단자(450)는 도 1에 보인 제1 반도체 기판(110), 제1 반도체 소자(112), 전면 패드(142), 및 외부 연결 단자(150)와 대체로 유사한 바, 중복되는 설명은 생략하도록 한다. The third semiconductor chip 400 may include a third semiconductor substrate 410 , a third semiconductor device 412 , a plurality of third chip connection pads 430 , and a plurality of chip connection terminals 450 . . The third semiconductor substrate 410 , the third semiconductor element 412 , the third chip connection pad 430 , and the chip connection terminal 450 include the first semiconductor substrate 110 and the first semiconductor element ( 112 ), the front pad 142 , and the external connection terminal 150 are substantially similar to each other, and overlapping descriptions will be omitted.

제3 반도체 칩(400)은 예를 들면, 중앙 처리 장치(CPU) 칩, 그래픽 처리 장치(GPU) 칩, 또는 어플리케이션 프로세서(AP) 칩일 수 있다. The third semiconductor chip 400 may be, for example, a central processing unit (CPU) chip, a graphics processing unit (GPU) chip, or an application processor (AP) chip.

인터포저(600)는, 인터포저 절연층(610) 및 인터포저 도전 구조물(620)을 포함할 수 있다. 인터포저(600)의 상면과 하면에는 인터포저 도전 구조물(620)을 통하여 서로 전기적으로 연결되는 복수의 인터포저 상면 패드(632)와 복수의 인터포저 하면 패드(644)가 배치될 수 있다. 일부 실시 예에서, 인터포저 도전 구조물(620)은 반도체 패키지(1)와 제3 반도체 칩(400) 사이를 전기적으로 연결할 수 있다. The interposer 600 may include an interposer insulating layer 610 and an interposer conductive structure 620 . A plurality of interposer upper surface pads 632 and a plurality of interposer lower surface pads 644 electrically connected to each other through the interposer conductive structure 620 may be disposed on the upper and lower surfaces of the interposer 600 . In some embodiments, the interposer conductive structure 620 may electrically connect the semiconductor package 1 and the third semiconductor chip 400 .

일부 실시 예에서, 인터포저(600)는 도 4에 보인 재배선 구조물(500)과 유사한 방법으로 형성된 재배선 인터포저일 수 있다. 인터포저 절연층(610) 및 인터포저 도전 구조물(620)은 도 4에 보인 재배선 절연층(510) 및 재배선 도전 구조물(510)과 대체로 유사한 바, 중복되는 설명은 생략하도록 한다. In some embodiments, the interposer 600 may be a redistribution interposer formed in a manner similar to that of the redistribution structure 500 shown in FIG. 4 . Since the interposer insulating layer 610 and the interposer conductive structure 620 are substantially similar to the redistribution insulating layer 510 and the redistribution conductive structure 510 shown in FIG. 4 , overlapping descriptions will be omitted.

복수의 인터포저 상면 패드(632)에는 반도체 패키지(1)의 복수의 외부 연결 단자(150) 및 제3 반도체 칩(400)의 복수의 칩 연결 단자(450)가 부착될 수 있다. 반도체 패키지(1)와 인터포저(600) 사이, 및 제3 반도체 칩(400)과 인터포저(600) 사이에는 언더필층(480)이 개재될 수 있다. 언더필층(480)은 복수의 외부 연결 단자(150) 및 제3 연결 범프(460)를 감쌀 수 있다. A plurality of external connection terminals 150 of the semiconductor package 1 and a plurality of chip connection terminals 450 of the third semiconductor chip 400 may be attached to the plurality of interposer top pads 632 . An underfill layer 480 may be interposed between the semiconductor package 1 and the interposer 600 and between the third semiconductor chip 400 and the interposer 600 . The underfill layer 480 may surround the plurality of external connection terminals 150 and the third connection bumps 460 .

복수의 인터포저 하면 패드(644)에는 복수의 인터포저 연결 단자(650)가 부착될 수 있다. 일부 실시 예에서, 인터포저 연결 단자(650)는 도전성 범프, 또는 솔더 볼일 수 있다. 인터포저 연결 단자(650)는 인터포저(600)와 메인 보드(700)를 전기적으로 연결할 수 있다. A plurality of interposer connection terminals 650 may be attached to the plurality of interposer lower surface pads 644 . In some embodiments, the interposer connection terminal 650 may be a conductive bump or a solder ball. The interposer connection terminal 650 may electrically connect the interposer 600 and the main board 700 .

일부 실시 예에서, 인터포저(600) 상에 반도체 패키지(1)와 제3 반도체 칩(400)을 감싸는 밀봉재(encapsulant, 900)가 형성될 수 있다. 밀봉재(900)는 예를 들면, 열경화성 수지로 이루어질 수 있다. In some embodiments, an encapsulant 900 surrounding the semiconductor package 1 and the third semiconductor chip 400 may be formed on the interposer 600 . The sealing material 900 may be made of, for example, a thermosetting resin.

메인 보드(700)는 베이스 보드층(710), 베이스 보드층(710)의 상면 및 하면에 각각 배치되는 복수의 보드 상면 패드(732) 및 복수의 보드 하면 패드(734), 및 복수의 보드 상면 패드(732)와 복수의 보드 하면 패드(734) 사이를 전기적으로 연결하는 보드 도전 구조물(720)을 포함할 수 있다. 일부 실시 예에서, 보드 도전 구조물(720)은 반도체 패키지(2)와 제3 반도체 칩(400) 사이를 전기적으로 연결할 수 있다. The main board 700 includes a base board layer 710 , a plurality of board upper surface pads 732 and a plurality of board lower surface pads 734 respectively disposed on upper and lower surfaces of the base board layer 710 , and a plurality of upper surfaces of the boards. A board conductive structure 720 electrically connecting the pad 732 and the plurality of board lower surface pads 734 to each other may be included. In some embodiments, the board conductive structure 720 may electrically connect the semiconductor package 2 and the third semiconductor chip 400 .

일부 실시 예에서, 메인 보드(700)는 인쇄회로기판(Printed Circuit Board)일 수 있다. 예를 들면, 메인 보드(700)는 멀티 레이어 인쇄 회로 기판(multi-layer Printed Circuit Board)일 수 있다. 베이스 보드층(710)은 페놀 수지, 에폭시 수지, 폴리이미드 중에서 선택되는 적어도 하나의 물질로 이루어질 수 있다. 베이스 보드층(710)은 예를 들면, FR4(Frame Retardant 4), 사관능성 에폭시(Tetrafunctional epoxy), 폴레페닐렌 에테르(Polyphenylene ether), 에폭시/폴리페닐렌 옥사이드(Epoxy/polyphenylene oxide), BT(Bismaleimide triazine), 써마운트(Thermount), 시아네이트 에스터(Cyanate ester), 폴리이미드(Polyimide) 및 액정 고분자(Liquid crystal polymer) 중에서 선택되는 적어도 하나의 물질을 포함할 수 있다. In some embodiments, the main board 700 may be a printed circuit board. For example, the main board 700 may be a multi-layer printed circuit board. The base board layer 710 may be made of at least one material selected from phenol resin, epoxy resin, and polyimide. Base board layer 710 is, for example, FR4 (Frame Retardant 4), tetrafunctional epoxy (Tetrafunctional epoxy), polyphenylene ether (Polyphenylene ether), epoxy / polyphenylene oxide (Epoxy / polyphenylene oxide), BT ( Bismaleimide triazine), Thermount, cyanate ester, polyimide, and may include at least one material selected from a liquid crystal polymer (Liquid crystal polymer).

베이스 보드층(710)의 상면과 하면 각각에는, 보드 상면 패드(732) 및 보드 하면 패드(734)를 노출시키는 솔더 레지스트층(미도시)이 형성될 수 있다. 복수의 보드 상면 패드(732)에는 복수의 인터포저 연결 단자(650)가 부착되고, 복수의 보드 하면 패드(734)에는 복수의 시스템 연결 단자(750)가 부착될 수 있다. 시스템 연결 단자(750)는 예를 들면, 솔더 볼일 수 있다. A solder resist layer (not shown) exposing the board upper surface pad 732 and the board lower surface pad 734 may be formed on each of the upper and lower surfaces of the base board layer 710 . A plurality of interposer connection terminals 650 may be attached to the plurality of board upper surface pads 732 , and a plurality of system connection terminals 750 may be attached to the plurality of board lower surface pads 734 . The system connection terminal 750 may be, for example, a solder ball.

일부 실시 예에서, 시스템(1000)은 메인 보드(700)를 포함하지 않고, 인터포저 연결 단자(650)가 시스템 연결 단자의 기능을 수행할 수 있다. In some embodiments, the system 1000 does not include the main board 700 , and the interposer connection terminal 650 may perform a function of the system connection terminal.

도 23을 참조하면, 시스템(2000)은 재배선 구조물(500), 제1 반도체 칩(100) 및 복수의 제2 반도체 칩(200)을 포함하는 반도체 패키지(2)와 제3 반도체 칩(400)이 실장되는 메인 보드(800)를 포함할 수 있다. Referring to FIG. 23 , a system 2000 includes a semiconductor package 2 including a redistribution structure 500 , a first semiconductor chip 100 , and a plurality of second semiconductor chips 200 , and a third semiconductor chip 400 . ) may include a main board 800 on which it is mounted.

메인 보드(800)는 베이스 보드층(810), 베이스 보드층(810)의 상면 및 하면에 각각 배치되는 복수의 보드 상면 패드(832) 및 복수의 보드 하면 패드(834), 및 복수의 보드 상면 패드(832)와 복수의 보드 하면 패드(834) 사이를 전기적으로 연결하는 보드 도전 구조물(720)을 포함할 수 있다. 메인 보드(800)는 도 19에 보인 메인 보드(700)와 대체로 유사한 바, 중복되는 설명은 생략하도록 한다. The main board 800 includes a base board layer 810 , a plurality of board upper surface pads 832 and a plurality of board lower surface pads 834 respectively disposed on upper and lower surfaces of the base board layer 810 , and a plurality of upper surfaces of the boards. A board conductive structure 720 electrically connecting the pad 832 and the plurality of board lower surface pads 834 to each other may be included. The main board 800 is substantially similar to the main board 700 shown in FIG. 19 , and overlapping descriptions will be omitted.

복수의 보드 상면 패드(832)에는 반도체 패키지(2)의 복수의 외부 연결 단자(450) 및 제3 반도체 칩(400)의 복수의 칩 연결 단자(450)가 부착될 수 있다. 복수의 보드 하면 패드(834)에는 복수의 시스템 연결 단자(850)가 부착될 수 있다. A plurality of external connection terminals 450 of the semiconductor package 2 and a plurality of chip connection terminals 450 of the third semiconductor chip 400 may be attached to the plurality of top surface pads 832 . A plurality of system connection terminals 850 may be attached to the plurality of board lower surface pads 834 .

이상, 본 발명을 바람직한 실시예를 들어 상세하게 설명하였으나, 본 발명은 상기 실시예에 한정되지 않고, 본 발명의 기술적 사상 및 범위 내에서 당 분야에서 통상의 지식을 가진 자에 의하여 여러가지 변형 및 변경이 가능하다. In the above, the present invention has been described in detail with reference to preferred embodiments, but the present invention is not limited to the above embodiments, and various modifications and changes are made by those skilled in the art within the technical spirit and scope of the present invention. This is possible.

1, 1a, 2, 2a, 3, 3a, 4, 4a, 5, 5a, 6, 6a, 7, 7a, 8 : 반도체 패키지, 100, 100a, 100b, 100c, 100d, 100e, 100f, 100g, 100h : 제1 반도체 칩, 120 : 관통 전극, 200, 200a : 제2 반도체 칩, 230 : 본딩 와이어, 300 : 몰딩층, 400 : 제3 반도체 칩, 500 : 재배선 구조물, 600 : 인터포저, 700, 800 : 메인 보드1, 1a, 2, 2a, 3, 3a, 4, 4a, 5, 5a, 6, 6a, 7, 7a, 8: semiconductor package, 100, 100a, 100b, 100c, 100d, 100e, 100f, 100g, 100h : first semiconductor chip, 120: through electrode, 200, 200a: second semiconductor chip, 230: bonding wire, 300: molding layer, 400: third semiconductor chip, 500: redistribution structure, 600: interposer, 700, 800 : main board

Claims (20)

제1 반도체 소자, 제1 반도체 기판, 상기 제1 반도체 기판을 관통하는 복수의 관통 전극, 및 상면에 배치되는 복수의 제1 칩 연결 패드를 포함하는 제1 반도체 칩;
상기 제1 반도체 칩의 상면 상에 순차적으로 적층되며 제2 반도체 기판, 상기 제1 반도체 칩에 의하여 제어되는 제2 반도체 소자, 및 상면에 배치되는 복수의 제2 칩 연결 패드를 각각 포함하는 복수의 제2 반도체 칩;
상기 복수의 제1 칩 연결 패드 및 상기 복수의 제2 칩 연결 패드 사이를 연결하는 복수의 본딩 와이어;
상기 복수의 제2 반도체 칩 및 상기 복수의 본딩 와이어를 감싸는 몰딩층; 및
상기 제1 반도체 칩의 하면 상에 배치되는 복수의 외부 연결 단자;를 포함하는 반도체 패키지.
a first semiconductor chip including a first semiconductor device, a first semiconductor substrate, a plurality of through electrodes passing through the first semiconductor substrate, and a plurality of first chip connection pads disposed on an upper surface of the first semiconductor chip;
A plurality of devices sequentially stacked on the top surface of the first semiconductor chip and each including a second semiconductor substrate, a second semiconductor device controlled by the first semiconductor chip, and a plurality of second chip connection pads disposed on the top surface a second semiconductor chip;
a plurality of bonding wires connecting the plurality of first chip connection pads and the plurality of second chip connection pads;
a molding layer surrounding the plurality of second semiconductor chips and the plurality of bonding wires; and
and a plurality of external connection terminals disposed on a lower surface of the first semiconductor chip.
제1 항에 있어서,
상기 복수의 제2 반도체 칩은, 상기 제1 반도체 기판의 비활성면 상에 적층되며,
상기 복수의 제1 칩 연결 패드와 상기 제1 반도체 소자는 상기 복수의 관통 전극을 통하여 전기적으로 연결되는 것을 특징으로 하는 반도체 패키지.
According to claim 1,
The plurality of second semiconductor chips are stacked on the non-active surface of the first semiconductor substrate,
The plurality of first chip connection pads and the first semiconductor device are electrically connected to each other through the plurality of through electrodes.
제1 항에 있어서,
상기 복수의 외부 연결 단자는, 상기 제1 반도체 기판의 비활성면 상에 적층되며,
상기 복수의 외부 연결 단자와 상기 제1 반도체 소자는 상기 복수의 관통 전극을 통하여 전기적으로 연결되는 것을 특징으로 하는 반도체 패키지.
According to claim 1,
The plurality of external connection terminals are stacked on the non-active surface of the first semiconductor substrate,
The plurality of external connection terminals and the first semiconductor device are electrically connected to each other through the plurality of through electrodes.
제1 항에 있어서,
상기 복수의 외부 연결 단자는 상기 제1 반도체 칩의 하면에 배치되는 복수의 외부 연결 단자에 부착되고,
상기 몰딩층은, 상기 제1 반도체 칩 상에서 상기 제1 반도체 칩의 상면을 덮으며 상기 복수의 제2 반도체 칩 및 상기 복수의 본딩 와이어를 감싸고, 상기 몰딩층의 수평 폭은 상기 제1 반도체 칩의 수평 폭과 동일한 값을 가지는 것을 특징으로 하는 반도체 패키지.
According to claim 1,
The plurality of external connection terminals are attached to a plurality of external connection terminals disposed on a lower surface of the first semiconductor chip,
The molding layer covers an upper surface of the first semiconductor chip on the first semiconductor chip and surrounds the plurality of second semiconductor chips and the plurality of bonding wires, and the horizontal width of the molding layer is the width of the first semiconductor chip. A semiconductor package, characterized in that it has the same value as the horizontal width.
제1 항에 있어서,
상기 복수의 제2 반도체 칩은, 적어도 일부분이 상기 제1 반도체 칩의 외측으로 돌출하여 오버행(overhang)되는 것을 특징으로 하는 반도체 패키지.
According to claim 1,
The plurality of second semiconductor chips, at least a portion of the semiconductor package, characterized in that overhang (overhang) by protruding to the outside of the first semiconductor chip.
제1 항에 있어서,
상기 제1 반도체 칩과 상기 복수의 외부 연결 단자 사이에 배치되며 재배선 절연층 및 재배선 도전 구조물로 이루어지는 재배선 구조물을 더 포함하며,
상기 복수의 외부 연결 단자는 상기 재배선 구조물의 하면에 부착되고,
상기 몰딩층은, 상기 재배선 구조물 상에서 상기 재배선 구조물의 상면을 덮으며 상기 제1 반도체 칩, 상기 복수의 제2 반도체 칩 및 상기 복수의 본딩 와이어를 감싸고, 상기 몰딩층의 수평 폭은 상기 재배선 구조물의 수평 폭과 동일한 값을 가지는 것을 특징으로 하는 반도체 패키지.
According to claim 1,
and a redistribution structure disposed between the first semiconductor chip and the plurality of external connection terminals and comprising a redistribution insulating layer and a redistribution conductive structure;
The plurality of external connection terminals are attached to a lower surface of the redistribution structure,
The molding layer covers an upper surface of the redistribution structure on the redistribution structure and surrounds the first semiconductor chip, the plurality of second semiconductor chips, and the plurality of bonding wires, and a horizontal width of the molding layer is determined by the cultivation A semiconductor package, characterized in that it has the same value as the horizontal width of the line structure.
제6 항에 있어서,
상기 재배선 구조물 상에 상기 제1 반도체 칩과 이격되며 배치되고, 상기 제1 반도체 기판의 상면과 동일한 수직 레벨에 위치하는 상면을 가지는 서포터를 더 포함하며,
상기 복수의 제2 반도체 칩은 상기 제1 반도체 칩 및 상기 서포터 상에 걸쳐서 적층되는 것을 특징으로 하는 반도체 패키지.
7. The method of claim 6,
and a supporter disposed on the redistribution structure to be spaced apart from the first semiconductor chip and having an upper surface positioned at the same vertical level as the upper surface of the first semiconductor substrate;
The plurality of second semiconductor chips are stacked over the first semiconductor chip and the supporter.
제1 항에 있어서,
상기 복수의 제2 반도체 칩은 DRAM 소자를 가지는 DRAM 칩이고, 상기 제1 반도체 칩은 상기 복수의 제2 반도체 칩이 가지는 DRAM 소자를 제어하기 위한 회로들을 가지는 로직 반도체 칩인 것을 특징으로 하는 반도체 패키지.
According to claim 1,
The plurality of second semiconductor chips is a DRAM chip having a DRAM device, and the first semiconductor chip is a logic semiconductor chip having circuits for controlling the DRAM device included in the plurality of second semiconductor chips.
제8 항에 있어서,
복수의 제2 반도체 칩 각각의 데이터 버스의 폭은 16비트 내지 64비트이고,
상기 반도체 패키지의 데이터 버스의 폭은 16비트 내지 256비트인 것을 특징으로 하는 반도체 패키지.
인 것을 특징으로 하는 반도체 패키지.
9. The method of claim 8,
The width of the data bus of each of the plurality of second semiconductor chips is 16 bits to 64 bits,
The semiconductor package, characterized in that the width of the data bus of the semiconductor package is 16 bits to 256 bits.
A semiconductor package, characterized in that
제9 항에 있어서,
상기 제1 반도체 소자는 상기 복수의 제2 칩 연결 패드 중 데이터 패드들과 연결되는 분기를 감소시키는 SerDes (Serializer/Deserialize) 회로를 포함하는 것을 특징으로 하는 반도체 패키지.
10. The method of claim 9,
and the first semiconductor device includes a Serializer/Deserialize (SerDes) circuit that reduces branching connected to data pads among the plurality of second chip connection pads.
제1 항에 있어서,
상기 복수의 제2 반도체 칩 각각과 상기 제1 반도체 칩은, 상기 복수의 본딩 와이어 중 서로 다른 본딩 와이어들을 통하여 전기적으로 연결되며,
상기 복수의 본딩 와이어는 서로 대응되는 상기 복수의 제2 반도체 칩 각각의 복수의 칩 패드와 상기 제1 반도체 칩의 상기 복수의 후면 패드를 직접 연결하는 것을 특징으로 하는 반도체 패키지.
According to claim 1,
Each of the plurality of second semiconductor chips and the first semiconductor chip are electrically connected to each other through different bonding wires among the plurality of bonding wires,
The plurality of bonding wires directly connect the plurality of chip pads of each of the plurality of second semiconductor chips corresponding to each other and the plurality of rear pads of the first semiconductor chip.
제1 항에 있어서,
상기 복수의 제2 반도체 칩 각각은, 하면에 부착된 다이 접착 필름을 가지며 수직 방향을 따라서 서로 중첩되도록 상기 제1 반도체 칩 상에 순차적으로 적층되며,
상기 복수의 제2 반도체 칩 중 최상단의 제2 반도체 이외의 제2 반도체 칩의 상기 복수의 제2 칩 연결 패드와 연결되는 상기 복수의 본딩 와이어의 일단의 부분은 상기 다이 접착 필름 내에 매립되는 것을 특징으로 하는 반도체 패키지.
According to claim 1,
Each of the plurality of second semiconductor chips has a die adhesive film attached to its lower surface and is sequentially stacked on the first semiconductor chip so as to overlap each other in a vertical direction,
A portion of one end of the plurality of bonding wires connected to the plurality of second chip connection pads of a second semiconductor chip other than an uppermost second semiconductor among the plurality of second semiconductor chips is embedded in the die adhesive film semiconductor package with
제1 반도체 소자를 가지는 제1 반도체 기판, 상기 제1 반도체 기판의 활성면 상에 배치되고 복수의 도전성 배선 패턴 및 상기 복수의 도전성 배선 패턴을 감싸는 배선간 절연층을 가지는 배선층, 상기 제1 반도체 기판을 관통하며 상기 제1 반도체 소자와 전기적으로 연결되는 복수의 관통 전극, 상면에 배치되는 복수의 제1 칩 연결 패드, 및 하면에 배치되는 복수의 외부 연결 패드;를 포함하는 제1 반도체 칩;
하면에 다이 접착 필름이 부착되어 상기 제1 반도체 칩의 상면 상에 적층되고, 제2 반도체 기판, 상기 제1 반도체 칩에 의하여 제어되는 제2 반도체 소자, 및 상면에 배치되는 복수의 제2 칩 연결 패드를 각각 포함하는 복수의 제2 반도체 칩;
상기 복수의 제1 칩 연결 패드와 상기 복수의 제2 칩 연결 패드 사이를 연결하도록 연장되는 복수의 본딩 와이어;
상기 제1 반도체 칩의 상면을 덮으며 상기 복수의 제2 반도체 칩 및 상기 복수의 본딩 와이어를 감싸는 몰딩층; 및
상기 복수의 외부 연결 패드에 부착되는 복수의 외부 연결 단자;를 포함하는 반도체 패키지.
A first semiconductor substrate having a first semiconductor element, a wiring layer disposed on an active surface of the first semiconductor substrate and having a plurality of conductive wiring patterns and an inter-wiring insulating layer surrounding the plurality of conductive wiring patterns, the first semiconductor substrate a first semiconductor chip including; a plurality of through electrodes passing through and electrically connected to the first semiconductor device, a plurality of first chip connection pads disposed on an upper surface, and a plurality of external connection pads disposed on a lower surface thereof;
A die adhesive film is attached to a lower surface and stacked on the upper surface of the first semiconductor chip, a second semiconductor substrate, a second semiconductor device controlled by the first semiconductor chip, and a plurality of second chips disposed on the upper surface are connected a plurality of second semiconductor chips each including a pad;
a plurality of bonding wires extending to connect between the plurality of first chip connection pads and the plurality of second chip connection pads;
a molding layer covering an upper surface of the first semiconductor chip and surrounding the plurality of second semiconductor chips and the plurality of bonding wires; and
and a plurality of external connection terminals attached to the plurality of external connection pads.
제13 항에 있어서,
상기 제1 반도체 기판의 비활성면의 상기 복수의 제2 반도체 칩을 향하며,
상기 복수의 관통 전극의 일단은 상기 복수의 제1 칩 연결 패드와 연결되는 것을 특징으로 하는 반도체 패키지.
14. The method of claim 13,
toward the plurality of second semiconductor chips of the inactive surface of the first semiconductor substrate;
One end of the plurality of through electrodes is connected to the plurality of first chip connection pads.
제13 항에 있어서,
상기 제1 반도체 기판의 활성면의 상기 복수의 제2 반도체 칩을 향하며,
상기 복수의 관통 전극의 일단은 상기 복수의 외부 연결 패드와 연결되는 것을 특징으로 하는 반도체 패키지.
14. The method of claim 13,
toward the plurality of second semiconductor chips of the active surface of the first semiconductor substrate,
One end of the plurality of through electrodes is connected to the plurality of external connection pads.
제13 항에 있어서,
상기 제1 반도체 칩의 측벽과 상기 몰딩층의 측벽은 수직 방향으로 정렬되어, 동일 평면을 이루는 것을 특징으로 하는 반도체 패키지.
14. The method of claim 13,
The sidewall of the first semiconductor chip and the sidewall of the molding layer are aligned in a vertical direction to form the same plane.
제13 항에 있어서,
상기 복수의 제2 반도체 칩 각각은 DRAM 소자를 포함하며 16비트 내지 64비트의 데이터 버스의 폭을 가지는 DRAM 칩이고,
상기 제1 반도체 칩은 상기 복수의 제2 반도체 칩이 가지는 DRAM 소자를 제어하기 위한 회로들을 가지는 로직 반도체 칩이며,
상기 반도체 패키지의 데이터 버스의 폭은 64비트 내지 256비트인 인 것을 특징으로 하는 반도체 패키지.
14. The method of claim 13,
Each of the plurality of second semiconductor chips is a DRAM chip including a DRAM device and having a data bus width of 16 bits to 64 bits;
The first semiconductor chip is a logic semiconductor chip having circuits for controlling a DRAM device of the plurality of second semiconductor chips,
The semiconductor package, characterized in that the width of the data bus of the semiconductor package is 64 bits to 256 bits.
제13 항에 있어서,
상기 복수의 제2 반도체 칩 중 일부개는 상기 제1 반도체 칩의 상면 상에 가장자리가 수직 방향을 따라서 서로 정렬되도록 순차적으로 적층되고,
상기 복수의 제2 반도체 칩 중 다른 일부개는 상기 복수의 제2 반도체 칩 중 일부개와 이격되며, 상기 제1 반도체 칩의 상면 상에 가장자리가 수직 방향을 따라서 서로 정렬되도록 순차적으로 적층되는 것을 특징으로 하는 반도체 패키지.
14. The method of claim 13,
Some of the plurality of second semiconductor chips are sequentially stacked on an upper surface of the first semiconductor chip so that edges are aligned with each other in a vertical direction,
Another part of the plurality of second semiconductor chips is spaced apart from some of the plurality of second semiconductor chips, and is sequentially stacked on the top surface of the first semiconductor chip so that edges are aligned with each other in a vertical direction. semiconductor package.
재배선 절연층, 상기 재배선 절연층의 상면 및 하면 중 적어도 일면에 배치되는 복수의 재배선 라인 패턴, 및 상기 재배선 절연층을 관통하여 복수의 재배선 라인 패턴 중 일부와 각각 접하여 연결되고 하측으로부터 상측으로 수평 폭이 넓어지며 연장되는 복수의 재배선 비아를 포함하는 재배선 구조물;
상기 재배선 구조물 상에 배치되며, 제1 반도체 기판, 상기 제1 반도체 기판의 활성면 상에 배치되고 복수의 도전성 배선 패턴 및 상기 복수의 도전성 배선 패턴을 감싸는 배선간 절연층을 가지는 배선층, 상면에 배치되는 복수의 제1 칩 연결 패드, 상기 복수의 제1 칩 연결 패드와 연결되며 상기 제1 반도체 기판을 관통하는 복수의 관통 전극;을 포함하는 로직 반도체 칩;
상기 재배선 구조물 상에 상기 로직 반도체 칩과 이격되며 배치되고, 상기 제1 반도체 기판의 상면과 동일한 수직 레벨에 위치하는 상면을 가지는 서포터;
가장자리가 수직 방향을 따라서 서로 정렬되도록 하면에 다이 접착 필름이 부착되어 상기 제1 반도체 기판의 비활성면 상 및 상기 서포터 상에 걸쳐서 순차적으로 적층되고, 제2 반도체 기판, 상기 로직 반도체 칩에 의하여 제어되는 DRAM 소자, 및 상면에 배치되는 복수의 제2 칩 연결 패드를 각각 포함하고 16비트 내지 64비트의 데이터 버스의 폭을 가지는 복수의 DRAM 칩;
상기 복수의 제1 칩 연결 패드에 부착되는 일단과 상기 복수의 제2 칩 연결 패드에 부착되는 타단을 가지며, 상기 복수의 제2 칩 연결 패드로부터 상기 복수의 제1 칩 연결 패드까지 연장되는 복수의 본딩 와이어;
상기 재배선 구조물의 상면을 덮으며, 상기 복수의 DRAM 칩 및 상기 복수의 본딩 와이어를 감싸는 몰딩층; 및
상기 재배선 구조물의 하면에 부착되는 복수의 외부 연결 단자;를 포함하는 반도체 패키지.
A redistribution insulating layer, a plurality of redistribution line patterns disposed on at least one surface of an upper surface and a lower surface of the redistribution insulating layer, and a portion of the plurality of redistribution line patterns passing through the redistribution insulating layer are respectively connected to and connected to a lower side a redistribution structure including a plurality of redistribution vias extending from the horizontal to the upper side;
a wiring layer disposed on the redistribution structure, a first semiconductor substrate, a wiring layer disposed on an active surface of the first semiconductor substrate and having a plurality of conductive wiring patterns and an inter-wiring insulating layer surrounding the plurality of conductive wiring patterns; a logic semiconductor chip comprising: a plurality of first chip connection pads disposed; a plurality of through electrodes connected to the plurality of first chip connection pads and penetrating the first semiconductor substrate;
a supporter disposed on the redistribution structure and spaced apart from the logic semiconductor chip, the supporter having an upper surface positioned at the same vertical level as the upper surface of the first semiconductor substrate;
A die adhesive film is attached to the lower surface so that the edges are aligned with each other in the vertical direction and sequentially stacked on the inactive surface of the first semiconductor substrate and on the supporter, controlled by the second semiconductor substrate and the logic semiconductor chip a plurality of DRAM chips each including a DRAM device and a plurality of second chip connection pads disposed on an upper surface thereof and each having a data bus width of 16 bits to 64 bits;
a plurality of plurality of chip connection pads having one end attached to the plurality of first chip connection pads and the other end attached to the plurality of second chip connection pads, and extending from the plurality of second chip connection pads to the plurality of first chip connection pads bonding wire;
a molding layer covering an upper surface of the redistribution structure and surrounding the plurality of DRAM chips and the plurality of bonding wires; and
and a plurality of external connection terminals attached to a lower surface of the redistribution structure.
제19 항에 있어서,
상기 재배선 구조물의 측벽과 상기 몰딩층의 측벽은 수직 방향으로 정렬되는 것을 특징으로 하는 반도체 패키지.
20. The method of claim 19,
The sidewall of the redistribution structure and the sidewall of the molding layer are vertically aligned.
KR1020200137085A 2020-08-24 2020-10-21 Semiconductor package KR20220025629A (en)

Priority Applications (3)

Application Number Priority Date Filing Date Title
US17/243,127 US11631660B2 (en) 2020-08-24 2021-04-28 Semiconductor package
CN202110899111.0A CN114093854A (en) 2020-08-24 2021-08-05 Semiconductor package
US18/121,664 US20230223390A1 (en) 2020-08-24 2023-03-15 Semiconductor package

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
KR20200106429 2020-08-24
KR1020200106429 2020-08-24

Publications (1)

Publication Number Publication Date
KR20220025629A true KR20220025629A (en) 2022-03-03

Family

ID=80819079

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020200137085A KR20220025629A (en) 2020-08-24 2020-10-21 Semiconductor package

Country Status (1)

Country Link
KR (1) KR20220025629A (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN114975418A (en) * 2022-04-29 2022-08-30 盛合晶微半导体(江阴)有限公司 POP (package on package) structure of three-dimensional fan-out type memory and packaging method thereof

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN114975418A (en) * 2022-04-29 2022-08-30 盛合晶微半导体(江阴)有限公司 POP (package on package) structure of three-dimensional fan-out type memory and packaging method thereof
CN114975418B (en) * 2022-04-29 2024-02-27 盛合晶微半导体(江阴)有限公司 POP (POP package) structure of three-dimensional fan-out type memory and packaging method thereof

Similar Documents

Publication Publication Date Title
KR102593085B1 (en) Semiconductor device, semiconductor package and method of manufacturing the same
US8604594B2 (en) Structures for preventing cross-talk between through-silicon vias and integrated circuits
US8004079B2 (en) Chip package structure and manufacturing method thereof
US20070111386A1 (en) Process of vertically stacking multiple wafers supporting different active integrated circuit (IC) devices
US20230223390A1 (en) Semiconductor package
TW202002188A (en) Three dimensional integrated circuit (3DIC) structure
US20230082884A1 (en) Semiconductor package
US20230133322A1 (en) Semiconductor package and method of manufacturing the same
US20220208649A1 (en) Semiconductor package and method of manufacturing the same
KR20220025629A (en) Semiconductor package
US20240170458A1 (en) Semiconductor package and method of fabricating the same
US20240145444A1 (en) Semiconductor package and method of manufacturing the same
US20230069511A1 (en) Semiconductor package
US20240096851A1 (en) Semiconductor package and method of manufacturing the same
US20240079393A1 (en) Semiconductor package
US20230061418A1 (en) Semiconductor package and method of manufacturing same
US20220406688A1 (en) Integrated circuit device including a through-via structure
US20230163089A1 (en) Semiconductor packages
US20230074933A1 (en) Semiconductor package
TWI710090B (en) Semiconductor package structure and manufacturing method thereof
US20230133977A1 (en) Semiconductor device and method of fabricating the same
US20240047395A1 (en) Semiconductor structure
US20240170455A1 (en) Semiconductor package
KR20220151485A (en) Semiconductor package, and package on package type semiconductor package having the same
KR20240036361A (en) Semiconductor package

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal