KR20210148857A - Back-end-of-line selector for memory device - Google Patents

Back-end-of-line selector for memory device Download PDF

Info

Publication number
KR20210148857A
KR20210148857A KR1020210013587A KR20210013587A KR20210148857A KR 20210148857 A KR20210148857 A KR 20210148857A KR 1020210013587 A KR1020210013587 A KR 1020210013587A KR 20210013587 A KR20210013587 A KR 20210013587A KR 20210148857 A KR20210148857 A KR 20210148857A
Authority
KR
South Korea
Prior art keywords
selector
memory
memory device
selector channel
channel
Prior art date
Application number
KR1020210013587A
Other languages
Korean (ko)
Inventor
보-펭 영
솅-첸 왕
사이-후이 영
유-밍 린
마우리치오 만프리니
한-종 치아
Original Assignee
타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 filed Critical 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Publication of KR20210148857A publication Critical patent/KR20210148857A/en
Priority to KR1020230132388A priority Critical patent/KR20230144511A/en

Links

Images

Classifications

    • H01L27/2436
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B61/00Magnetic memory devices, e.g. magnetoresistive RAM [MRAM] devices
    • H10B61/20Magnetic memory devices, e.g. magnetoresistive RAM [MRAM] devices comprising components having three or more electrodes, e.g. transistors
    • H10B61/22Magnetic memory devices, e.g. magnetoresistive RAM [MRAM] devices comprising components having three or more electrodes, e.g. transistors of the field-effect transistor [FET] type
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B63/00Resistance change memory devices, e.g. resistive RAM [ReRAM] devices
    • H10B63/30Resistance change memory devices, e.g. resistive RAM [ReRAM] devices comprising selection components having three or more electrodes, e.g. transistors
    • H01L27/2481
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/24Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only semiconductor materials not provided for in groups H01L29/16, H01L29/18, H01L29/20, H01L29/22
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66969Multistep manufacturing processes of devices having semiconductor bodies not comprising group 14 or group 13/15 materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78642Vertical transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/7869Thin film transistors, i.e. transistors with a channel being at least partly a thin film having a semiconductor body comprising an oxide semiconductor material, e.g. zinc oxide, copper aluminium oxide, cadmium stannate
    • H01L45/1206
    • H01L45/1233
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B63/00Resistance change memory devices, e.g. resistive RAM [ReRAM] devices
    • H10B63/30Resistance change memory devices, e.g. resistive RAM [ReRAM] devices comprising selection components having three or more electrodes, e.g. transistors
    • H10B63/34Resistance change memory devices, e.g. resistive RAM [ReRAM] devices comprising selection components having three or more electrodes, e.g. transistors of the vertical channel field-effect transistor type
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B63/00Resistance change memory devices, e.g. resistive RAM [ReRAM] devices
    • H10B63/80Arrangements comprising multiple bistable or multi-stable switching components of the same type on a plane parallel to the substrate, e.g. cross-point arrays
    • H10B63/84Arrangements comprising multiple bistable or multi-stable switching components of the same type on a plane parallel to the substrate, e.g. cross-point arrays arranged in a direction perpendicular to the substrate, e.g. 3D cell arrays
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices without a potential-jump barrier or surface barrier, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/20Multistable switching devices, e.g. memristors
    • H10N70/253Multistable switching devices, e.g. memristors having three or more terminals, e.g. transistor-like devices
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices without a potential-jump barrier or surface barrier, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/801Constructional details of multistable switching devices
    • H10N70/821Device geometry
    • H10N70/826Device geometry adapted for essentially vertical current flow, e.g. sandwich or pillar type devices

Abstract

In some embodiments, the present disclosure relates to a memory device. In some embodiments, a memory device has a substrate and a lower interconnecting metal line disposed on the substrate. The memory device also has a selector channel disposed on the lower interconnect metal line, and a selector gate electrode that wraps around the sidewall of the selector channel and is separated from the selector channel by a selector gate dielectric. The memory device also has a memory cell disposed on the selector channel and electrically coupled to the selector channel, and an upper interconnecting metal line disposed on the memory cell. A front end space is secured and more integration flexibility is provided by placing a selector within a back end interconnect structure.

Description

메모리 디바이스용 백 엔드 오브 라인 선택기{BACK-END-OF-LINE SELECTOR FOR MEMORY DEVICE}BACK-END-OF-LINE SELECTOR FOR MEMORY DEVICE

이 출원은 2020년 5월 28일자로 출원된 미국 가출원 제63/031,046호의 우선권을 청구하며, 이 가출원의 내용은 전체가 참조로서 본 명세서에 통합된다.This application claims priority to U.S. Provisional Application No. 63/031,046, filed on May 28, 2020, the contents of which are incorporated herein by reference in their entirety.

많은 현대의 전자 디바이스들은 데이터를 저장하도록 구성된 전자 메모리를 포함한다. 전자 메모리는 휘발성 메모리 또는 비 휘발성 메모리일 수 있다. 휘발성 메모리는 전원이 공급될 때 데이터를 저장하는 반면, 비 휘발성 메모리는 전원이 제거될 때 데이터를 저장할 수 있다. 저항성 랜덤 액세스 메모리는 차세대 비 휘발성 메모리 기술의 유망한 후보이다. 이는 저항성 랜덤 액세스 메모리 디바이스들이 빠른 기록 시간, 높은 내구성, 낮은 전력 소비, 및 방사선 손상에 대한 낮은 취약성을 포함한 많은 이점들을 제공하기 때문이다.Many modern electronic devices include an electronic memory configured to store data. Electronic memory may be volatile memory or non-volatile memory. Volatile memory stores data when power is applied, whereas non-volatile memory can store data when power is removed. Resistive random access memory is a promising candidate for next-generation non-volatile memory technology. This is because resistive random access memory devices provide many advantages including fast write time, high durability, low power consumption, and low vulnerability to radiation damage.

본 개시물의 양상들은 첨부 도면들과 함께 읽을 때 아래의 상세한 설명으로부터 가장 잘 이해된다. 업계의 표준 관행에 따라, 다양한 피처들은 실척도로 작도되지 않았다는 것을 알아야 한다. 실제로, 다양한 피처들의 치수들은 논의의 명료성을 위해 임의적으로 증가되거나 또는 감소될 수 있다.
도 1은 백 엔드 오브 라인(BEOL, back-end-of-line) 선택기를 포함하는 메모리 디바이스의 몇몇 실시예들의 단면도를 예시한다.
도 2는 BEOL 선택기를 포함하는 메모리 디바이스의 몇몇 추가적인 실시예들의 단면도를 예시한다.
도 3은 복수의 메모리 유닛들을 갖는 메모리 어레이의 일부의 몇몇 실시예들의 블록도를 예시한다.
도 4a는 적층된 메모리 어레이들을 포함하는 메모리 디바이스의 몇몇 실시예들의 투시도를 예시한다.
도 4b는 행 방향을 따른 도 4a의 메모리 디바이스의 몇몇 실시예들의 단면도를 예시한다.
도 4c는 열 방향을 따른 도 4a의 메모리 디바이스의 몇몇 실시예들의 단면도를 예시한다.
도 5a는 적층된 메모리 어레이들을 포함하는 메모리 디바이스의 몇몇 추가적인 실시예들의 투시도를 예시한다.
도 5b는 행 방향을 따른 도 5a의 메모리 디바이스의 몇몇 실시예들의 단면도를 예시한다.
도 5c는 열 방향을 따른 도 5a의 메모리 디바이스의 몇몇 실시예들의 단면도를 예시한다.
도 6 내지 도 7은 대응 선택기들을 보여주는 메모리 어레이의 몇몇 실시예들의 평면도들을 예시한다.
도 8a 내지 도 23c는 BEOL 선택기를 포함하는 메모리 디바이스를 형성하는 방법의 몇몇 실시예들의 다양한 도면들을 예시한다.
도 24는 BEOL 선택기를 포함하는 메모리 디바이스를 형성하는 방법의 몇몇 실시예들의 흐름도를 예시한다.
Aspects of the present disclosure are best understood from the detailed description below when read in conjunction with the accompanying drawings. It should be noted that, in accordance with standard industry practice, various features have not been drawn to scale. Indeed, the dimensions of the various features may be arbitrarily increased or decreased for clarity of discussion.
1 illustrates a cross-sectional view of some embodiments of a memory device that includes a back-end-of-line (BEOL) selector.
2 illustrates a cross-sectional view of some additional embodiments of a memory device that includes a BEOL selector.
3 illustrates a block diagram of some embodiments of a portion of a memory array having a plurality of memory units.
4A illustrates a perspective view of some embodiments of a memory device that includes stacked memory arrays.
4B illustrates a cross-sectional view of some embodiments of the memory device of FIG. 4A taken along a row direction.
4C illustrates a cross-sectional view of some embodiments of the memory device of FIG. 4A taken along a column direction.
5A illustrates a perspective view of some additional embodiments of a memory device that includes stacked memory arrays.
5B illustrates a cross-sectional view of some embodiments of the memory device of FIG. 5A taken along a row direction.
5C illustrates a cross-sectional view of some embodiments of the memory device of FIG. 5A taken along a column direction.
6-7 illustrate top views of some embodiments of a memory array showing corresponding selectors.
8A-23C illustrate various views of some embodiments of a method of forming a memory device including a BEOL selector.
24 illustrates a flow diagram of some embodiments of a method of forming a memory device including a BEOL selector.

아래의 개시내용은 제공된 주제의 상이한 피처들을 구현하기 위한 많은 상이한 실시예들 또는 예시들을 제공한다. 본 개시내용을 간략히 하기 위해 컴포넌트들 및 배열(arrangement)들의 특정 예시들이 아래에 설명된다. 물론, 이것들은 단지 예시들에 불과하며, 한정하는 것으로 의도된 것은 아니다. 예를 들어, 이후의 상세설명에서 제2 피처 상의 또는 제2 피처 위의 제1 피처의 형성은 제1 피처 및 제2 피처가 직접적으로 접촉하여 형성되는 실시예를 포함할 수 있으며, 또한 제1 피처 및 제2 피처가 직접적으로 접촉하지 않을 수 있도록 추가적인 피처들이 제1 피처와 제2 피처 사이에서 형성될 수 있는 실시예를 포함할 수 있다. 또한, 본 개시물은 상이한 예들에서 도면 번호들 및/또는 문자들을 반복할 수 있다. 이러한 반복은명료화를 위한 것이지, 그러한 반복 그 자체가 개시된 다양한 실시예들 및/또는 구성 사이의 관계를 설명하는 것은 아니다. 그러나, 하나의 도면에 설명된 피처들은 적용가능한 경우 추가적인 실시예들로서 다른 도면과 연관되어 설명된 실시예들에 통합될 수 있고, 단순화를 이유로 반복되지 않을 수 있다.The disclosure below provides many different embodiments or examples for implementing different features of the presented subject matter. Specific examples of components and arrangements are described below to simplify the present disclosure. Of course, these are merely examples and are not intended to be limiting. For example, in the following details the formation of a first feature on or over a second feature may include embodiments in which the first feature and the second feature are formed in direct contact, and also It may include embodiments in which additional features may be formed between the first and second features such that the features and second features may not be in direct contact. Also, this disclosure may repeat reference numbers and/or letters in different examples. These repetitions are for the purpose of clarity, and such repetitions themselves do not delineate the relationship between the various embodiments and/or configurations disclosed. However, features described in one figure may be incorporated in embodiments described in connection with another figure as additional embodiments, where applicable, and may not be repeated for reasons of simplification.

또한, "밑에", "아래에", "하부에", "위에", "상부에" 등과 같은 공간적으로 상대적인 용어들은 도면들에 예시되는 다른 엘리먼트(들) 또는 피처(들)에 대한 하나의 엘리먼트 또는 피처의 관계를 설명하기 위하여 설명의 용이성을 위해 본 명세서에서 사용될 수 있다. 공간적으로 상대적인 용어들은 도면들에 도시된 배향에 부가하여 사용시 또는 동작시 디바이스의 상이한 배향들을 포함하도록 의도된다. 장치는 다른 방식으로 배향될 수 있거나(90도 또는 다른 배향으로 회전될 수 있음), 본 명세서에서 사용된 공간적으로 상대적인 디스크립터는 그에 따라 유사하게 해석될 수 있다.Also, spatially relative terms such as “below,” “below,” “below,” “above,” “above,” and the like are used in one reference to another element(s) or feature(s) illustrated in the figures. It may be used herein for ease of description to describe the relationship of elements or features. Spatially relative terms are intended to encompass different orientations of the device in use or operation in addition to the orientation shown in the figures. The device may be otherwise oriented (rotated 90 degrees or at other orientations), or spatially relative descriptors used herein may be interpreted similarly accordingly.

반도체 산업은 예를 들어, 최소 피처 사이즈들의 감소 및/또는 서로에 더 가까운 전자 디바이스들의 배열에 의해 계속해서 다양한 전자 디바이스들(예를 들어, 트랜지스터들, 다이오드들, 레지스터들, 커패시터들 등)의 집적 밀도를 향상시켜왔으며, 이것은 주어진 면적 내로 보다 많은 컴포넌트들이 집적되도록 해준다. 제조 노드들이 계속 축소됨에 따라, 프론트 엔드 오브 라인(FEOL, front-end-of-line) 트랜지스터는 자기저항 랜덤 액세스 메모리(MRAM, magnetoresistive random access memory) 디바이스들에서와 같은 고밀도 비 휘발성 메모리(NVM, non-volatile memory)들을 구동하는 주요 병목 현상이 된다. MRAM의 작동에는 높은 기록 전류가 필요하다(예를 들어, 200μA/μm 초과). 이 높은 기록 전류를 얻는 한 가지 방법은 트랜지스터 치수를 늘리거나 또는 하나의 메모리 요소에 다수의 트랜지스터들을 채택하는 것이다. 예를 들어, 몇몇 제안된 개략도는 충분한 구동 전류를 갖기 위하여 하나의 메모리 요소에 대해 두 개 이상의 트랜지스터를 사용한다. 이러한 접근법들은 큰 FEOL 영역 패널티를 부과한다.The semiconductor industry continues to develop a variety of electronic devices (eg, transistors, diodes, resistors, capacitors, etc.) by, for example, reduction of minimum feature sizes and/or arrangement of electronic devices closer to each other. The integration density has been improved, which allows more components to be integrated into a given area. As manufacturing nodes continue to shrink, front-end-of-line (FEOL) transistors are becoming increasingly popular in high-density non-volatile memory (NVM), such as in magnetoresistive random access memory (MRAM) devices. It becomes a major bottleneck for driving non-volatile memories). The operation of MRAM requires high write currents (eg greater than 200 μA/μm). One way to achieve this high write current is to increase the transistor dimensions or employ multiple transistors in one memory element. For example, some proposed schematics use two or more transistors for one memory element to have sufficient drive current. These approaches impose a large FEOL area penalty.

위의 관점에서, 본 개시물은 메모리 디바이스용 선택기로서의 백 엔드 오브 라인(BEOL) 트랜지스터 및 고밀도 비 휘발성 메모리 디바이스들을 가능하게 하기 위한 연관 제조 방법들에 관한 것이다. 몇몇 실시예들에서, 메모리 디바이스는 기판 위에 배치되고 하부 상호연결 금속 라인 및 상부 상호연결 금속 라인을 포함하는 백 엔드 상호연결 구조물을 포함한다. 선택기 및 선택기에 전기적으로 연결된 메모리 셀은 상부 상호연결 금속 라인과 하부 상호연결 금속 라인 사이에 배치된다. 하부 상호연결 금속 라인 위의 백 엔드 상호연결 구조물 내에 선택기를 배치함으로써, 프론트 엔드 공간이 확보되고 더 많은 집적 유연성이 제공된다.In view of the above, the present disclosure relates to a back end of line (BEOL) transistor as a selector for a memory device and associated manufacturing methods for enabling high density non-volatile memory devices. In some embodiments, a memory device is disposed over a substrate and includes a back end interconnect structure comprising a lower interconnect metal line and an upper interconnect metal line. A selector and a memory cell electrically connected to the selector are disposed between the upper interconnect metal line and the lower interconnect metal line. By placing the selector in the back end interconnect structure above the lower interconnect metal line, front end space is freed up and more integration flexibility is provided.

몇몇 추가 실시예들에서, 선택기는 평면형 선택기에 비해 더 나은 게이트 제어를 제공하는 수직 게이트 올 어라운드 구조를 갖는다. 선택기는 하부 상호연결 금속 라인 상에 배치된 선택기 채널, 및 선택기 채널의 측벽 주위를 랩핑하고 선택기 게이트 유전체에 의해 선택기 채널로부터 분리되는 선택기 게이트 전극을 포함할 수 있다. 하부 상호연결 금속 라인은 선택기를 위한 하나의 소스/드레인 영역 및 메모리 디바이스를 위한 비트 라인 또는 소스 라인 중 하나의 역할을 할 수 있다. 메모리 셀은 선택기 채널 상에 배치될 수 있으며, 상부 상호연결 금속 라인은 메모리 셀 위에 배열될 수 있고, 선택기에 대한 다른 소스/드레인 영역 및 메모리 디바이스에 대한 소스 라인 또는 비트 라인 중 다른 하나의 역할을 할 수 있다. 메모리 셀을 선택기 채널 바로 상부에 적층함으로써, 메모리 셀과 선택기 채널 사이의 와이어 연결이 제거되고 전기적 성능이 향상된다.In some further embodiments, the selector has a vertical gate all around structure that provides better gate control compared to a planar selector. The selector may include a selector channel disposed on the lower interconnecting metal line, and a selector gate electrode that wraps around sidewalls of the selector channel and is separated from the selector channel by a selector gate dielectric. The lower interconnect metal line can serve as one source/drain region for the selector and either a bit line or a source line for the memory device. A memory cell may be disposed on a selector channel, and an upper interconnecting metal line may be arranged over the memory cell and serve as another source/drain region for the selector and the other of a source line or bit line for the memory device. can do. By stacking the memory cells directly on top of the selector channel, the wire connection between the memory cell and the selector channel is eliminated and electrical performance is improved.

몇몇 실시예들에서, 선택기 채널은 폴리실리콘, 비정질 실리콘, 또는 산화물 반도체(OS, oxide semiconductor) 재료이거나 또는 그로 구성될 수 있다. 예를 들어, 선택기 채널은 인듐 갈륨 아연 산화물(IGZO)이거나 그로 구성될 수 있다. OS 재료 채널 영역은 초저 누설 전류(ION/IOFF>1013)를 제공하며, 메모리 디바이스용 BEOL 호환 트랜지스터를 제작하는 데 사용될 수 있다. 몇몇 실시예들에서, 선택기 채널은 다양한 형상들을 가질 수 있다. 예를 들어, 선택기 채널은 원, 정사각형, 단일 핀, 다중 핀, 타원형, 또는 다른 애플리케이션 형상들의 평면도를 갖는 열일 수 있다. 선택기 게이트 전극은 블록 형상을 갖거나 또는 선택기 채널을 둘러싸는 컨포멀한 층일 수 있다.In some embodiments, the selector channel may be or consist of polysilicon, amorphous silicon, or an oxide semiconductor (OS) material. For example, the selector channel may be or consist of indium gallium zinc oxide (IGZO). The OS material channel region provides ultra-low leakage current (I ON /I OFF >10 13 ) and can be used to fabricate BEOL-compatible transistors for memory devices. In some embodiments, the selector channel may have various shapes. For example, the selector channel may be a circle, square, single fin, multiple fin, oval, or column with a top view of other application shapes. The selector gate electrode may have a block shape or may be a conformal layer surrounding the selector channel.

도 1은 선택기(118)를 포함하는 메모리 디바이스(100)의 몇몇 실시예들의 단면도를 예시한다. 몇몇 실시예들에서, 메모리 디바이스(100)는 기판(102) 위에 배치된 상호연결 구조(104) 및 상호연결 구조물(104) 내에 배치된 메모리 셀(108)을 포함한다. 상호연결 구조물(104)은 하부 ILD 층(106L) 내에 배치되고 메모리 셀(108)과 기판(102) 사이에 배열된 하부 상호연결 금속 라인(130), 및 상부 ILD 층(106U) 내에 그리고 메모리 셀(108) 위에 배치된 상부 상호연결 금속 라인(116)을 포함하는 복수의 적층된 상호연결 금속 층들을 포함한다. 하부 ILD 층(106L) 및 상부 ILD 층(106U)은 각각 하나 이상의 유전체 층을 포함할 수 있다.1 illustrates a cross-sectional view of some embodiments of a memory device 100 that includes a selector 118 . In some embodiments, the memory device 100 includes an interconnect structure 104 disposed over a substrate 102 and a memory cell 108 disposed within the interconnect structure 104 . The interconnect structure 104 is disposed within the lower ILD layer 106L and is arranged between the memory cell 108 and the substrate 102, a lower interconnect metal line 130, and the upper ILD layer 106U and within the memory cell. a plurality of stacked interconnect metal layers including a top interconnect metal line 116 disposed over 108 . The lower ILD layer 106L and the upper ILD layer 106U may each include one or more dielectric layers.

메모리 셀(108)은 하단 전극(110), 하단 전극(110) 위에 배열된 데이터 저장 구조물(112), 및 데이터 저장 구조물(112) 위에 배열된 상단 전극(114)을 포함할 수 있다. 상부 상호연결 금속 라인(116)은 상부 ILD 층(106U)을 통해 연장되어 상단 전극(114)에 도달할 수 있다. 몇몇 실시예들에서, 하단 전극(110) 및 상단 전극(114)은 각각 탄탈룸 질화물, 티타늄 질화물, 탄탈룸, 티타늄, 백금, 니켈, 하프늄, 지르코늄, 루테늄, 이리듐 등을 포함한다. 몇몇 실시예들에서, 데이터 저장 구조물(112)은 자기 터널 접합(MTJ, magnetic tunnel junction) 또는 스핀 밸브이다. 이러한 경우에, 메모리 셀(108)은 자기 메모리 셀로 지칭되고, 이러한 메모리 셀들(108)의 어레이로 이루어진 메모리 디바이스(100)는 자기저항 랜덤 액세스 메모리(MRAM) 디바이스로 지칭된다. 몇몇 대안적인 실시예들에서, 데이터 저장 구조물(112)은 하이-k 유전체 재료 또는 다른 반도체 재료, 예컨대 니켈 산화물(NiO), 스트론튬 티타네이트(Sr(Zr)TiO3), 하프늄 이산화물(HfO2), 지르코늄 이산화물(ZrO2), 알루미늄 산화물(Al2O3), 탄탈룸 오산화물(Ta2O5), 하프늄 알루미늄 산화물(HfAlO), 하프늄 지르코늄 산화물(HfZrO) 등을 포함한다. 이러한 경우에, 메모리 셀(108)은 저항성 메모리 셀로 지칭되고, 이러한 메모리 셀들(108)의 어레이로 이루어진 메모리 디바이스(100)는 저항성 랜덤 액세스 메모리(ReRAM, resistive random access memory) 디바이스로 지칭된다. 몇몇 추가 실시예들에서, 데이터 저장 구조물(112)은 Ge2Sb2Te5와 같은 상 변화 재료를 포함하고, 이러한 데이터 저장 구조물들(112)의 어레이로 이루어진 메모리 디바이스(100)는 PCRAM 디바이스로 지칭된다. 데이터 저장 구조물(112)을 위한 다른 구조물들 및/또는 메모리 셀(108)을 위한 다른 메모리 셀 타입들이 또한 가능하다.The memory cell 108 may include a bottom electrode 110 , a data storage structure 112 arranged over the bottom electrode 110 , and a top electrode 114 arranged over the data storage structure 112 . Top interconnect metal line 116 may extend through top ILD layer 106U to reach top electrode 114 . In some embodiments, bottom electrode 110 and top electrode 114 each include tantalum nitride, titanium nitride, tantalum, titanium, platinum, nickel, hafnium, zirconium, ruthenium, iridium, or the like. In some embodiments, the data storage structure 112 is a magnetic tunnel junction (MTJ) or spin valve. In this case, the memory cell 108 is referred to as a magnetic memory cell, and the memory device 100 made up of an array of such memory cells 108 is referred to as a magnetoresistive random access memory (MRAM) device. In some alternative embodiments, data storage structure 112 is a high-k dielectric material or other semiconductor material, such as nickel oxide (NiO), strontium titanate (Sr(Zr)TiO 3 ), hafnium dioxide (HfO 2 ) , zirconium dioxide (ZrO 2 ), aluminum oxide (Al 2 O 3 ), tantalum pentoxide (Ta 2 O 5 ), hafnium aluminum oxide (HfAlO), hafnium zirconium oxide (HfZrO), and the like. In this case, the memory cell 108 is referred to as a resistive memory cell, and the memory device 100 made up of an array of such memory cells 108 is referred to as a resistive random access memory (ReRAM) device. In some further embodiments, data storage structure 112 comprises a phase change material, such as Ge 2 Sb 2 Te 5 , and memory device 100 comprised of an array of such data storage structures 112 is a PCRAM device. is referred to Other structures for data storage structure 112 and/or other memory cell types for memory cell 108 are also possible.

선택기(118)는 메모리 셀(108)에 전기적으로 연결되고, 선택기(118)를 통해 흐르는 전류를 제어함으로써 메모리 셀(108)의 기록/판독 동작들을 제어하도록 구성된다. 몇몇 실시예들에서, 선택기(118)는 메모리 셀(108)의 하단 전극(110) 아래에 배치되고 이에 전기적으로 커플링된다. 몇몇 추가 실시예들에서, 선택기(118)는 하부 상호연결 금속 라인(130)과 하단 전극(11) 사이에 배치된 선택기 채널(126), 및 선택기 채널(126)의 측벽 주위를 랩핑하고 선택기 게이트 유전체(132)에 의해 선택기 채널(126)로부터 분리되는 선택기 게이트 전극(124)을 포함한다. 동작 동안, 하부 상호연결 금속 라인(130)과 상부 상호연결 금속 라인(116) 사이에 바이어싱 전압이 인가된다. 선택기 게이트 전극(124)에는 게이트 전압이 인가된다. 게이트 전압이 충분한 경우, 선택기 채널(126)의 채널 경로가 턴온되고 메모리 셀(108)이 판독/기록될 수 있다. 선택기 게이트 전극(124)이 선택기 채널(126) 전체를 랩핑하게 함으로써, 평면형 선택기를 사용하는 것에 비해 더 나은 게이트 제어가 제공된다. 몇몇 실시예들에서, 메모리 셀(108)은 선택기 채널(126) 바로 상부에 배치된다. 메모리 셀(108)은 선택기 채널(126)의 측벽과 수직으로 정렬된 측벽들을 가질 수 있다. 선택기(118)를 상호연결 구조물(104) 내에 백 엔드 배치함으로써, 프론트-엔드는 다른 로직 기능들을 위해 이용가능하게 되고, 더 많은 집적 유연성이 제공된다. 메모리 셀(108)을 선택기 채널(126) 바로 상부에 적층함으로써, 메모리 셀(108)과 선택기 채널(126) 사이의 배선 상호연결이 제거되고 전기적 성능이 향상된다.The selector 118 is electrically coupled to the memory cell 108 and is configured to control write/read operations of the memory cell 108 by controlling the current flowing through the selector 118 . In some embodiments, the selector 118 is disposed below and electrically coupled to the bottom electrode 110 of the memory cell 108 . In some further embodiments, the selector 118 wraps around a sidewall of the selector channel 126 , and the selector channel 126 disposed between the bottom interconnection metal line 130 and the bottom electrode 11 , and includes a selector gate and a selector gate electrode 124 separated from the selector channel 126 by a dielectric 132 . During operation, a biasing voltage is applied between the lower interconnect metal line 130 and the upper interconnect metal line 116 . A gate voltage is applied to the selector gate electrode 124 . When the gate voltage is sufficient, the channel path of the selector channel 126 is turned on and the memory cell 108 can be read/written. By having the selector gate electrode 124 wrap the entire selector channel 126, better gate control is provided compared to using a planar selector. In some embodiments, the memory cell 108 is disposed directly over the selector channel 126 . The memory cell 108 may have sidewalls vertically aligned with the sidewalls of the selector channel 126 . By placing the selector 118 back-end within the interconnect structure 104 , the front-end is made available for other logic functions and more integration flexibility is provided. By stacking the memory cell 108 directly over the selector channel 126 , the hard-wired interconnection between the memory cell 108 and the selector channel 126 is eliminated and electrical performance is improved.

몇몇 실시예들에서, 선택기 채널(126)은 폴리실리콘 또는 비정질 실리콘을 포함한다. 몇몇 다른 실시예들에서, 선택기 채널(126)은 산화물 반도체(OS, oxide semiconductor) 재료를 포함한다. 예를 들어, 채널 층은 예컨대 인듐 갈륨 아연 산화물(IGZO, indium gallium zinc oxide), 인듐 아연 산화물(IZO, indium zinc oxide), 인듐 주석 산화물 또는 인듐 티타늄 산화물(ITO, indium titanium oxide) 또는 다른 산화물 반도체 재료로 만들어질 수 있다. 선택기 채널(126)은 약 10 nm 내지 약 50 nm 범위의 두께를 가질 수 있다. OS 재료 채널 영역은 초저 누설을 제공하며, 메모리 디바이스용 BEOL 호환 트랜지스터를 제작하는 데 사용될 수 있다. 몇몇 실시예들에서, 선택기 게이트 유전체(132)는 알루미늄 산화물(Al2O3), 하프늄 산화물(HfO2), 탄탈룸 산화물(Ta2O5), 지르코늄 산화물(ZrO2), 티타늄 산화물(TiO2), 스트론튬 티타늄 산화물(SrTiO3) 또는 기타 하이-k 유전체 재료들을 포함한다. 선택기 게이트 유전체(132)는 약 1 nm 내지 약 15 nm 또는 약 1 nm 내지 약 5 nm 범위의 두께를 가질 수 있다. 몇몇 실시예들에서, 하부 상호연결 금속 라인(130) 및 상부 상호연결 금속 라인(116)은 티타늄 질화물(TiN), 탄탈룸 질화물(TaN), 텅스텐(W), 구리(Cu) 등과 같은 금속 재료들을 포함한다. 하부 상호연결 금속 라인(130) 및 상부 상호연결 금속 라인(116)은 각각 약 5nm 내지 약 30nm 범위의 두께를 가질 수 있다.In some embodiments, the selector channel 126 comprises polysilicon or amorphous silicon. In some other embodiments, the selector channel 126 comprises an oxide semiconductor (OS) material. For example, the channel layer may be formed of, for example, indium gallium zinc oxide (IGZO), indium zinc oxide (IZO), indium tin oxide or indium titanium oxide (ITO) or other oxide semiconductor. can be made of materials. The selector channel 126 may have a thickness ranging from about 10 nm to about 50 nm. The OS material channel region provides ultra-low leakage and can be used to fabricate BEOL-compatible transistors for memory devices. In some embodiments, the selector gate dielectric 132 is aluminum oxide (Al 2 O 3 ), hafnium oxide (HfO 2 ), tantalum oxide (Ta 2 O 5 ), zirconium oxide (ZrO 2 ), titanium oxide (TiO 2 ) ), strontium titanium oxide (SrTiO 3 ) or other high-k dielectric materials. The selector gate dielectric 132 may have a thickness ranging from about 1 nm to about 15 nm or from about 1 nm to about 5 nm. In some embodiments, the lower interconnection metal line 130 and the upper interconnection metal line 116 may include metallic materials such as titanium nitride (TiN), tantalum nitride (TaN), tungsten (W), copper (Cu), etc. include The lower interconnect metal line 130 and the upper interconnect metal line 116 may each have a thickness ranging from about 5 nm to about 30 nm.

도 2는 몇몇 추가 실시예들에 따라 백 엔드 오브 라인에 삽입하는 선택기(118)를 포함하는 메모리 디바이스(200)의 단면도를 더 자세히 예시한다. 도 2에 도시된 바와 같이, 몇몇 실시예들에서, 로직 디바이스(202)는 기판(102) 및 ILD 층(106a) 내에 배치된다. 로직 디바이스(202)는 트랜지스터 디바이스(예를 들어, MOSFET 디바이스, BJT 등)를 포함할 수 있다. 선택기(118)를 프론트 엔드 오브 라인이 아닌 백 엔드 오브 라인에 삽입함으로써, 로직 디바이스(202)를 포함하는 다른 프론트 엔드 디바이스들은 선택 디바이스의 구조물들에 의해 제한되지 않고, 더 많은 집적 유연성이 제공된다. 로직 디바이스(202)는 평면형 디바이스, FinFET 디바이스, 나노와이어 디바이스, 또는 다른 게이트 올 어라운드(GAA, gate-all-arround) 디바이스들일 수 있다.2 illustrates in greater detail a cross-sectional view of a memory device 200 including a selector 118 for inserting into a back end of line in accordance with some further embodiments. 2 , in some embodiments, the logic device 202 is disposed within the substrate 102 and the ILD layer 106a. The logic device 202 may include a transistor device (eg, a MOSFET device, a BJT, etc.). By inserting the selector 118 into the back end of the line rather than the front end of the line, other front end devices, including the logic device 202, are not limited by the structures of the select device, and more integration flexibility is provided. . The logic device 202 may be a planar device, a FinFET device, a nanowire device, or other gate-all-arround (GAA) devices.

상호연결 구조물(104)이 로직 디바이스(202)와 기판(102) 위에 배치된다. 상호연결 구조물(104)은 적층된 ILD 층들에 의해 둘러싸이고 전기적 연결을 제공하도록 구성된 복수의 적층된 상호연결 금속 층들을 포함한다. 몇몇 실시예들에서, 상호연결 금속 층들은 로직 디바이스(202) 상에 랜딩되는 도전성 콘택(204) 및 도전성 콘택(204) 위에 배치되고 적층된 ILD 층들(106a-106c)에 의해 둘러싸인 상호연결 라인들(206a-206c) 및 상호연결 비아들(208a-208b)을 포함할 수 있다. 몇몇 실시예들에서, 적층된 ILD 층들(106a-106c)은 실리콘 이산화물, 플루오로실리케이트 유리, 실리케이트 유리(예를 들어, 보로포스페이트 실리케이트 유리(BSG, borophosphate silicate glass), 포스포실리케이트 유리(PSG, phosphosilicate glass), 보로 포스포실리케이트 유리(BPSG, borophosphosilicate glass), 플루오로 실리케이트 유리( FSG, fluorosilicate glass), 도핑되지 않은 실리케이트 유리(USG, undoped silicate glass) 등 중 하나 이상을 포함할 수 있다. 몇몇 실시예들에서, 인접한 ILD 층들(106a-106c)은 질화물, 탄화물 등을 포함하는 에칭 스탑 층(미도시)에 의해 분리될 수 있다. 복수의 금속 층들은 기판에 산업 분야에서 더 가까운 하부 위치로부터 기판으로부터 떨어진 상부 위치까지 M0, M1, M2, M3 ...이라고 하는 숫자에 의해 지칭된다.An interconnect structure 104 is disposed over the logic device 202 and the substrate 102 . The interconnect structure 104 includes a plurality of stacked interconnect metal layers surrounded by the stacked ILD layers and configured to provide electrical connection. In some embodiments, interconnect metal layers are conductive contact 204 landing on logic device 202 and interconnect lines disposed over conductive contact 204 and surrounded by stacked ILD layers 106a - 106c. 206a - 206c and interconnect vias 208a - 208b. In some embodiments, the stacked ILD layers 106a - 106c are silicon dioxide, fluorosilicate glass, silicate glass (eg, borophosphate silicate glass (BSG), phosphosilicate glass (PSG, phosphosilicate glass), borophosphosilicate glass (BPSG), fluorosilicate glass (FSG), undoped silicate glass (USG), etc. Some In embodiments, adjacent ILD layers 106a - 106c may be separated by an etch stop layer (not shown) comprising a nitride, carbide, etc. The plurality of metal layers may be separated from an underlying location industrially closer to the substrate. The upper position away from the substrate is designated by the numbers M0, M1, M2, M3....

선택기(118)는 복수의 적층된 상호연결 금속 층들 중 적어도 일부 위에, 예를 들어 도 2에 도시된 바와 같이 상호연결 라인들(206a-206c) 위에 배치된다. 몇몇 실시예들에서, 하부 ILD 층(106L)은 상호연결 라인들(206a-206c) 및 적층된 ILD 층들(106a-106c) 위에 배치되고, 하부 상호연결 금속 라인(130)은 하부 ILD 층(106L) 내에 배치된다. 몇몇 실시예들에서, 선택기(118)는 하부 상호연결 금속 라인(130) 상에 배치된 선택기 채널(126)을 포함한다. 선택기 게이트 유전체(132)는 하부 ILD 층(106L) 위에 배치되고, 선택기 채널(126)의 측벽을 따라 위쪽으로 연장된다. 선택기 게이트 유전체(132)는 알루미늄 산화물(Al2O3), 하프늄 산화물(HfO2), 탄탈룸 산화물(Ta2O5), 지르코늄 산화물(ZrO2), 티타늄 산화물(TiO2), 스트론튬 티타늄 산화물(SrTiO3) 등과 같은 하이-k 유전체 재료들의 하나 이상의 유전체 층이거나 또는 그로 구성될 수 있다. 선택기 게이트 유전체(132)는 하부 ILD 층(106L)의 상부면 및 선택기 채널(126)의 측벽 표면을 라이닝하는 컨포멀한 라이너일 수 있다. 선택기 게이트 전극(124)은 선택기 게이트 유전체(132) 상에 배치되고, 선택기 채널(126)의 측벽 주위를 랩핑할 수 있다. 선택기 게이트 전극(124)이 선택기 채널(126) 전체를 랩핑하게 함으로써, 평면형 선택기를 사용하는 것에 비해 더 나은 게이트 제어가 제공된다.Selector 118 is disposed over at least some of the plurality of stacked interconnect metal layers, for example over interconnect lines 206a - 206c as shown in FIG. 2 . In some embodiments, lower ILD layer 106L is disposed over interconnect lines 206a - 206c and stacked ILD layers 106a - 106c , and lower interconnect metal line 130 is lower ILD layer 106L ) is placed in In some embodiments, the selector 118 includes a selector channel 126 disposed on a lower interconnecting metal line 130 . A selector gate dielectric 132 is disposed over the lower ILD layer 106L and extends upwardly along sidewalls of the selector channel 126 . The selector gate dielectric 132 is aluminum oxide (Al 2 O 3 ), hafnium oxide (HfO 2 ), tantalum oxide (Ta 2 O 5 ), zirconium oxide (ZrO 2 ), titanium oxide (TiO 2 ), strontium titanium oxide ( may be or consist of one or more dielectric layers of high-k dielectric materials, such as SrTiO 3 ) and the like. The selector gate dielectric 132 may be a conformal liner lining the top surface of the lower ILD layer 106L and the sidewall surface of the selector channel 126 . A selector gate electrode 124 is disposed on the selector gate dielectric 132 and may wrap around a sidewall of the selector channel 126 . By having the selector gate electrode 124 wrap the entire selector channel 126, better gate control is provided compared to using a planar selector.

몇몇 실시예들에서, 메모리 셀(108)은 선택기 채널(126)의 상단의 바로 상부에 배치된다. 메모리 셀(108)은 선택기 채널(126)의 측벽과 수직으로 정렬된 측벽들을 가질 수 있다. 몇몇 실시예들에서, 상부 상호연결 금속 라인(116)은 상부 ILD 층(106U) 내에 그리고 메모리 셀(108) 바로 상부에 배치된다. 하부 ILD 층(106L) 및 상부 ILD 층(106U)은 각각 하나 이상의 유전체 층(예를 들어, 산화물, 로우-k 유전체, 또는 울트라 루으-k 유전체)을 포함할 수 있다. 선택기(118)와 메모리 셀(108)을 상호연결 구조물(104) 내의 상부 상호연결 금속 라인(116)과 하부 상호연결 금속 라인(130) 사이에 배치함으로써, 다른 논리 기능들을 위해 프론트 엔드 공간이 절약되고, 더 많은 집적 유연성이 제공된다. 메모리 셀(108)을 선택기 채널(126) 바로 상부에 적층함으로써, 메모리 셀(108)과 선택기 채널(126) 사이의 배선 상호연결이 제거되고 전기적 성능이 향상된다.In some embodiments, the memory cell 108 is disposed directly on top of the top of the selector channel 126 . The memory cell 108 may have sidewalls vertically aligned with the sidewalls of the selector channel 126 . In some embodiments, the upper interconnect metal line 116 is disposed within the upper ILD layer 106U and directly over the memory cell 108 . Lower ILD layer 106L and upper ILD layer 106U may each include one or more dielectric layers (eg, oxide, low-k dielectric, or ultra low-k dielectric). By placing the selector 118 and the memory cell 108 between the upper interconnect metal line 116 and the lower interconnect metal line 130 in the interconnect structure 104 , front end space is saved for other logic functions. and more integration flexibility is provided. By stacking the memory cell 108 directly over the selector channel 126 , the hard-wired interconnection between the memory cell 108 and the selector channel 126 is eliminated and electrical performance is improved.

하부 상호연결 금속 라인(130) 아래의 상호연결 라인들(206a-206c)은 단지 비 제한적인 예시를 목적으로 도시된다. 선택기(118) 및 메모리 셀(108)은 다양한 금속 층들 내에 유연하게 위치될 수 있다. 선택기(118) 및 메모리 셀(108)의 정확한 위치는 라우팅 요구를 참조하여 결정될 수 있으며, 따라서 설계 유연성을 제공한다.Interconnection lines 206a - 206c below lower interconnection metal line 130 are shown for purposes of non-limiting illustration only. Selector 118 and memory cell 108 may be flexibly positioned within various metal layers. The exact location of selector 118 and memory cell 108 may be determined with reference to routing needs, thus providing design flexibility.

도 3은 복수의 메모리 유닛들(C11-C33)을 갖는 메모리 어레이(300)의 일부의 블록도를 예시한다. 메모리 유닛들(C11-C33)은 메모리 어레이(300) 내에 행들 및/또는 열들로 배열된다. 메모리 어레이(300)는 3 개의 행들 및 3 개의 열들을 갖는 것으로 예시되었지만, 메모리 어레이(300)는 임의의 수의 행들 및 임의의 수의 열들을 가질 수 있다. 메모리 유닛들(C11-C33) 각각은 선택기(118)에 커플링된 메모리 셀(108)을 포함할 수 있다. 선택기(118)는 선택되지 않은 메모리 유닛들을 통한 누설 전류를 억제하면서, 선택된 메모리 셀(108)에 대한 액세스를 선택적으로 제공하도록 구성된다. 도 1 또는 도 2와 연관하여 개시된 디바이스 구조물들은 메모리 어레이(300)의 개별 메모리 유닛들(C11-C33)의 몇몇 실시예들로서 통합될 수 있다.3 illustrates a block diagram of a portion of a memory array 300 having a plurality of memory units C11 - C33. The memory units C11 - C33 are arranged in rows and/or columns in the memory array 300 . Although the memory array 300 is illustrated as having three rows and three columns, the memory array 300 may have any number of rows and any number of columns. Each of memory units C11 - C33 may include a memory cell 108 coupled to a selector 118 . The selector 118 is configured to selectively provide access to the selected memory cell 108 while suppressing leakage current through the unselected memory units. The device structures disclosed in connection with FIG. 1 or 2 may be incorporated as some embodiments of individual memory units C11 - C33 of memory array 300 .

메모리 유닛들(C11-C33)은 비트 라인들(BL1-BL3), 워드 라인들(WL1-WL3) 및 소스 라인들(SL1-SL3)을 통해 제어될 수 있다. 워드 라인들(WL1-WL3)은 메모리 유닛들(C11-C33)에 대응하는 선택기들(118)을 동작시키기 위해 사용될 수 있다. 메모리 셀(108)에 대한 선택기(118)가 턴온될 때, 전압이 그 메모리 셀에 인가될 수 있다. 비트 라인 디코더(119)는 비트 라인들(BL1-BL3) 중 하나에 판독 전압 또는 기록 전압을 인가한다. 워드 라인 디코더(127)는 워드 라인들(WL1-WL3) 중 하나에 다른 전압을 인가하고, 이는 대응하는 행의 메모리 유닛들(C11-C33)에 대한 선택기(118)를 턴온시킨다. 이와 함께, 이러한 동작들은 메모리 유닛들(C11-C33) 중 선택된 메모리 유닛에 판독 전압 또는 기록 전압이 인가되게 한다.The memory units C11 - C33 may be controlled through bit lines BL 1 -BL 3 , word lines WL 1 -WL 3 , and source lines SL 1 -SL 3 . The word lines WL 1 -WL 3 may be used to operate the selectors 118 corresponding to the memory units C11 - C33 . When the selector 118 for a memory cell 108 is turned on, a voltage may be applied to that memory cell. The bit line decoder 119 applies a read voltage or a write voltage to one of the bit lines BL 1 -BL 3 . The word line decoder 127 applies a different voltage to one of the word lines WL 1 -WL 3 , which turns on the selector 118 for the memory units C11 - C33 in the corresponding row. Together, these operations cause a read voltage or a write voltage to be applied to a selected one of the memory units C11-C33.

선택된 메모리 셀(108)에 전압을 인가하는 것은 전류를 초래한다. 판독 동작들 동안, 감지 증폭기(117)는 전류에 기초하여 선택된 메모리 셀의 프로그래밍 상태를 결정한다. 감지 증폭기(117)는 소스 라인들(SL1-SL3)에 연결될 수 있다. 대안적으로, 감지 증폭기(117)는 비트 라인들(BL1-BL3)에 연결될 수 있다. 감지 증폭기(117)는 전류에 기초하여 메모리 셀(108)의 프로그래밍 상태를 결정할 수 있다. 몇몇 실시예들에서, 감지 증폭기(117)는 전류를 하나 이상의 기준 전류와 비교함으로써 메모리 셀(108)의 프로그래밍 상태를 결정한다. 감지 증폭기(117)는 기록 및 기록 검증 동작을 구현하기 위해 드라이버 회로에 커플링될 수 있는 I/O 버퍼에 프로그래밍 상태 결정을 전달할 수 있다. 드라이버 회로는 판독, 기록 및 기록 검증 동작을 위해 선택된 메모리 유닛에 적용할 전압을 선택하도록 구성된다.Applying a voltage to the selected memory cell 108 results in a current. During read operations, the sense amplifier 117 determines the programming state of the selected memory cell based on the current. The sense amplifier 117 may be connected to the source lines SL 1 -SL 3 . Alternatively, the sense amplifier 117 may be connected to the bit lines BL 1 -BL 3 . The sense amplifier 117 may determine the programming state of the memory cell 108 based on the current. In some embodiments, the sense amplifier 117 determines the programmed state of the memory cell 108 by comparing the current to one or more reference currents. Sense amplifier 117 may communicate programming state decisions to an I/O buffer that may be coupled to driver circuitry to implement write and write verify operations. The driver circuit is configured to select a voltage to apply to the selected memory unit for read, write, and write verify operations.

중요 전압은 메모리 셀(108)을 가로지르는 전위차의 절대 값이라는 것을 알 수 있을 것이다. 메모리 어레이(300)의 경우, 선택된 메모리 셀에 전압을 인가하는 것은 워드 라인(WL1-WL3)을 작동하여 그 메모리 셀에 대응하는 선택기(118)를 턴온시키고, 드라이버 회로를 사용하여 그 셀에 대응하는 비트 라인(BL1-BL3)과 소스 라인(SL1-SL3) 간의 전위차의 절대 값을 그 전압과 동일한 크기로 만드는 것을 의미한다. 몇몇 실시예들에서, 메모리 셀에 전압을 인가하는 것은 대응 소스 라인(SL1-SL3)을 접지 전위에 유지하면서, 대응 비트 라인(BL1-BL3)을 전압에 커플링함으로써 달성된다. 또한, 소스 라인(SL1-SL3)은 다른 전위로 유지될 수 있고, 비트 라인들(BL1-BL3)과 소스 라인(SL1-SL3)의 역할이 반전될 수 있다.It will be appreciated that the critical voltage is the absolute value of the potential difference across the memory cell 108 . In the case of memory array 300 , applying a voltage to a selected memory cell operates word lines WL 1 - WL 3 to turn on the selector 118 corresponding to that memory cell, and uses driver circuitry to activate that cell. This means making the absolute value of the potential difference between the bit lines BL 1 -BL 3 and the source lines SL 1 -SL 3 corresponding to the voltage equal to the voltage. In some embodiments, applying a voltage to the memory cell is accomplished by coupling the corresponding bit line BL 1 -BL 3 to a voltage while maintaining the corresponding source line SL 1 -SL 3 at ground potential. Also, the source lines SL 1 -SL 3 may be maintained at different potentials, and roles of the bit lines BL 1 -BL 3 and the source lines SL 1 -SL 3 may be reversed.

도 4a 내지 도 4c는 몇몇 실시예들에 따른 적층된 메모리 어레이들을 포함하는 메모리 디바이스(400)의 다양한 뷰를 제공한다. 메모리 디바이스(400)는 적층된 메모리 어레이들(300a, 300b)을 포함하며, 메모리 어레이들 각각은 상호연결 구조물(104) 내에 배치되고 복수의 메모리 셀들(108)에 대응하여 연결된 복수의 선택기들(118)을 갖는 복수의 메모리 유닛들을 포함한다. 선택기들(118)은 선택되지 않은 메모리 유닛들을 통한 누설 전류를 억제하면서, 선택된 메모리 셀들(108)에 대한 액세스를 선택적으로 제공하도록 구성된다. 도 3과 연관하여 개시된 메모리 어레이(300) 및 메모리 유닛들(C11-C33)은 메모리 디바이스(400)의 메모리 어레이들(300a, 300b)의 몇몇 실시예들로서 통합될 수 있다. 도 1 또는 도 2와 연관하여 개시된 디바이스 구조물들은 메모리 어레이(400)의 메모리 유닛들의 몇몇 실시예들로서 통합될 수 있다. 메모리 디바이스(400)가 예시를 목적으로 2 개의 적층된 메모리 어레이들(300a, 300b)을 갖는 것으로 예시되었지만, 메모리 디바이스(400)는 더 많은 집적을 위해 모놀리식으로 적층된 더 많은 메모리 어레이들을 가질 수 있다.4A-4C provide various views of a memory device 400 including stacked memory arrays in accordance with some embodiments. Memory device 400 includes stacked memory arrays 300a and 300b , each of which is disposed within interconnect structure 104 and has a plurality of selectors coupled corresponding to a plurality of memory cells 108 ( 118) including a plurality of memory units. Selectors 118 are configured to selectively provide access to selected memory cells 108 while suppressing leakage current through unselected memory units. The memory array 300 and memory units C11 - C33 disclosed in connection with FIG. 3 may be incorporated as some embodiments of the memory arrays 300a , 300b of the memory device 400 . The device structures disclosed in connection with FIG. 1 or 2 may be incorporated as some embodiments of memory units of memory array 400 . Although the memory device 400 is illustrated as having two stacked memory arrays 300a, 300b for purposes of illustration, the memory device 400 includes more memory arrays monolithically stacked for greater integration. can have

몇몇 실시예들에 따라 메모리 디바이스(400)의 사시도인 도 4a에 도시되고 행 방향을 따른 메모리 디바이스(400)의 단면도인 도 4b에 도시된 바와 같이, 하나의 행의 메모리 유닛들은 메모리 유닛들의 양단 상에 배치된 제1 신호 라인 및 제2 신호 라인을 공유할 수 있다. 예를 들어, 메모리 유닛들(C11, C12 및 C13)은 선택기들(118) 아래에 배치되고 연결되는 공통 비트 라인(BL1), 및 메모리 셀들(108) 위에 배치되고 연결되는 공통 소스 라인(SL1)을 공유할 수 있다. 또한, 몇몇 실시예들에 따른 열 방향을 따른 메모리 디바이스(400)의 단면도인 도 4c에 도시된 바와 같이, 하나의 열의 메모리 유닛들은 선택기들(118)의 게이트 전극들을 연결하는 제3 신호 라인을 공유할 수 있다. 예를 들어, 메모리 유닛들(C11, C21 및 C31)은 선택기들(118)의 개별 선택기 게이트 전극들(124)을 둘러싸고 연결하는 공통 워드 라인(WL1)을 공유할 수 있다. 제1, 제2 및 제3 신호 라인은 도면에 도시되지 않은 비아들 및 더 많은 금속 층들을 통해 상위 레벨의 상호연결부들에 더 연결될 수 있다. 몇몇 실시예들에서, 공통 워드 라인(WL1) 및 선택기 게이트 전극들(124)은 동일한 도전성 재료를 포함하거나 또는 하나의 이음매 없는 일체형 층으로 제조된다. 즉, 선택기 게이트 전극들(124)은 메모리 유닛들 사이에서 연장될 수 있고, 또한 공통 워드 라인의 역할을 할 수 있다. 몇몇 실시예들에서, 선택기 게이트 전극들(124)은 선택기들(118)의 열 사이에 배치되고 선택기 채널들(126)의 측벽들을 따라 위쪽으로 연장되는 컨포멀한 도전성 층이다.As shown in FIG. 4A , which is a perspective view of a memory device 400 , and FIG. 4B , which is a cross-sectional view of the memory device 400 along a row direction, in accordance with some embodiments, one row of memory units includes both ends of the memory units. The first signal line and the second signal line disposed thereon may be shared. For example, the memory units C11 , C12 , and C13 may include a common bit line BL 1 disposed below and connected to the selectors 118 , and a common source line SL disposed over and coupled to the memory cells 108 . 1 ) can be shared. In addition, as shown in FIG. 4C , which is a cross-sectional view of the memory device 400 along a column direction according to some embodiments, the memory units in one column connect a third signal line connecting the gate electrodes of the selectors 118 . can share For example, the memory units C11 , C21 , and C31 may share a common word line WL 1 surrounding and connecting the respective selector gate electrodes 124 of the selectors 118 . The first, second and third signal lines may be further connected to higher level interconnects through vias and more metal layers not shown in the figure. In some embodiments, common word line WL 1 and selector gate electrodes 124 include the same conductive material or are made of one seamless, integral layer. That is, the selector gate electrodes 124 may extend between the memory units and may also serve as a common word line. In some embodiments, the selector gate electrodes 124 are a conformal conductive layer disposed between the rows of selectors 118 and extending upwardly along sidewalls of the selector channels 126 .

도 5a 내지 도 5c는 몇몇 추가적인 실시예들에 따른 적층된 메모리 어레이들을 포함하는 메모리 디바이스(500)의 다양한 뷰를 제공한다. 도 4a 내지 도 4c와 비교하여, 몇몇 대안적인 실시예들에서, 선택기 게이트 전극들(124)은 상이한 형상을 갖는다. 복수의 도전성 블록들이 선택기 게이트 유전체(132) 상에 배치되고, 평행하게 그리고 열 방향을 따라 연장되고, 선택기 게이트 전극들(124) 및 공통 워드 라인들(WL1, WL2, WL3)로서 작용하며, 각각을 둘러싸고 선택기 채널들(126)에 제어를 제공한다.5A-5C provide various views of a memory device 500 including stacked memory arrays in accordance with some additional embodiments. Compared to FIGS. 4A-4C , in some alternative embodiments, the selector gate electrodes 124 have a different shape. A plurality of conductive blocks are disposed on the selector gate dielectric 132 , extend in parallel and along a column direction, and serve as the selector gate electrodes 124 and common word lines WL 1 , WL 2 , WL 3 . and provides control to the selector channels 126 surrounding each.

도 6 내지 도 7은 몇몇 실시예들에 따른 대응 선택기들(118)을 보여주는 도 3의 메모리 어레이(300)의 평면도를 예시한다. 숫자는 단순성을 위해 하나의 메모리 유닛(C11)에 대해서만 라벨붙여지지만, 다른 메모리 유닛들에 대해서도 유사하게 적용될 수 있다. 도 6 내지 도 7에 도시된 바와 같이, 선택기 채널들(126)은 선택기 게이트 유전체(132)에 의해 둘러싸인 별개의 아일랜드(island)들일 수 있다. 선택기 게이트 유전체(132)는 평면도에서 별개의 링 형상들을 가질 수 있다. 선택기 채널들(126)은 다양한 형상들을 가질 수 있다. 선택기 게이트 전극(124)은 선택기 게이트 유전체(132)의 외주를 둘러싼다. 몇몇 실시예들에서, 선택기 채널(126)은 도 6에 도시된 바와 같은 원형, 정사각형, 또는 다른 직교 다각형들과 같은 중심 대칭 형상을 갖는다. 몇몇 대안적인 실시예들에서, 선택기 채널(126)은 공통 워드 라인들(WL1, WL2, WL3)의 폭 방향보다 공통 워드 라인들(WL1, WL2, WL3)의 길이 방향에서 더 길며, 여기서 선택기 채널(126)의 더 긴 길이를 배열함으로써 선택기 채널(126)의 영역이 확대될 수 있도록 워드 라인들(WL1, WL2, WL3)은 분리될 필요가 있다. 이러한 선택기 채널(126)의 예들은 도 7에 도시된 바와 같이 타원형 또는 직사각형을 포함한다. 몇몇 추가의 대안적인 실시예들에서, 선택기 채널(126)은 선택기 채널(126)이 선택기 게이트 전극들(124)에 의해 더 잘 제어되도록 선택기 채널(126)의 둘레를 더 확대하기 위해 다수의 핀들을 포함할 수 있다. 도면들에 도시되지 않은 다른 적용가능한 형상들(예를 들어, 정사각형, 다수의 핀들, 다수의 원형 핀들 등)도 또한 가능하다.6-7 illustrate top views of the memory array 300 of FIG. 3 showing corresponding selectors 118 in accordance with some embodiments. The numbers are labeled only for one memory unit C11 for the sake of simplicity, but similarly apply to other memory units. 6-7 , the selector channels 126 may be separate islands surrounded by the selector gate dielectric 132 . The selector gate dielectric 132 may have distinct ring shapes in plan view. The selector channels 126 may have a variety of shapes. The selector gate electrode 124 surrounds the perimeter of the selector gate dielectric 132 . In some embodiments, the selector channel 126 has a centrosymmetric shape, such as a circle, square, or other orthogonal polygons as shown in FIG. 6 . In some alternative embodiments, the selector channel 126 is common word line in the (WL 1, WL 2, WL 3) common word line than the width direction in the longitudinal direction of the (WL 1, WL 2, WL 3) The word lines WL 1 , WL 2 , WL 3 need to be separated so that the area of the selector channel 126 can be enlarged by arranging a longer length of the selector channel 126 here. Examples of such selector channels 126 include ovals or rectangles as shown in FIG. 7 . In some further alternative embodiments, the selector channel 126 has a plurality of fins to further enlarge the perimeter of the selector channel 126 such that the selector channel 126 is better controlled by the selector gate electrodes 124 . may include Other applicable shapes not shown in the figures (eg, square, multiple fins, multiple circular fins, etc.) are also possible.

도 8a 내지 도 18c는 BEOL 선택기를 포함하는 메모리 디바이스를 형성하는 방법의 몇몇 실시예들의 다양한 도면들을 예시한다. 도 8a 내지 도 18c는 방법과 관련하여 설명되었지만, 도 8a 내지 도 18c에 개시된 구조물들은 그러한 방법으로 제한되지 않고, 방법과는 별도의 구조물들로서 독립적일 수 있음을 이해할 것이다.8A-18C illustrate various views of some embodiments of a method of forming a memory device including a BEOL selector. Although FIGS. 8A-18C have been described in connection with the method, it will be understood that the structures disclosed in FIGS. 8A-18C are not limited in such a way and may be independent as structures separate from the method.

도 8a의 사시도 및 도 8b의 단면도에 도시된 바와 같이, 기판(102)이 제공되고, 하부 ILD 층(106L)이 기판(102) 위에 형성된다. 다양한 실시예들에서, 기판(102)은 반도체 웨이퍼 및/또는 웨이퍼 상의 하나 이상의 다이와 같은 임의의 유형의 반도체 바디(예를 들어, 실리콘, SiGe, SOI 등) 뿐만이 아니라, 이와 연관된 임의의 다른 유형의 반도체 및/또는 에피택셜 층들일 수 있다. 반도체 디바이스들이 기판(102) 내에 형성된다. 반도체 디바이스들은 트랜지스터 디바이스(예를 들어, MOSFET 디바이스, BJT 등)를 포함할 수 있다. 반도체 디바이스들은 평면형 디바이스, FinFET 디바이스, 나노와이어 디바이스, 또는 다른 게이트 올 어라운드(GAA, gate-all-arround) 디바이스들을 포함할 수 있다. 예를 들어, 도 8b에 도시된 바와 같이, 로직 디바이스(202)는 기판(102) 내에 형성되고, 제1 ILD 층(106a)에 의해 둘러싸일 수 있다. 하부 ILD 층(106L)을 형성하기 전에, 하나 이상의 상호연결 금속 층이 기판(102) 상에 형성된다. 몇몇 실시예들에서, 하나 이상의 상호연결 금속 층은 로직 디바이스(202)를 위한 도전성 콘택(204)과, 제 1 ILD 층(106a)의 제1 상호연결 라인(206a)과, 제2 ILD 층(106b)의 제2 상호연결 라인(206b) 및 제1 상호연결 비아(208a)와, 제3 ILD 층(106c)의 제3 상호연결 라인(206c) 및 제2 상호연결 비아(208b)를 형성함으로써 형성될 수 있다. 하나 이상의 상호연결 금속 층은 기판(102) 위에 ILD 층(예를 들어, 산화물, 로우-k 유전체, 또는 울트라 로우-k 유전체)을 반복적으로 형성하고, ILD 층을 선택적으로 에칭하여 ILD 층 내의 비아 홀 및/또는 트렌치를 정의하고, 비아 홀 및/또는 트렌치 내에 도전성 재료(예를 들어, 구리, 알루미늄 등)를 형성하고, 평탄화 프로세스(예를 들어, 화학 기계적 평탄화 프로세스)를 수행하여 ILD 층 위로부터 과잉 도전성 재료를 제거함으로써 형성될 수 있다. 도 8b에 도시된 도전성 콘택(204), 상호연결 라인(206a/206b/206c), 및 상호연결 비아(208a/208b)는 예시를 위해 도시되었으며, 상호연결 라인들, 비아들, 및 하부 ILD 층들의 더 많거나 더 적은 층은 다양한 응용에 의해 조정될 수 있다. 반도체 디바이스들 및 상호연결 금속 층들은 이하 도면들에서 생략된다.As shown in the perspective view of FIG. 8A and the cross-sectional view of FIG. 8B , a substrate 102 is provided and an underlying ILD layer 106L is formed over the substrate 102 . In various embodiments, the substrate 102 is a semiconductor wafer and/or any type of semiconductor body (eg, silicon, SiGe, SOI, etc.) such as a semiconductor wafer and/or one or more dies on the wafer, as well as any other type associated therewith. semiconductor and/or epitaxial layers. Semiconductor devices are formed in the substrate 102 . Semiconductor devices may include transistor devices (eg, MOSFET devices, BJTs, etc.). Semiconductor devices may include planar devices, FinFET devices, nanowire devices, or other gate-all-arround (GAA) devices. For example, as shown in FIG. 8B , the logic device 202 may be formed in the substrate 102 and surrounded by the first ILD layer 106a. Prior to forming the lower ILD layer 106L, one or more interconnecting metal layers are formed on the substrate 102 . In some embodiments, the one or more interconnect metal layers include a conductive contact 204 for the logic device 202 , a first interconnect line 206a of the first ILD layer 106a , and a second ILD layer ( by forming a second interconnection line 206b and a first interconnection via 208a of 106b and a third interconnection line 206c and a second interconnection via 208b of the third ILD layer 106c. can be formed. The one or more interconnect metal layers repeatedly form an ILD layer (eg, oxide, low-k dielectric, or ultra low-k dielectric) over the substrate 102 , and selectively etching the ILD layer to vias within the ILD layer. Define holes and/or trenches, form conductive material (eg, copper, aluminum, etc.) within the via holes and/or trenches, and perform a planarization process (eg, chemical mechanical planarization process) over the ILD layer It can be formed by removing excess conductive material from Conductive contact 204 , interconnect line 206a / 206b / 206c , and interconnect via 208a / 208b shown in FIG. 8B are shown for illustrative purposes, and interconnect lines, vias, and bottom ILD layer are shown. More or fewer layers of these can be tailored for a variety of applications. Semiconductor devices and interconnecting metal layers are omitted from the figures below.

도 9a의 사시도와 도 9b 및 도 9c의 단면도에 도시된 바와 같이, 몇몇 실시예들에서, 도면들에 도시된 130a, 130b, 130c와 같은 복수의 하부 상호연결 금속 라인들이 하부 상호연결 구조물(104a)의 일부로서 하부 ILD 층(106L) 내에 형성된다. 하부 상호연결 금속 라인들(130a, 130b, 130c)은 메모리 디바이스들의 제1 신호 라인들로서 기능할 수 있다. 몇몇 실시예들에서, 제1 신호 라인들은 비트 라인들이다. 하부 상호연결 금속 라인들(130a, 130b, 130c)은 하부 ILD 층(106L)을 선택적으로 에칭하여 하부 ILD 층(106L) 내에 트렌치를 정의하고, 트렌치 내에 도전성 재료(예를 들어, 텅스텐, 구리, 알루미늄 등)를 형성하고, 평탄화 프로세스(예를 들어, 화학 기계적 평탄화 프로세스)를 수행하여 하부 ILD 층(106L) 위로부터 과잉 도전성 재료를 제거함으로써 형성될 수 있다. 몇몇 실시예들에서, 하부 상호연결 금속 라인들(130a, 130b, 130c)은 상호연결 라인(206a-206c)과 동일한 도전성 재료에 의해 형성된다. 몇몇 대안적인 실시예들에서, 하부 상호연결 금속 라인들은 상호연결 라인(206a-206c)과 상이한 도전성 재료에 의해 형성된다. 몇몇 실시예들에서, 하부 상호연결 금속 라인들(130a, 130b, 130c)은 성막 프로세스에 이어 평탄화 프로세스(예를 들어, 화학 기계적 평탄화 프로세스)에 의해 형성되고, 약 5nm 내지 약 20nm 범위의 두께를 가질 수 있다.As shown in the perspective view of FIG. 9A and the cross-sectional views of FIGS. 9B and 9C , in some embodiments, a plurality of lower interconnecting metal lines, such as 130a, 130b, 130c shown in the figures, are connected to the lower interconnection structure 104a. ) as part of the lower ILD layer 106L. The lower interconnecting metal lines 130a , 130b , 130c may function as first signal lines of the memory devices. In some embodiments, the first signal lines are bit lines. The lower interconnect metal lines 130a, 130b, 130c selectively etch the lower ILD layer 106L to define a trench in the lower ILD layer 106L, and a conductive material (e.g., tungsten, copper, aluminum, etc.) and performing a planarization process (eg, a chemical mechanical planarization process) to remove excess conductive material from over the lower ILD layer 106L. In some embodiments, lower interconnection metal lines 130a , 130b , 130c are formed by the same conductive material as interconnect lines 206a - 206c . In some alternative embodiments, the lower interconnect metal lines are formed by a different conductive material than interconnect lines 206a - 206c. In some embodiments, lower interconnecting metal lines 130a , 130b , 130c are formed by a deposition process followed by a planarization process (eg, a chemical mechanical planarization process) and have a thickness in a range of about 5 nm to about 20 nm. can have

도 10a의 사시도와 도 10b 및 도 10c의 단면도에 도시된 바와 같이, 몇몇 실시예들에서, 선택기 채널 층(126') 및 메모리 층들의 스택(108')이 하부 상호연결 구조물(104a) 상에 형성된다. 몇몇 실시예들에서, 선택기 채널 층(126') 및 메모리 층들(108')은 물리적 기상 증착(PVD, physical vapor deposition), 화학 기상 증착(CVD, chemical vapor deposition), 원자 층 증착(ALD, atomic layer deposition) 등과 같은 성막 기법들에 의해 형성된다. 선택기 채널 층(126')은 약 10 nm 내지 약 50 nm 범위의 두께를 가질 수 있다. 몇몇 실시예들에서, 선택기 채널 층(126')은 산화물 반도체(OS) 재료를 포함한다. 예를 들어, 선택기 채널 층(126')은 예컨대 인듐 갈륨 아연 산화물(IGZO), 인듐 아연 산화물(IZO), 인듐 주석 산화물 또는 인듐 티타늄 산화물(ITO) 또는 다른 산화물 반도체 재료로 만들어질 수 있다. OS 재료는 초저 누설 전류(ION/IOFF>1013)를 제공하며, BEOL 프로세스들과 호환된다. 몇몇 실시예들에서, 메모리 층들(108')은 데이터 저장 구조물에 의해 분리된 하단 전극 층 및 상단 전극 층을 포함한다. 몇몇 실시예들에서, 하단 전극 층 및 상단 전극 층은 탄탈룸 질화물, 티타늄 질화물, 탄탈룸, 티타늄, 백금, 니켈, 하프늄, 지르코늄, 루테늄, 이리듐 등으로 만들어진다. 데이터 저장 구조물은 자기 터널 접합(MTJ), 스핀 밸브, 강유전성 커패시터 또는 접합, 하이-k 유전체 재료, 또는 상 변화 재료로 만들어질 수 있다. 메모리 층들(108')의 스택을 위한 다른 구조들도 또한 가능하다. 몇몇 실시예들에서, 하드 마스크 층(미도시)이 메모리 층들(108')의 스택 위에 형성되어 다음의 패터닝 프로세스를 위한 마스킹 기능을 제공할 수 있다. 다양한 실시예들에서, 하드 마스크 층은 금속(예를 들어, 티타늄, 티타늄 질화물, 탄탈륨 등) 및/또는 유전체 재료(예를 들어, 실리콘-질화물, 실리콘-탄화물 등)를 포함할 수 있다.As shown in the perspective view of FIG. 10A and the cross-sectional views of FIGS. 10B and 10C , in some embodiments, a selector channel layer 126 ′ and a stack 108 ′ of memory layers are disposed on the lower interconnect structure 104a . is formed In some embodiments, the selector channel layer 126 ′ and the memory layers 108 ′ may be formed by physical vapor deposition (PVD), chemical vapor deposition (CVD), atomic layer deposition (ALD), or atomic layer deposition (ALD). layer deposition) and the like. The selector channel layer 126 ′ may have a thickness ranging from about 10 nm to about 50 nm. In some embodiments, the selector channel layer 126 ′ includes an oxide semiconductor (OS) material. For example, the selector channel layer 126 ′ may be made of, for example, indium gallium zinc oxide (IGZO), indium zinc oxide (IZO), indium tin oxide or indium titanium oxide (ITO) or other oxide semiconductor material. The OS material provides ultra-low leakage current (I ON /I OFF >10 13 ) and is compatible with BEOL processes. In some embodiments, the memory layers 108 ′ include a bottom electrode layer and a top electrode layer separated by a data storage structure. In some embodiments, the bottom electrode layer and the top electrode layer are made of tantalum nitride, titanium nitride, tantalum, titanium, platinum, nickel, hafnium, zirconium, ruthenium, iridium, or the like. The data storage structure may be made of a magnetic tunnel junction (MTJ), a spin valve, a ferroelectric capacitor or junction, a high-k dielectric material, or a phase change material. Other structures for the stack of memory layers 108' are also possible. In some embodiments, a hard mask layer (not shown) may be formed over the stack of memory layers 108 ′ to provide a masking function for a subsequent patterning process. In various embodiments, the hard mask layer may include a metal (eg, titanium, titanium nitride, tantalum, etc.) and/or a dielectric material (eg, silicon-nitride, silicon-carbide, etc.).

도 11a의 사시도와 도 11b 및 도 11c의 단면도에 도시된 바와 같이, 몇몇 실시예들에서, 선택기 채널 층(126') 및 메모리 층들의 스택(108')은 행들 및 열들로 선택기 채널들(126)의 어레이 및 메모리 셀들(108)의 어레이를 형성하도록 패터닝된다. 몇몇 실시예들에서, 선택기 채널들(126) 및 메모리 셀들(108)은 수직으로 정렬된 측벽들로 형성된다. 선택기 채널들(126) 및 메모리 셀들(108)을 형성하기 위해 패터닝 이전에 연속적으로 메모리 층들(108') 및 선택기 채널 층들(126')을 형성함으로써, 제조 프로세스들이 단순화된다. 또한, 메모리 셀들(108)을 선택기 채널들(126) 바로 상부에 적층함으로써, 메모리 셀들(108)과 선택기 채널들(126) 사이의 연결이 제거되고, 따라서 전기적 성능이 향상된다. 몇몇 실시예들에서, 선택기 채널들(126)은 원형, 정사각형, 또는 다른 직교 다각형들로서 형성될 수 있다. 몇몇 대안적인 실시예들에서, 선택기 채널들(126)은 타원형 또는 직사각형과 같은 축 대칭적 형상을 가질 수 있다. 몇몇 추가의 대안적인 실시예들에서, 선택기 채널들(126)은 선택기 채널들(126)의 둘레를 더 확대하기 위해 다수의 핀들을 포함하고, 따라서 선택기 채널들(126)의 제어를 증가시킬 수 있다.As shown in the perspective view of FIG. 11A and the cross-sectional views of FIGS. 11B and 11C , in some embodiments, the selector channel layer 126 ′ and the stack 108 ′ of memory layers are arranged in rows and columns. ) and an array of memory cells 108 . In some embodiments, selector channels 126 and memory cells 108 are formed with vertically aligned sidewalls. By sequentially forming the memory layers 108' and the selector channel layers 126' prior to patterning to form the selector channels 126 and the memory cells 108, manufacturing processes are simplified. Also, by stacking the memory cells 108 directly over the selector channels 126 , the connection between the memory cells 108 and the selector channels 126 is eliminated, thus improving electrical performance. In some embodiments, the selector channels 126 may be formed as circles, squares, or other orthogonal polygons. In some alternative embodiments, the selector channels 126 may have an axisymmetric shape, such as an elliptical or rectangular shape. In some further alternative embodiments, the selector channels 126 may include multiple pins to further enlarge the perimeter of the selector channels 126 , thus increasing control of the selector channels 126 . have.

몇몇 대안적인 실시예에들서, 선택기 채널 층(126') 및 메모리 층들의 스택(108')은 별도로 형성되고 패터닝된다. 메모리 층들(108')의 스택은 선택기 채널들(126')을 형성하기 위해 선택기 채널 층(126')을 패터닝 한 후에 형성될 수 있다. 메모리 층들(108')의 스택은 그 후 이상의 추가적인 패터닝 프로세스에 의해 패터닝된다. 예를 들어, 상단 전극 및 데이터 저장 구조물을 정의하기 위해 제1 패터닝 프로세스가 수행된다. 그 후, 측벽 스페이서가 상단 전극 및 데이터 저장 구조물의 측벽들을 따라 형성될 수 있고, 하단 전극을 정의하기 위해 하단 금속 층에 대한 제2 패터닝 프로세스를 수행하기 위해 상단 전극과 함께 마스크로서 사용될 수 있다. 선택기 채널들(126)을 형성한 후에 메모리 층들(108')의 스택을 형성하고 패터닝함으로써, 메모리 셀들(108)의 레이아웃 설계에 더 많은 유연성이 제공된다.In some alternative embodiments, the selector channel layer 126 ′ and the stack 108 ′ of memory layers are formed and patterned separately. The stack of memory layers 108' may be formed after patterning the selector channel layer 126' to form the selector channels 126'. The stack of memory layers 108' is then patterned by a further additional patterning process. For example, a first patterning process is performed to define a top electrode and data storage structure. Thereafter, sidewall spacers may be formed along the top electrode and sidewalls of the data storage structure, and used as a mask with the top electrode to perform a second patterning process on the bottom metal layer to define the bottom electrode. By forming and patterning the stack of memory layers 108 ′ after forming the selector channels 126 , more flexibility is provided in the layout design of the memory cells 108 .

도 12a의 사시도와 도 12b 및 도 12c의 단면도에 도시된 바와 같이, 몇몇 실시예들에서, 선택기 게이트 유전체 층(132')은 하부 ILD 층(106L) 및 하부 상호연결 금속 라인들(130a, 130b, 130c)의 상부면들을 따라 형성되고, 선택기 채널들(126) 및 메모리 셀들(108)의 측벽들을 커버하여 위쪽으로 연장된다. 몇몇 실시예들에서, 선택 게이트 유전체 층(132')은 원자 층 증착(ALD, Atomic Layer Deposition)과 같은 성막 기법들에 의해 형성된다. 선택기 게이트 유전체 층(132')은 약 1 nm 내지 약 15 nm 범위의 두께를 가질 수 있다. 몇몇 실시예들에서, 선택기 게이트 유전체 층(132')은 특히 알루미늄 산화물(Al2O3), 하프늄 산화물(HfO2), 탄탈룸 산화물(Ta2O5), 지르코늄 산화물(ZrO2), 티타늄 산화물(TiO2), 스트론튬 티타늄 산화물(SrTiO3) 또는 기타 하이-k 유전체 재료를 포함한다.As shown in the perspective view of FIG. 12A and the cross-sectional views of FIGS. 12B and 12C , in some embodiments, the selector gate dielectric layer 132' comprises a lower ILD layer 106L and lower interconnecting metal lines 130a, 130b. , 130c) and extends upwardly to cover the sidewalls of the selector channels 126 and the memory cells 108 . In some embodiments, the select gate dielectric layer 132 ′ is formed by deposition techniques such as atomic layer deposition (ALD). The selector gate dielectric layer 132 ′ may have a thickness in the range of about 1 nm to about 15 nm. In some embodiments, the selector gate dielectric layer 132 ′ is, among others, aluminum oxide (Al 2 O 3 ), hafnium oxide (HfO 2 ), tantalum oxide (Ta 2 O 5 ), zirconium oxide (ZrO 2 ), titanium oxide. (TiO 2 ), strontium titanium oxide (SrTiO 3 ), or other high-k dielectric materials.

도 13a의 사시도와 도 13b 및 도 13c의 단면도에 도시된 바와 같이, 몇몇 실시예들에서, 선택기 게이트 전극 층(124')은 선택기 채널들(126) 및 메모리 셀들(108)을 둘러싸는 선택기 게이트 유전체 층(132') 상에 형성된다. 몇몇 실시예들에서, 선택기 게이트 전극 층(124')은 성막 프로세스에 의해 형성된다. 선택기 게이트 전극 층(124')은 약 20 nm 내지 약 150 nm 범위의 두께를 가질 수 있다. 몇몇 실시예들에서, 선택기 게이트 전극 층(124')은 티타늄 질화물(TiN), 탄탈룸 질화물(TaN), 텅스텐(W), 구리(Cu) 또는 기타 CMOS 콘택 금속들 및/또는 도핑된 반도체 재료(예를 들어, p-도핑된 또는 n-도핑된 폴리실리콘)에 의해 형성될 수 있다.As shown in the perspective view of FIG. 13A and the cross-sectional views of FIGS. 13B and 13C , in some embodiments, the selector gate electrode layer 124 ′ surrounds the selector channels 126 and the memory cells 108 . It is formed on the dielectric layer 132'. In some embodiments, the selector gate electrode layer 124 ′ is formed by a deposition process. The selector gate electrode layer 124 ′ may have a thickness ranging from about 20 nm to about 150 nm. In some embodiments, the selector gate electrode layer 124' is made of titanium nitride (TiN), tantalum nitride (TaN), tungsten (W), copper (Cu) or other CMOS contact metals and/or doped semiconductor material ( For example, p-doped or n-doped polysilicon).

도 14a의 사시도와 도 14b 및 도 14c의 단면도에 도시된 바와 같이, 몇몇 실시예들에서, 선택기 게이트 전극 층(124')은 복수의 선택기 게이트 전극들(124)을 형성하도록 패터닝된다. 몇몇 실시예들에서, 복수의 선택기 게이트 전극들(124)은 선택기 채널들(126)의 상부면과 실질적으로 정렬된 위치로 하강되고, 선택기 채널들(126)의 열을 각각 연결하는 복수의 평행 도전성 라인들로서 추가로 패터닝된다. 복수의 평행한 도전성 라인들은 메모리 디바이스에 대한 워드 라인들로 작용할 수 있다.As shown in the perspective view of FIG. 14A and the cross-sectional views of FIGS. 14B and 14C , in some embodiments, the selector gate electrode layer 124 ′ is patterned to form a plurality of selector gate electrodes 124 . In some embodiments, the plurality of selector gate electrodes 124 are lowered to a position substantially aligned with a top surface of the selector channels 126 , and a plurality of parallel rows each connecting the column of selector channels 126 . It is further patterned as conductive lines. A plurality of parallel conductive lines may act as word lines for the memory device.

도 15a의 사시도와 도 15b 및 도 15c의 단면도에 도시된 바와 같이, 몇몇 실시예들에서, 선택기 게이트 유전체 층(132')은 선택기 게이트 유전체(132)를 형성하도록 패터닝된다. 몇몇 실시예들에서, 마스킹 층(도면에 미도시)은 패터닝 프로세스 동안 제거되는 것으로부터 메모리 셀들 사이의 선택기 게이트 유전체 층(132')을 보호하기 위해 이용된다. 메모리 영역 외부의 선택기 게이트 유전체 층(132')은 마스킹 층에 따라 또는 선택기 게이트 유전체 층(132')에 대해 높은 선택도를 갖는 다른 구조들에 따라 제거될 수 있다. 예를 들어, 선택기 게이트 전극들(124)은 패터닝 프로세스 동안 마스킹 층과 함께 사용될 수 있고, 형성된 선택기 게이트 유전체(132)는 선택기 게이트 전극들(124)의 측벽들과 정렬된 외부 측벽들을 가질 수 있다. 선택기 게이트 유전체(132)는 메모리 셀들(108)의 전체 측벽들을 커버할 수 있고, 따라서 메모리 셀들(108)에 대한 절연 및 보호를 제공할 수 있다.As shown in the perspective view of FIG. 15A and the cross-sectional views of FIGS. 15B and 15C , in some embodiments, the selector gate dielectric layer 132 ′ is patterned to form the selector gate dielectric 132 . In some embodiments, a masking layer (not shown) is used to protect the selector gate dielectric layer 132' between the memory cells from being removed during the patterning process. The selector gate dielectric layer 132 ′ outside the memory region may be removed depending on the masking layer or other structures with high selectivity to the selector gate dielectric layer 132 ′. For example, the selector gate electrodes 124 may be used with a masking layer during the patterning process, and the formed selector gate dielectric 132 may have outer sidewalls aligned with the sidewalls of the selector gate electrodes 124 . . The selector gate dielectric 132 may cover the entire sidewalls of the memory cells 108 and thus may provide isolation and protection for the memory cells 108 .

도 16a의 사시도와 도 16b 및 도 16c의 단면도에 도시된 바와 같이, 몇몇 실시예들에서, 상부 ILD 층 (106U)은 메모리 셀들(108), 선택기 게이트 유전체(132) 및 선택기 게이트 전극들(124) 위에 형성된다. 도면들에 도시된 116a, 116b, 116c와 같은 복수의 상부 상호연결 금속 라인들은 상부 상호연결 금속 층(104b)의 일부로서 상부 ILD 층(106U) 내에 형성된다. 복수의 상부 상호연결 금속 라인들(116a, 116b, 116c)은 메모리 디바이스들의 제2 신호 라인들로서 기능할 수 있다. 몇몇 실시예들에서, 제2 신호 라인들은 소스 라인들이다. 상부 상호연결 금속 라인들(116a, 116b, 116c)은 상부 ILD 층(106U)을 선택적으로 에칭하여 상부 ILD 층(106U) 내에 트렌치를 정의하고, 트렌치 내에 도전성 재료(예를 들어, 텅스텐, 구리, 알루미늄 등)를 형성하고, 평탄화 프로세스(예를 들어, 화학 기계적 평탄화 프로세스)를 수행하여 상부 ILD 층(106U) 위로부터 과잉 도전성 재료를 제거함으로써 형성될 수 있다. 몇몇 실시예들에서, 상부 상호연결 금속 라인들(116a, 116b, 116c)은 하부 상호연결 금속 라인들(130a, 130b, 130c)과 동일한 도전성 재료에 의해 형성된다. 몇몇 대안적인 실시예들에서, 상부 상호연결 금속 라인들(116a, 116b, 116c)은 하부 상호연결 금속 라인들(130a, 130b, 130c)과 상이한 도전성 재료에 의해 형성된다. 몇몇 실시예들에서, 상부 상호연결 금속 라인들(116a, 116b, 116c)은 성막 프로세스에 이어 평탄화 프로세스(예를 들어, 화학 기계적 평탄화 프로세스)에 의해 형성되고, 약 5nm 내지 약 20nm 범위의 두께를 가질 수 있다.As shown in the perspective view of FIG. 16A and the cross-sectional views of FIGS. 16B and 16C , in some embodiments, top ILD layer 106U includes memory cells 108 , selector gate dielectric 132 and selector gate electrodes 124 . ) is formed on A plurality of upper interconnect metal lines, such as 116a, 116b, 116c shown in the figures, are formed in the upper ILD layer 106U as part of the upper interconnect metal layer 104b. The plurality of upper interconnecting metal lines 116a , 116b , 116c may function as second signal lines of the memory devices. In some embodiments, the second signal lines are source lines. The upper interconnect metal lines 116a, 116b, 116c selectively etch the upper ILD layer 106U to define a trench in the upper ILD layer 106U, and a conductive material (e.g., tungsten, copper, aluminum, etc.) and performing a planarization process (eg, a chemical mechanical planarization process) to remove excess conductive material from over the upper ILD layer 106U. In some embodiments, the upper interconnecting metal lines 116a , 116b , 116c are formed by the same conductive material as the lower interconnecting metal lines 130a , 130b , 130c . In some alternative embodiments, the upper interconnecting metal lines 116a , 116b , 116c are formed by a different conductive material than the lower interconnecting metal lines 130a , 130b , 130c . In some embodiments, the upper interconnecting metal lines 116a , 116b , 116c are formed by a deposition process followed by a planarization process (eg, a chemical mechanical planarization process) and have a thickness in a range of about 5 nm to about 20 nm. can have

도 17a의 사시도와 도 17b 및 도 17c의 단면도에 도시된 바와 같이, 도 8a 내지 도 16c에 설명된 프로세스들은 그 위에 적층된 추가적인 메모리 어레이들을 형성하기 위해 한 번 이상 반복될 수 있다. 예를 들어, 제2 메모리 어레이(300b)는 제1 메모리 어레이(300a) 위에 적층된 도면들에 도시되어 있다.As shown in the perspective view of FIG. 17A and the cross-sectional views of FIGS. 17B and 17C , the processes described in FIGS. 8A-16C may be repeated one or more times to form additional memory arrays stacked thereon. For example, the second memory array 300b is shown in the drawings stacked on the first memory array 300a.

도 18a의 사시도와 도 18b 및 도 18c의 단면도에 도시된 바와 같이, 추가적인 상호연결 구조물들은 메모리 어레이들을 위해 형성된다. 예를 들어, 상호연결 비아들은 신호 라인들에 도달하는 ILD 층들(106L, 106U)을 통해 형성될 수 있다.As shown in the perspective view of FIG. 18A and the cross-sectional views of FIGS. 18B and 18C , additional interconnect structures are formed for the memory arrays. For example, interconnect vias may be formed through the ILD layers 106L, 106U reaching the signal lines.

도 19a 내지 도 23c는 다른 형상을 갖는 선택기 게이트 전극들(124)을 갖는 도 13a 내지 도 18c에 대한 대안적인 BEOL 선택기를 포함하는 메모리 디바이스를 형성하는 방법의 몇몇 실시예들의 다양한 도면들을 예시한다. 도 19a 내지 도 19c에 도시된 바와 같이, 선택기 게이트 전극 층(124')은 선택기 게이트 유전체 층(132')의 상부면을 라이닝하는 컨포멀한 도전성 층으로서 선택기 게이트 유전체 층(132') 상에 형성된다. 도 20a 내지 도 20c에 도시된 바와 같이, 선택기 게이트 전극 층(124')은 선택기 채널들(126)의 측벽 표면들을 라이닝하는 복수의 선택기 게이트 전극들(124)을 형성하도록 패터닝된다. 몇몇 실시예들에서, 복수의 선택기 게이트 전극들(124)은 메모리 셀들(108)의 상부면보다 낮은 위치로 하강되고, 선택기 채널들(126)의 열을 각각 연결하는 복수의 평행 도전성 라인들로서 추가로 패터닝된다. 복수의 평행한 도전성 라인들은 메모리 디바이스에 대한 워드 라인들로 작용할 수 있다. 도 21a 내지 도 21c에 도시된 바와 같이, 선택기 게이트 유전체 층(132')은 선택기 게이트 유전체 층(132)을 형성하도록 패터닝된다. 몇몇 실시예들에서, 선택기 게이트 유전체(132)는 선택기 게이트 전극들(124)의 측벽들과 정렬된 외부 측벽들을 가질 수 있다. 선택기 게이트 유전체(132)는 메모리 셀들(108)의 전체 측벽들을 커버할 수 있고, 따라서 메모리 셀들(108)에 대한 절연 및 보호를 제공할 수 있다. 도 22a 내지 도 22c에 도시된 바와 같이, 상부 ILD 층(106U)은 메모리 셀들(108), 선택기 게이트 유전체(132) 및 선택기 게이트 전극들(124) 위에 형성된다. 도면들에 도시된 116a, 116b, 116c와 같은 복수의 상부 상호연결 금속 라인들은 상부 상호연결 금속 층(104b)의 일부로서 상부 ILD 층(106U) 내에 형성된다. 복수의 상부 상호연결 금속 라인들(116a, 116b, 116c)은 메모리 디바이스들의 제2 신호 라인들로서 기능할 수 있다. 몇몇 실시예들에서, 제2 신호 라인들은 소스 라인들이다. 도 23a 내지 도 23c에 도시된 바와 같이, 제2 메모리 어레이(300b)는 제1 메모리 어레이(300a) 위에 형성된다. 추가적인 메모리 어레이들이 후속하여 제2 메모리 어레이(300b) 위에 형성될 수 있다. 또한, 기판 관통 비아들을 포함하는 메모리 어레이들을 위해 추가적인 상호연결 구조물들이 형성되고, 또한 상부 상호연결 금속 층(104b) 위에 형성된 더 많은 상호연결 금속 층들을 포함할 수 있다.19A-23C illustrate various views of some embodiments of a method of forming a memory device including an alternative BEOL selector to FIGS. 13A-18C having selector gate electrodes 124 having a different shape. 19A-19C, the selector gate electrode layer 124' is a conformal conductive layer lining the top surface of the selector gate dielectric layer 132' on the selector gate dielectric layer 132'. is formed 20A-20C , the selector gate electrode layer 124 ′ is patterned to form a plurality of selector gate electrodes 124 lining the sidewall surfaces of the selector channels 126 . In some embodiments, the plurality of selector gate electrodes 124 are lowered to a position lower than the top surface of the memory cells 108 , further as a plurality of parallel conductive lines each connecting the column of selector channels 126 . patterned. A plurality of parallel conductive lines may act as word lines for the memory device. 21A-21C , the selector gate dielectric layer 132 ′ is patterned to form the selector gate dielectric layer 132 . In some embodiments, selector gate dielectric 132 may have outer sidewalls aligned with sidewalls of selector gate electrodes 124 . The selector gate dielectric 132 may cover the entire sidewalls of the memory cells 108 and thus may provide isolation and protection for the memory cells 108 . 22A-22C , an upper ILD layer 106U is formed over the memory cells 108 , the selector gate dielectric 132 and the selector gate electrodes 124 . A plurality of upper interconnect metal lines, such as 116a, 116b, 116c shown in the figures, are formed in the upper ILD layer 106U as part of the upper interconnect metal layer 104b. The plurality of upper interconnecting metal lines 116a , 116b , 116c may function as second signal lines of the memory devices. In some embodiments, the second signal lines are source lines. 23A to 23C , the second memory array 300b is formed on the first memory array 300a. Additional memory arrays may subsequently be formed over the second memory array 300b. Additionally, additional interconnect structures are formed for memory arrays including through-substrate vias, and may also include more interconnect metal layers formed over top interconnect metal layer 104b.

도 24는 BEOL 선택기를 포함하는 메모리 디바이스를 형성하는 방법(2400)의 몇몇 실시예들의 흐름도를 예시한다.24 illustrates a flow diagram of some embodiments of a method 2400 of forming a memory device including a BEOL selector.

본 명세서에서 방법(2400)은 일련의 동작들 또는 이벤트들로서 도시되고 설명되지만, 이러한 동작들 또는 이벤트들의 나타난 순서는 제한적인 의미로서 해석되어서는 안된다는 것을 알 것이다. 예를 들어, 몇몇의 동작들은 여기서 도시되고 및/또는 설명된 것 이외에도 이와 다른 순서로 발생할 수 있고 및/또는 다른 동작들 또는 이벤트들과 동시적으로 발생할 수 있다. 또한, 여기서의 설명의 하나 이상의 양태들 또는 실시예들을 구현하기 위해 도시된 동작들 모두가 필요한 것은 아닐 수 있다. 더 나아가, 여기서 도시된 동작들 중 하나 이상은 하나 이상의 별개의 동작들 및/또는 단계들로 수행될 수 있다.Although method 2400 is illustrated and described herein as a series of acts or events, it will be appreciated that the order in which they appear should not be construed in a limiting sense. For example, some acts may occur in a different order than that shown and/or described herein and/or may occur concurrently with other acts or events. Moreover, not all illustrated acts may be required to implement one or more aspects or embodiments of the description herein. Furthermore, one or more of the acts depicted herein may be performed in one or more separate acts and/or steps.

동작(2402)에서, 기판이 준비되고, 하부 상호연결 금속 층이 기판 위의 하부 레벨간 유전체(ILD, inter-level dielectric) 층 내에 형성된다. 몇몇 실시예들에서, 로직 디바이스들은 하부 상호연결 금속 층을 형성하기 전에 기판 내에 형성될 수 있다. 도 8a 내지 도 9c는 동작(2402)에 대응하는 몇몇 실시예들을 예시한다.At operation 2402 , a substrate is prepared and a lower interconnect metal layer is formed in a lower inter-level dielectric (ILD) layer over the substrate. In some embodiments, the logic devices may be formed in the substrate prior to forming the underlying interconnect metal layer. 8A-9C illustrate some embodiments corresponding to operation 2402 .

동작(2404)에서, 선택기들의 어레이 및 메모리 셀들의 어레이가 행들 및 열들로 형성된다. 몇몇 실시예들에서, 동작(2404)은 동작들(2406-2412)을 통해 형성될 수 있다.At operation 2404 , an array of selectors and an array of memory cells are formed into rows and columns. In some embodiments, operation 2404 may be formed through operations 2406 - 2412 .

동작(2406)에서, 몇몇 실시예들에서, 선택기 채널 층 및 메모리 층들의 스택이 하부 상호연결 금속 층 상에 형성된다. 도 10a 내지 도 10c는 동작(2406)에 대응하는 몇몇 실시예들을 예시한다.At operation 2406 , in some embodiments, a stack of selector channel layer and memory layers is formed on the underlying interconnect metal layer. 10A-10C illustrate some embodiments corresponding to operation 2406 .

동작(2408)에서, 선택기 채널 층 및 메모리 층들의 스택은 선택기 채널들 및 메모리 셀들을 형성하도록 패터닝된다. 도 11a 내지 도 11c는 동작(2408)에 대응하는 몇몇 실시예들을 예시한다.At operation 2408 , the stack of selector channel layer and memory layers is patterned to form selector channels and memory cells. 11A-11C illustrate some embodiments corresponding to operation 2408 .

동작(2410)에서, 몇몇 실시예들에서, 선택기 게이트 유전체 층이 메모리 셀들의 어레이 및 선택기 채널들을 커버하도록 형성되고, 선택기 게이트 전극 층이 선택기 게이트 유전체 층 상에 형성된다. 도 12a 내지 도 13c 또는 도 19a 내지 도 19c는 동작(2410)에 대응하는 몇몇 실시예들을 예시한다.At operation 2410 , in some embodiments, a selector gate dielectric layer is formed to cover the array of memory cells and the selector channels, and a selector gate electrode layer is formed over the selector gate dielectric layer. 12A-13C or 19A-19C illustrate some embodiments corresponding to operation 2410 .

동작(2412)에서, 선택기 게이트 전극 층은 복수의 선택기 게이트 전극들을 형성하도록 패터닝되고, 선택기 게이트 유전체 층은 선택기 게이트 유전체 층을 형성하도록 패터닝된다. 도 14a 내지 도 15c 또는 도 20a 내지 도 21c는 동작(2412)에 대응하는 몇몇 실시예들을 예시한다.At operation 2412 , the selector gate electrode layer is patterned to form a plurality of selector gate electrodes, and the selector gate dielectric layer is patterned to form a selector gate dielectric layer. 14A-15C or 20A-21C illustrate some embodiments corresponding to operation 2412 .

동작(2414)에서, 몇몇 실시예들에서 상부 ILD 층(106U) 내에 형성되도록 복수의 상부 상호연결 금속 라인들을 갖는 상부 상호연결 금속 층이 형성된다. 도 16a 내지 도 16c 또는 도 22a 내지 도 22c는 동작(2414)에 대응하는 몇몇 실시예들을 예시한다.At operation 2414 , a top interconnect metal layer having a plurality of top interconnect metal lines is formed to be formed within top ILD layer 106U in some embodiments. 16A-16C or 22A-22C illustrate some embodiments corresponding to operation 2414 .

동작(2416)에서, 하나 이상의 추가적인 메모리 어레이들이 적층된다. 도 17a 내지 도 17c 또는 도 23a 내지 도 23c는 동작(2416)에 대응하는 몇몇 실시예들을 예시한다.At operation 2416 , one or more additional memory arrays are stacked. 17A-17C or 23A-23C illustrate some embodiments corresponding to operation 2416 .

동작(2418)에서, 추가적인 상호연결 구조물들이 메모리 어레이들에 대해 형성된다. 도 18a 내지 도 18c 또는 도 23a 내지 도 23c는 동작(2418)에 대응하는 몇몇 실시예들을 예시한다.At operation 2418 , additional interconnect structures are formed for the memory arrays. 18A-18C or 23A-23C illustrate some embodiments corresponding to operation 2418 .

따라서, 몇몇 실시예들에서, 본 개시물은 BEOL 상호연결 구조물에 삽입된 BEOL 선택기를 갖는 메모리 디바이스(예를 들어, MRAM 또는 ReRAM, 또는 PCRAM 디바이스)에 관한 것이다. Accordingly, in some embodiments, the present disclosure relates to a memory device (eg, MRAM or ReRAM, or PCRAM device) having a BEOL selector inserted into a BEOL interconnect structure.

몇몇 실시예들에서, 본 개시물은 메모리 디바이스에 관한 것이다. 메모리 디바이스는 기판, 및 기판 위에 배치되는 하부 상호연결 금속 라인을 포함한다. 메모리 디바이스는 하부 상호연결 금속 라인 위에 배치된 선택기 채널, 및 선택기 채널의 측벽 주위를 랩핑하고 선택기 게이트 유전체에 의해 선택기 채널로부터 분리되는 선택기 게이트 전극을 더 포함한다. 메모리 디바이스는 선택기 채널 위에 배치되고 선택기 채널에 전기적으로 연결되는 메모리 셀, 및 메모리 셀 위에 배치되는 상부 상호연결 금속 라인을 더 포함한다.In some embodiments, the present disclosure relates to a memory device. The memory device includes a substrate and an underlying interconnecting metal line disposed over the substrate. The memory device further includes a selector channel disposed over the lower interconnect metal line, and a selector gate electrode that wraps around sidewalls of the selector channel and is separated from the selector channel by a selector gate dielectric. The memory device further includes a memory cell disposed over the selector channel and electrically coupled to the selector channel, and an upper interconnecting metal line disposed over the memory cell.

다른 실시예들에서, 본 개시물은 메모리 디바이스에 관한 것이다. 메모리 디바이스는 기판, 및 기판 위에 배치되는 상호연결 구조물을 포함한다. 상호연결 구조물은 서로의 위에 적층되고 복수의 하부 상호연결 금속 라인들 및 복수의 상부 상호연결 금속 라인들을 포함하는 복수의 상호연결 금속 층들을 갖는다. 메모리 디바이스는 상호연결 구조물 내에 그리고 하부 상호연결 금속 라인들 위에 배치되는 복수의 선택기들을 더 포함한다. 복수의 선택기들은 행들 및 열들의 어레이로 배열된다. 메모리 디바이스는 행들 및 열들의 어레이로 대응하여 복수의 선택기들의 상단 상에 배치되는 복수의 메모리 셀들을 더 포함한다.In other embodiments, the present disclosure relates to a memory device. A memory device includes a substrate and an interconnect structure disposed over the substrate. The interconnect structure has a plurality of interconnecting metal layers stacked on top of each other and including a plurality of lower interconnecting metal lines and a plurality of upper interconnecting metal lines. The memory device further includes a plurality of selectors disposed within the interconnect structure and over the underlying interconnect metal lines. The plurality of selectors are arranged in an array of rows and columns. The memory device further includes a plurality of memory cells disposed on top of the corresponding plurality of selectors in an array of rows and columns.

또 다른 실시예들에서, 본 개시물은 메모리 디바이스를 형성하는 방법에 관한 것이다. 방법은 기판 위에 하부 상호연결 금속 층을 형성하는 단계, 및 하부 상호연결 금속 층 위에 복수의 선택기들 및 복수의 메모리 셀들을 형성하는 단계를 포함한다. 방법은 복수의 메모리 셀들 위에 상부 상호연결 금속 층을 형성하는 단계를 더 포함한다.In yet other embodiments, the present disclosure relates to a method of forming a memory device. The method includes forming a lower interconnect metal layer over a substrate, and forming a plurality of selectors and a plurality of memory cells over the lower interconnect metal layer. The method further includes forming an upper interconnect metal layer over the plurality of memory cells.

전술한 내용은 본 기술분야의 당업자들이 본 개시물의 양상들을 더 잘 이해할 수 있도록 몇몇 실시예들의 피처들을 약술하였다. 본 기술분야의 당업자들은 본 명세서에서 소개한 실시예들의 동일한 목적들을 수행하고 그리고/또는 동일한 장점들을 달성하기 위한 다른 프로세스들 및 구조들을 설계하거나 또는 수정하기 위한 기초로서 본 개시내용을 자신들이 손쉽게 이용할 수 있다는 것을 인식해야 한다. 본 기술분야의 당업자들은 또한 이와 같은 등가적 구성들은 본 개시물의 사상과 범위를 벗어나지 않으며, 본 개시물의 사상과 범위를 벗어나지 않고 당업자들이 다양한 변경들, 대체들, 및 개조들을 본 발명에서 행할 수 있음을 알아야 한다.The foregoing has outlined features of some embodiments so that those skilled in the art may better understand the aspects of the present disclosure. Those skilled in the art will readily use the present disclosure as a basis for designing or modifying other processes and structures for carrying out the same purposes and/or achieving the same advantages of the embodiments introduced herein. You have to realize that you can. Those skilled in the art will also recognize that such equivalent constructions do not depart from the spirit and scope of the present disclosure, and that those skilled in the art can make various changes, substitutions, and alterations in the present invention without departing from the spirit and scope of the present disclosure. should know

실시예들Examples

실시예 1. 메모리 디바이스에 있어서,Embodiment 1. A memory device comprising:

기판;Board;

상기 기판 위에 배치되는 하부 상호연결 금속 라인;a lower interconnection metal line disposed over the substrate;

상기 하부 상호연결 금속 라인 위에 배치되는 선택기 채널;a selector channel disposed above the lower interconnecting metal line;

상기 선택기 채널의 측벽 주위를 랩핑하고, 선택기 게이트 유전체에 의해 상기 선택기 채널로부터 분리되는 선택기 게이트 전극;a selector gate electrode wrapped around a sidewall of the selector channel and separated from the selector channel by a selector gate dielectric;

상기 선택기 채널 위에 배치되고, 상기 선택기 채널에 전기적으로 연결되는 메모리 셀; 및a memory cell disposed over the selector channel and electrically coupled to the selector channel; and

상기 메모리 셀 위에 배치되는 상부 상호연결 금속 라인a top interconnect metal line disposed over the memory cell

을 포함하는, 메모리 디바이스.A memory device comprising:

실시예 2. 실시예 1에 있어서,Example 2. The method of Example 1,

상기 메모리 셀은 상기 선택기 채널과 직접 접촉하는 것인, 메모리 디바이스.and the memory cell is in direct contact with the selector channel.

실시예 3. 실시예 1에 있어서,Example 3. The method of Example 1,

상기 선택기 채널은 상기 메모리 셀의 측벽과 정렬된 측벽을 갖는 것인, 메모리 디바이스.and the selector channel has a sidewall aligned with a sidewall of the memory cell.

실시예 4. 실시예 1에 있어서,Example 4. The method of Example 1,

상기 선택기 게이트 전극은 상기 선택기 게이트 유전체의 하부 측벽을 커버하는 금속 층을 포함하는 것인, 메모리 디바이스.and the selector gate electrode comprises a metal layer covering a lower sidewall of the selector gate dielectric.

실시예 5. 실시예 1에 있어서,Example 5. The method of Example 1,

상기 선택기 채널은 산화물 반도체 재료를 포함하는 것인, 메모리 디바이스.wherein the selector channel comprises an oxide semiconductor material.

실시예 6. 실시예 1에 있어서,Example 6. The method of Example 1,

상기 선택기 채널은 평면도에서 원형 또는 타원형 형상을 갖는 것인, 메모리 디바이스.wherein the selector channel has a circular or elliptical shape in plan view.

실시예 7. 실시예 1에 있어서,Example 7. The method of Example 1,

상기 메모리 셀은:The memory cell comprises:

상기 선택기 채널 위에 배치되는 하단 전극; a bottom electrode disposed above the selector channel;

상기 하단 전극 위에 배치되는 데이터 저장 구조물; 및 a data storage structure disposed on the lower electrode; and

상기 데이터 저장 구조물 위에 배치되는 상단 전극 a top electrode disposed over the data storage structure

을 포함하는 것인, 메모리 디바이스.A memory device comprising:

실시예 8. 실시예 1에 있어서,Example 8. The method of Example 1,

상기 선택기 채널은 평면도에서 정사각형 또는 직사각형 형상을 갖는 것인, 메모리 디바이스.wherein the selector channel has a square or rectangular shape in plan view.

실시예 9. 실시예 1에 있어서,Example 9. The method of Example 1,

상기 선택기 게이트 유전체는 알루미늄 산화물(Al2O3)을 포함하는 것인, 메모리 디바이스.wherein the selector gate dielectric comprises aluminum oxide (Al 2 O 3 ).

실시예 10. 실시예 1에 있어서,Example 10. The method of Example 1,

상기 선택기 채널은 인듐 갈륨 아연 산화물(IGZO)로 만들어지는 것인, 메모리 디바이스.wherein the selector channel is made of indium gallium zinc oxide (IGZO).

실시예 11. 메모리 디바이스에 있어서,Embodiment 11. A memory device comprising:

기판;Board;

상기 기판 위에 배치되는 상호연결 구조물 ― 상기 상호연결 구조물은 서로의 위에 적층되고 복수의 하부 상호연결 금속 라인들 및 복수의 상부 상호연결 금속 라인들을 포함하는 복수의 상호연결 금속 층들을 가짐 ― ;an interconnect structure disposed over the substrate, the interconnect structure having a plurality of interconnect metal layers stacked on top of each other and comprising a plurality of lower interconnecting metal lines and a plurality of upper interconnecting metal lines;

상기 상호연결 구조물 내에 그리고 상기 하부 상호연결 금속 라인들 위에 배치되는 복수의 선택기들 ― 상기 복수의 선택기들은 행들 및 열들의 어레이로 배열됨 ― ; 및a plurality of selectors disposed within the interconnect structure and above the lower interconnecting metal lines, the plurality of selectors arranged in an array of rows and columns; and

상기 행들 및 열들의 어레이로 대응하여 상기 복수의 선택기들의 상단 상에 배치되는 복수의 메모리 셀들a plurality of memory cells disposed on top of the plurality of selectors corresponding to the array of rows and columns

을 포함하는, 메모리 디바이스.A memory device comprising:

실시예 12. 실시예 11에 있어서,Example 12. The method of Example 11,

상기 복수의 선택기은 각각:Each of the plurality of selectors is:

상기 하부 상호연결 금속 라인 위에 배치되는 선택기 채널; a selector channel disposed above the lower interconnecting metal line;

상기 선택기 채널의 측벽 주위를 랩핑하는 선택기 게이트 유전체; 및 a selector gate dielectric that wraps around sidewalls of the selector channel; and

상기 선택기 게이트 유전체 주위에 배치되고, 상기 선택기 게이트 유전체에 의해 상기 선택기 채널로부터 분리되는 선택기 게이트 전극 a selector gate electrode disposed around the selector gate dielectric and separated from the selector channel by the selector gate dielectric

을 포함하는 것인, 메모리 디바이스.A memory device comprising:

실시예 13. 실시예 12에 있어서,Example 13. The method of Example 12,

상기 복수의 메모리 셀들은 각각:Each of the plurality of memory cells includes:

하단 전극; bottom electrode;

상기 하단 전극 위에 배치되는 데이터 저장 구조물; 및 a data storage structure disposed on the lower electrode; and

상기 데이터 저장 구조물 위에 배치되는 상단 전극 a top electrode disposed over the data storage structure

을 포함하는 것인, 메모리 디바이스.A memory device comprising:

실시예 14. 실시예 13에 있어서,Example 14. The method of Example 13,

상기 선택기들의 행은, 상기 선택기들의 행의 상기 선택기 채널들 바로 아래에 배치되는 상기 복수의 하부 상호연결 금속 라인들 중 하나의 하부 상호연결 금속 라인을 공유하는 것인, 메모리 디바이스.wherein the row of selectors shares a lower interconnecting metal line of the plurality of lower interconnecting metal lines disposed directly below the selector channels of the row of selectors.

실시예 15. 실시예 14에 있어서,Example 15. The method of Example 14,

상기 선택기들의 행은, 상기 메모리 셀들의 행의 상기 상단 전극들 바로 상부에 배치되는 상기 복수의 상부 상호연결 금속 라인들 중 하나의 상부 상호연결 금속 라인을 공유하는 것인, 메모리 디바이스.wherein the row of selectors shares an upper interconnecting metal line of the plurality of upper interconnecting metal lines disposed directly over the top electrodes of the row of memory cells.

실시예 16. 실시예 15에 있어서,Example 16. The method of Example 15,

상기 선택기들의 열은 상기 선택기들의 열의 상기 선택기 게이트 전극들을 연결하는 워드 라인을 공유하는 것인, 메모리 디바이스.and the column of selectors share a word line connecting the selector gate electrodes of the column of selectors.

실시예 17. 실시예 16에 있어서,Example 17. The method of Example 16,

상기 선택기 게이트 전극들은, 상기 선택기들의 열 사이에 배치되고 상기 선택기들의 열의 상기 선택기 채널들의 하부 측벽들을 따라 연장되는 컨포멀한 도전성 층인 것인, 메모리 디바이스.wherein the selector gate electrodes are a conformal conductive layer disposed between the column of selectors and extending along lower sidewalls of the selector channels of the column of selectors.

실시예 18. 메모리 디바이스를 제조하는 방법에 있어서,Embodiment 18. A method of manufacturing a memory device, comprising:

기판 위에 하부 상호연결 금속 층을 형성하는 단계;forming a lower interconnect metal layer over the substrate;

상기 하부 상호연결 금속 층 위에 복수의 선택기들 및 복수의 메모리 셀들을 형성하는 단계; 및forming a plurality of selectors and a plurality of memory cells over the lower interconnect metal layer; and

상기 복수의 메모리 셀들 위에 상부 상호연결 금속 층을 형성하는 단계forming a top interconnect metal layer over the plurality of memory cells;

를 포함하는, 메모리 디바이스를 제조하는 방법.A method of manufacturing a memory device comprising:

실시예 19. 실시예 18에 있어서,Example 19. The method of Example 18,

상기 복수의 선택기들 및 상기 복수의 메모리 셀들을 형성하는 단계는:Forming the plurality of selectors and the plurality of memory cells may include:

상기 하부 상호연결 금속 층 상에 선택기 채널 층을 형성하는 단계; forming a selector channel layer on the lower interconnect metal layer;

상기 선택기 채널 층 상에 메모리 층들의 스택을 형성하는 단계; forming a stack of memory layers on the selector channel layer;

행들 및 열들의 어레이로 복수의 선택기 채널들 및 복수의 메모리 셀들을 형성하기 위해 상기 메모리 층들의 스택 및 상기 선택기 채널 층을 패터닝하는 단계; 및 patterning the stack of memory layers and the selector channel layer to form a plurality of selector channels and a plurality of memory cells in an array of rows and columns; and

상기 복수의 선택기 채널들을 둘러싸는 선택기 게이트 전극 층 및 선택기 게이트 유전체 층을 형성하고 패터닝하는 단계forming and patterning a selector gate electrode layer and a selector gate dielectric layer surrounding the plurality of selector channels;

를 포함하는 것인, 메모리 디바이스를 제조하는 방법.A method of manufacturing a memory device comprising:

실시예 20. 실시예 18에 있어서,Example 20. The method of Example 18,

상기 상부 상호연결 금속 층 위에 적층된 제2 복수의 선택기들 및 제2 복수의 메모리 셀들을 형성하는 단계를 더 포함하는, 메모리 디바이스를 제조하는 방법.and forming a second plurality of selectors and a second plurality of memory cells stacked over the upper interconnect metal layer.

Claims (10)

메모리 디바이스에 있어서,
기판;
상기 기판 위에 배치되는 하부 상호연결 금속 라인;
상기 하부 상호연결 금속 라인 위에 배치되는 선택기 채널;
상기 선택기 채널의 측벽 주위를 랩핑하고, 선택기 게이트 유전체에 의해 상기 선택기 채널로부터 분리되는 선택기 게이트 전극;
상기 선택기 채널 위에 배치되고, 상기 선택기 채널에 전기적으로 연결되는 메모리 셀; 및
상기 메모리 셀 위에 배치되는 상부 상호연결 금속 라인
을 포함하는, 메모리 디바이스.
A memory device comprising:
Board;
a lower interconnection metal line disposed over the substrate;
a selector channel disposed above the lower interconnecting metal line;
a selector gate electrode wrapped around a sidewall of the selector channel and separated from the selector channel by a selector gate dielectric;
a memory cell disposed over the selector channel and electrically coupled to the selector channel; and
a top interconnect metal line disposed over the memory cell
A memory device comprising:
제1항에 있어서,
상기 메모리 셀은 상기 선택기 채널과 직접 접촉하는 것인, 메모리 디바이스.
According to claim 1,
and the memory cell is in direct contact with the selector channel.
제1항에 있어서,
상기 선택기 채널은 상기 메모리 셀의 측벽과 정렬된 측벽을 갖는 것인, 메모리 디바이스.
According to claim 1,
and the selector channel has a sidewall aligned with a sidewall of the memory cell.
제1항에 있어서,
상기 선택기 게이트 전극은 상기 선택기 게이트 유전체의 하부 측벽을 커버하는 금속 층을 포함하는 것인, 메모리 디바이스.
According to claim 1,
and the selector gate electrode comprises a metal layer covering a lower sidewall of the selector gate dielectric.
제1항에 있어서,
상기 선택기 채널은 산화물 반도체 재료를 포함하는 것인, 메모리 디바이스.
According to claim 1,
wherein the selector channel comprises an oxide semiconductor material.
제1항에 있어서,
상기 선택기 채널은 평면도에서 원형 또는 타원형 형상을 갖는 것인, 메모리 디바이스.
According to claim 1,
wherein the selector channel has a circular or elliptical shape in plan view.
제1항에 있어서,
상기 메모리 셀은:
상기 선택기 채널 위에 배치되는 하단 전극;
상기 하단 전극 위에 배치되는 데이터 저장 구조물; 및
상기 데이터 저장 구조물 위에 배치되는 상단 전극
을 포함하는 것인, 메모리 디바이스.
According to claim 1,
The memory cell comprises:
a bottom electrode disposed above the selector channel;
a data storage structure disposed on the lower electrode; and
a top electrode disposed over the data storage structure
A memory device comprising:
제1항에 있어서,
상기 선택기 채널은 평면도에서 정사각형 또는 직사각형 형상을 갖는 것인, 메모리 디바이스.
According to claim 1,
wherein the selector channel has a square or rectangular shape in plan view.
메모리 디바이스에 있어서,
기판;
상기 기판 위에 배치되는 상호연결 구조물 ― 상기 상호연결 구조물은 서로의 위에 적층되고 복수의 하부 상호연결 금속 라인들 및 복수의 상부 상호연결 금속 라인들을 포함하는 복수의 상호연결 금속 층들을 가짐 ― ;
상기 상호연결 구조물 내에 그리고 상기 하부 상호연결 금속 라인들 위에 배치되는 복수의 선택기들 ― 상기 복수의 선택기들은 행들 및 열들의 어레이로 배열됨 ― ; 및
상기 행들 및 열들의 어레이로 대응하여 상기 복수의 선택기들의 상단 상에 배치되는 복수의 메모리 셀들
을 포함하는, 메모리 디바이스.
A memory device comprising:
Board;
an interconnect structure disposed over the substrate, the interconnect structure having a plurality of interconnect metal layers stacked on top of each other and comprising a plurality of lower interconnecting metal lines and a plurality of upper interconnecting metal lines;
a plurality of selectors disposed within the interconnect structure and above the lower interconnecting metal lines, the plurality of selectors arranged in an array of rows and columns; and
a plurality of memory cells disposed on top of the plurality of selectors corresponding to the array of rows and columns
A memory device comprising:
메모리 디바이스를 제조하는 방법에 있어서,
기판 위에 하부 상호연결 금속 층을 형성하는 단계;
상기 하부 상호연결 금속 층 위에 복수의 선택기들 및 복수의 메모리 셀들을 형성하는 단계; 및
상기 복수의 메모리 셀들 위에 상부 상호연결 금속 층을 형성하는 단계
를 포함하는, 메모리 디바이스를 제조하는 방법.
A method of manufacturing a memory device, comprising:
forming a lower interconnect metal layer over the substrate;
forming a plurality of selectors and a plurality of memory cells over the lower interconnect metal layer; and
forming a top interconnect metal layer over the plurality of memory cells;
A method of manufacturing a memory device comprising:
KR1020210013587A 2020-05-28 2021-01-29 Back-end-of-line selector for memory device KR20210148857A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020230132388A KR20230144511A (en) 2020-05-28 2023-10-05 Back-end-of-line selector for memory device

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202063031046P 2020-05-28 2020-05-28
US63/031,046 2020-05-28
US17/109,427 US20210375990A1 (en) 2020-05-28 2020-12-02 Back-end-of-line selector for memory device
US17/109,427 2020-12-02

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020230132388A Division KR20230144511A (en) 2020-05-28 2023-10-05 Back-end-of-line selector for memory device

Publications (1)

Publication Number Publication Date
KR20210148857A true KR20210148857A (en) 2021-12-08

Family

ID=77570287

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020210013587A KR20210148857A (en) 2020-05-28 2021-01-29 Back-end-of-line selector for memory device
KR1020230132388A KR20230144511A (en) 2020-05-28 2023-10-05 Back-end-of-line selector for memory device

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020230132388A KR20230144511A (en) 2020-05-28 2023-10-05 Back-end-of-line selector for memory device

Country Status (5)

Country Link
US (1) US20210375990A1 (en)
KR (2) KR20210148857A (en)
CN (1) CN113380850A (en)
DE (1) DE102020133183A1 (en)
TW (1) TWI763355B (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102021134506A1 (en) 2020-12-31 2022-06-30 Lg Display Co., Ltd. Display device with a light-emitting device

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11515313B2 (en) * 2020-06-22 2022-11-29 Taiwan Semiconductor Manufacturing Company Limited Gated ferroelectric memory cells for memory cell array and methods of forming the same
US11839071B2 (en) * 2021-07-22 2023-12-05 Taiwan Semiconductor Manufacturing Company Limited Vertical access transistors and methods for forming the same
US11764304B2 (en) * 2021-07-28 2023-09-19 Powerchip Semiconductor Manufacturing Corporation Semiconductor device and method of manufacturing the same

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010114143A (en) * 2008-11-04 2010-05-20 Toshiba Corp Semiconductor memory device, and method of manufacturing the same
US8310868B2 (en) * 2010-09-17 2012-11-13 Micron Technology, Inc. Spin torque transfer memory cell structures and methods
US20150249113A1 (en) * 2014-02-28 2015-09-03 Kabushiki Kaisha Toshiba Nonvolatile memory device
US10224373B2 (en) * 2017-06-28 2019-03-05 Sandisk Technologies Llc Three-dimensional ReRAM memory device employing replacement word lines and methods of making the same
US10256402B1 (en) * 2017-09-25 2019-04-09 Sandisk Technologies Llc ReRAM read state verification based on cell turn-on characteristics
US10297312B1 (en) * 2017-12-06 2019-05-21 Sandisk Technologies Llc Resistive memory cell programmed by metal alloy formation and method of operating thereof
US20200127052A1 (en) * 2017-12-28 2020-04-23 Spin Memory, Inc. Memory cell using selective epitaxial vertical channel mos selector transistor
US10355045B1 (en) * 2017-12-29 2019-07-16 Spin Memory, Inc. Three dimensional perpendicular magnetic junction with thin-film transistor
US10797107B2 (en) * 2018-02-27 2020-10-06 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor memory device including phase change material layers and method for manufacturing thereof
US10930333B2 (en) * 2018-08-29 2021-02-23 Taiwan Semiconductor Manufacturing Co., Ltd. Embedded ferroelectric memory cell
US10985316B2 (en) * 2018-09-27 2021-04-20 Taiwan Semiconductor Manufacturing Co., Ltd. Bottom electrode structure in memory device
US10700125B2 (en) * 2018-09-28 2020-06-30 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated system chip with magnetic module
US10971684B2 (en) * 2018-10-30 2021-04-06 Taiwan Semiconductor Manufacturing Co., Ltd. Intercalated metal/dielectric structure for nonvolatile memory devices
US10910435B2 (en) * 2019-03-27 2021-02-02 International Business Machines Corporation Stackable symmetrical operation memory bit cell structure with bidirectional selectors

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102021134506A1 (en) 2020-12-31 2022-06-30 Lg Display Co., Ltd. Display device with a light-emitting device

Also Published As

Publication number Publication date
CN113380850A (en) 2021-09-10
KR20230144511A (en) 2023-10-16
DE102020133183A1 (en) 2021-12-02
TWI763355B (en) 2022-05-01
TW202145609A (en) 2021-12-01
US20210375990A1 (en) 2021-12-02

Similar Documents

Publication Publication Date Title
TWI777513B (en) Memory device and fabricating method thereof
KR101893643B1 (en) Rram device with data storage layer having increased height
US8884444B2 (en) Nonvolatile memory device and manufacturing method thereof
TWI763355B (en) Memory device and method of forming the same
US20180006089A1 (en) Semiconductor device and method of manufacturing the same
KR102212808B1 (en) Memory layout for reduced line loading
US9087715B2 (en) Nonvolatile semiconductor memory device and method of manufacturing the same
US20130137237A1 (en) Semiconductor memory device and method of manufacturing the same
US20170025354A1 (en) Contact Plug Extension for Bit Line Connection
CN113437079A (en) Memory device and method of manufacturing the same
KR20150026118A (en) Magnetic memory device
US20210343740A1 (en) Nonvolatile memory device and method for fabricating the same
US20220059580A1 (en) Data storage element and manufacturing method thereof
US20230209836A1 (en) Memory device and method for fabricating the same
US20210399141A1 (en) Dual-layer channel transistor and methods of forming same
US11342381B2 (en) Resistive random-access memory device
TW202245218A (en) Memory device and method of forming the same
KR20150022518A (en) Semiconductor device including vertical transistors and method for fabricating the same
US10515997B2 (en) Memory device and method for manufacturing the same
TWI789603B (en) Integrated chip and method for forming the same
KR102661235B1 (en) Data storage element and manufacturing method thereof
US20230309317A1 (en) Semiconductor Memory Device
TW202218136A (en) Ferroelectric random access memory devices and methods of forming thereof
TW202245145A (en) Semiconductor structure and forming method thereof

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E90F Notification of reason for final refusal
E601 Decision to refuse application