KR20210147861A - Semiconductor device and method - Google Patents

Semiconductor device and method Download PDF

Info

Publication number
KR20210147861A
KR20210147861A KR1020210020607A KR20210020607A KR20210147861A KR 20210147861 A KR20210147861 A KR 20210147861A KR 1020210020607 A KR1020210020607 A KR 1020210020607A KR 20210020607 A KR20210020607 A KR 20210020607A KR 20210147861 A KR20210147861 A KR 20210147861A
Authority
KR
South Korea
Prior art keywords
source
dielectric
layer
drain
region
Prior art date
Application number
KR1020210020607A
Other languages
Korean (ko)
Other versions
KR102515314B1 (en
Inventor
후안-치에 수
청-치 추앙
상-웬 창
이-순 치우
페이-유 왕
칭-웨이 차이
치-하오 왕
Original Assignee
타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US17/127,095 external-priority patent/US11532703B2/en
Application filed by 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 filed Critical 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Publication of KR20210147861A publication Critical patent/KR20210147861A/en
Application granted granted Critical
Publication of KR102515314B1 publication Critical patent/KR102515314B1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823475MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823814Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823857Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate insulating layers, e.g. different gate insulating layer thicknesses, particular gate insulator materials or particular gate insulator implants
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823871Complementary field-effect transistors, e.g. CMOS interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • H01L23/5286Arrangements of power or ground buses
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/535Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including internal interconnections, e.g. cross-under constructions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0676Nanowires or nanotubes oriented perpendicular or at an angle to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41791Source or drain electrodes for field effect devices for transistors with a horizontal current flow in a vertical sidewall, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66439Unipolar field-effect transistors with a one- or zero-dimensional channel, e.g. quantum wire FET, in-plane gate transistor [IPG], single electron transistor [SET], striped channel transistor, Coulomb blockade transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/775Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76895Local interconnects; Local pads, as exemplified by patent document EP0896365

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Nanotechnology (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Materials Engineering (AREA)
  • Geometry (AREA)
  • Mathematical Physics (AREA)
  • Theoretical Computer Science (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Thin Film Transistor (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)

Abstract

In an embodiment, a device comprises: a power rail contact; an isolation region on the power rail contact; a first dielectric fin on the isolation region; a second dielectric pin adjacent to the isolation region and the power rail contact; a first source/drain region on the second dielectric fin; and a source/drain contact between the first source/drain region and the first dielectric fin. The source/drain contact is in contact with the top surface of the first source/drain region, the side surface of the first source/drain region, and the top surface of the power rail contact.

Description

반도체 디바이스 및 방법{SEMICONDUCTOR DEVICE AND METHOD}Semiconductor device and method

우선권 주장 및 상호 참조Priority Claims and Cross-References

본 출원은 2020년 5월 27일에 출원된 미국 특허 가출원 제63/030,544호의 이익을 주장하며, 그 출원은 참조로서 본 명세서에 통합된다.This application claims the benefit of US Provisional Patent Application No. 63/030,544, filed on May 27, 2020, the application of which is incorporated herein by reference.

발명의 배경이 되는 기술The technology behind the invention

반도체 디바이스는 예를 들면, 개인용 컴퓨터, 셀 폰, 디지털 카메라, 및 다른 전자 장비와 같은, 다양한 전자 응용들에서 사용된다. 반도체 디바이스는 통상적으로 반도체 기판 위에 물질의 절연 또는 유전체층, 전도성층, 및 반도체층을 순차적으로 퇴적(deposit)시키고, 이것들 상에 회로 컴포넌트와 요소를 형성하도록 리소그래피를 사용해서 다양한 물질층들을 패너닝함으로써 제조된다.BACKGROUND Semiconductor devices are used in a variety of electronic applications, such as, for example, personal computers, cell phones, digital cameras, and other electronic equipment. Semiconductor devices are typically made by sequentially depositing insulating or dielectric layers, conductive layers, and semiconductor layers of material over a semiconductor substrate, and panning the various layers of material using lithography to form circuit components and elements thereon. is manufactured

반도체 산업은 최소 피처 크기(minimum feature size)의 계속적인 감소로 인해 다양한 전자 컴포넌트들(예컨대, 트랜지스터, 다이오드, 저항기, 커패시터 등)의 집적 밀도가 계속 향상되고 있으며, 이는 주어진 면적 내로 더 많은 컴포넌트들이 집적되게 허용한다. 하지만, 최소 피처 크기가 줄어듬에 따라, 해결되어야할 추가적인 문제가 발생한다.In the semiconductor industry, the integration density of various electronic components (e.g., transistors, diodes, resistors, capacitors, etc.) continues to increase due to the continuous decrease in the minimum feature size, which means that more and more components can be placed within a given area. allow to be aggregated. However, as the minimum feature size decreases, additional problems arise that need to be addressed.

본 개시 내용의 양상은 첨부한 도면들과 함께 읽을 때 하기의 상세한 설명으로부터 가장 잘 이해된다. 업계의 표준적 관행에 따라, 다양한 피처는 실제 크기대로 도시되지 않는 것이 주목된다. 실제로, 다양한 피처의 치수는 논의의 명료화를 위해 임의로 증가되거나 감소될 수 있다.
도 1은 일부 실시예에 따른 나노구조물 전계 효과 트랜지스터(nanostructure field-effect transistor; nano-FET)의 예를 도시한다.
도 2 내지 23c는 일부 실시예에 따라 반도체 디바이스의 제조에서의 중간 단계의 단면도이다.
도 24a 내지 29c는 일부 실시예에 따른 반도체 디바이스의 제조에서의 추가적인 중간 단계의 다양한 뷰이다.
Aspects of the present disclosure are best understood from the following detailed description when read in conjunction with the accompanying drawings. It is noted that, in accordance with standard practice in the industry, various features are not drawn to scale. Indeed, the dimensions of the various features may be arbitrarily increased or decreased for clarity of discussion.
1 shows an example of a nanostructure field-effect transistor (nano-FET) in accordance with some embodiments.
2 - 23C are cross-sectional views of intermediate steps in the fabrication of a semiconductor device in accordance with some embodiments.
24A-29C are various views of additional intermediate steps in the fabrication of a semiconductor device in accordance with some embodiments.

하기의 개시 내용은 본 발명의 상이한 피처들을 구현하기 위한 다수의 상이한 실시예들 또는 예시들을 제공한다. 컴포넌트들 및 배열들의 특정 예시는 본 개시를 단순화시키기 위해 이하에서 설명된다. 물론, 이것들은 단지 예이고, 제한하는 것으로 의도되지 않는다. 예를 들어, 이하의 설명에서 제2 피처 위에 또는 제2 피처 상에 제1 피처의 형성은, 제1 피처와 제2 피처가 직접 접촉해서 형성되는 실시예를 포함할 수 있고, 추가적인 피처가 제1 피처와 제2 피처 사이에 형성될 수 있어서 제1 피처와 제2 피처가 직접 접촉될 수 없는 실시예를 또한 포함할 수 있다. 또한, 본 개시는 다양한 예들에서 참조 번호들 및/또는 문자들을 반복할 수 있다. 이 반복은 간략함과 명료함을 위한 것이고, 논의되는 다양한 실시예들 및/또는 구성들 간의 관계를 본질적으로 지시하지는 않는다.The following disclosure provides many different embodiments or examples for implementing different features of the invention. Specific examples of components and arrangements are described below to simplify the present disclosure. Of course, these are merely examples and are not intended to be limiting. For example, in the description below, the formation of a first feature on or on a second feature may include embodiments in which the first feature and the second feature are formed in direct contact, wherein the additional feature is the second feature. It may also include embodiments that may be formed between the first and second features so that the first and second features cannot be in direct contact. Also, this disclosure may repeat reference numbers and/or letters in the various examples. This repetition is for the sake of simplicity and clarity, and does not necessarily dictate a relationship between the various embodiments and/or configurations being discussed.

또한, "밑에", "아래에", "하부에", "위에", "상부에" 등과 같은 공간적으로 상대적인 용어들은 도면들에서 도시되는 바와 같이 하나의 요소 또는 피처와 또 다른 요소(들) 또는 피처(들) 간의 관계를 설명하도록 설명의 용이함을 위해 본 명세서에서 사용될 수 있다. 공간적으로 상대적인 용어들은 도면들에서 묘사된 방위에 추가적으로 사용 또는 동작 중인 디바이스의 상이한 방위들을 포괄하도록 의도된다. 장치는 다르게(90도 회전되거나 또는 다른 방위로) 배향될 수 있고, 본 명세서에서 사용된 공간적으로 상대적인 기술어들(descriptors)은 마찬가지로 상응하게 해석될 수 있다.Also, spatially relative terms such as “under”, “below”, “under”, “above”, “on top”, etc. refer to one element or feature and another element(s) as shown in the drawings. or may be used herein for ease of description to describe a relationship between feature(s). Spatially relative terms are intended to encompass different orientations of a device in use or operation in addition to the orientation depicted in the figures. The device may be otherwise oriented (rotated 90 degrees or at other orientations), and spatially relative descriptors used herein may likewise be interpreted correspondingly.

일부 실시예에 따르면, 나노-FET 층을 위한 전력 레일 콘택(power rail contacts)은 나노-FET을 둘러싸는 격리 영역 아래에 매립된다. 소스/드레인 콘택은 나노-FET의 소스/드레인 영역을 상부의 상호접속부와 하부의 전력 레일 콘택 모두에 결합하는 데 사용될 수 있다. 따라서, 소스/드레인 영역은 후면 전력 레일에 부착될 수 있으며, 금속-반도체 합금 영역이 전력 레일 콘택의 후면 상에 형성될 필요가 없다.According to some embodiments, power rail contacts for the nano-FET layer are buried under the isolation region surrounding the nano-FET. The source/drain contacts can be used to couple the source/drain regions of the nano-FET to both the interconnects on the top and the power rail contacts on the bottom. Thus, the source/drain regions can be attached to the rear power rail, and the metal-semiconductor alloy region need not be formed on the rear surface of the power rail contacts.

도 1은 일부 실시예에 따른 간략화된 나노-FET의 예시를 도시한다. 도 1은 설명을 명확하게 하기 위해 나노-FET의 일부 피처가 생략된 절단 3차원 뷰이다. 예시된 실시예에서, 나노-FET은 포크시트(forksheet) FET이다. 나노-FET은 또한 나노시트 전계 효과 트랜지스터(nanosheet field-effect transistor; NSFET), 나노 와이어 전계 효과 트랜지스터(nanowire field-effect transistor; NWFET), 게이트-올-어라운드 전계 효과 트랜지스터(gate-all-around field-effect transistor; GAAFET) 등일 수 있다.1 shows an illustration of a simplified nano-FET in accordance with some embodiments. 1 is a cut-away three-dimensional view with some features of a nano-FET omitted for clarity of explanation. In the illustrated embodiment, the nano-FET is a forksheet FET. Nano-FETs also include nanosheet field-effect transistors (NSFETs), nanowire field-effect transistors (NWFETs), and gate-all-around field effect transistors (NWFETs). -effect transistor; GAAFET) or the like.

나노-FET은 예를 들면, 기판(50)으로부터 연장되는 핀(54) 위와 같이, 기판(50) 위의 나노구조물(56)을 포함한다. 나노구조물(56)은 나노-FET에 대한 채널 영역으로 작용하는 반도체층이다. 얕은 트렌치 격리(shallow trench isolation; STI) 영역과 같은 격리 영역(78)은 기판(50) 위에 그리고 핀(54)에 인접하게 배치된다. 격리 영역(78)이 기판(50)으로부터 분리된 것으로 설명/도시되어 있지만, 본 명세서에서 사용되는 용어 "기판"은 기판(50) 단독 또는 기판(50)과 격리 영역(78)의 조합을 지칭할 수 있다. 또한, 핀(52)은 기판(50)과의 단일 연속 물질인 것으로 도시되어 있지만, 핀(52) 및/또는 기판(50)은 단일 물질 또는 복수의 물질들을 포함할 수 있다. 이와 관련하여, 핀(54)은 이웃하는 격리 영역들(78) 사이 위에 그리고 격리 영역들(78) 사이로부터 연장되는 부분을 지칭한다.Nano-FETs include nanostructures 56 over a substrate 50 , such as over fins 54 extending from the substrate 50 . Nanostructure 56 is a semiconductor layer that acts as a channel region for the nano-FET. An isolation region 78 , such as a shallow trench isolation (STI) region, is disposed over the substrate 50 and adjacent the fin 54 . Although isolation region 78 is described/illustrated as separate from substrate 50 , the term “substrate” as used herein refers to substrate 50 alone or a combination of substrate 50 and isolation region 78 . can do. Further, while the fin 52 is shown as being of a single continuous material with the substrate 50 , the fin 52 and/or the substrate 50 may include a single material or multiple materials. In this regard, fin 54 refers to the portion extending over and from between neighboring isolation regions 78 .

게이트 구조물(120)은 나노구조물(56) 주위를 둘러싸고 핀(54) 위에 배치된다. 게이트 구조물(120)은 게이트 유전체(122) 및 게이트 전극(124)을 포함한다. 게이트 유전체(122)는 나노구조물(56)의 상단 표면, 측벽 및 하단 표면을 따라 있고, 핀(54)의 측벽을 따라 그리고/또는 핀(54)의 상단 표면 위로 연장될 수 있다. 게이트 전극(124)은 게이트 유전체(122) 상에 있다. 에피택셜 소스/드레인 영역(106)은 게이트 스페이서(106)의 대향 측부 상에 배치된다. 복수의 트랜지스터들이 형성되는 실시예에서, 에피택셜 소스/드레인 영역(106)은 다양한 트랜지스터들 사이에서 공유될 수 있다. 하나 이상의 층간 유전체(ILD) 층(아래에서 더 자세히 논의됨)은 에피택셜 소스/드레인 영역(106) 및/또는 게이트 구조물(120) 위에 있으며, 이를 관통해 에피택셜 소스/드레인 영역(106) 및 게이트 전극(124)으로의 콘택(아래에서 더 자세히 논의됨)이 형성된다.A gate structure 120 surrounds the nanostructure 56 and is disposed over the fin 54 . The gate structure 120 includes a gate dielectric 122 and a gate electrode 124 . The gate dielectric 122 is along the top surface, sidewalls, and bottom surface of the nanostructure 56 , and may extend along the sidewalls of the fin 54 and/or over the top surface of the fin 54 . The gate electrode 124 is on the gate dielectric 122 . Epitaxial source/drain regions 106 are disposed on opposite sides of gate spacers 106 . In an embodiment in which a plurality of transistors are formed, the epitaxial source/drain region 106 may be shared among the various transistors. One or more interlayer dielectric (ILD) layers (discussed in greater detail below) overly and/or through epitaxial source/drain regions 106 and/or gate structures 120, therethrough, epitaxial source/drain regions 106 and A contact (discussed in more detail below) to the gate electrode 124 is formed.

기판(50)은 n형 영역(50N) 및 p형 영역(50P)을 갖는다. n형 영역(50N)은 예를 들어, NMOS 트랜지스터(예를 들어, n형 나노-FET)와 같은 n형 디바이스를 포함하고, p형 영역(50P)은 예를 들어, PMOS 트랜지스터(예를 들어, p형 나노-FET)와 같은 p형 디바이스를 포함한다. 예시된 실시예에서, 나노-FET은 포크시트 FET이다. 포크시트 FET에서 n형 디바이스와 p형 디바이스는 모두 동일한 포크시트 구조물에 통합된다. 유전체 벽(68)은, n형 디바이스에 대한 반도체 핀(54), 나노구조물(56) 및 에피택셜 소스/드레인 영역(106)을, p형 디바이스에 대한 반도체 핀(54), 나노구조물(56) 및 에피택셜 소스/드레인 영역(106)으로부터 분리한다. 게이트 구조물(120)은 각각의 나노구조물(56)의 3개의 측부를 따라 연장된다. 포크시트 FET은 n형 디바이스와 p형 디바이스가 서로 가깝게 형성되게 하며, 디바이스의 게이트 구조물(120)이 서로 물리적 및 전기적으로 결합되어, CMOS 프로세스에 사용되는 게이트 콘택의 양을 줄일 수 있다. 유전체 핀(84)은 인접한 포크시트 FET들을 분리하는, 셀 경계에서 격리 영역(78) 위에 형성된다.The substrate 50 has an n-type region 50N and a p-type region 50P. The n-type region 50N includes, for example, an n-type device, such as an NMOS transistor (eg, an n-type nano-FET), and the p-type region 50P includes, for example, a PMOS transistor (eg, a PMOS transistor). , p-type devices such as p-type nano-FETs). In the illustrated embodiment, the nano-FET is a forksheet FET. In a fork seat FET, both the n-type and p-type devices are integrated into the same fork seat structure. Dielectric wall 68 provides semiconductor fins 54, nanostructures 56 and epitaxial source/drain regions 106 for n-type devices, and semiconductor fins 54, nanostructures 56 for p-type devices. ) and epitaxial source/drain regions 106 . Gate structures 120 extend along three sides of each nanostructure 56 . Forksheet FETs allow n-type and p-type devices to be formed close to each other, and the gate structures 120 of the devices can be physically and electrically coupled to each other, reducing the amount of gate contacts used in CMOS processes. Dielectric fins 84 are formed over isolation regions 78 at cell boundaries, separating adjacent forksheet FETs.

본 명세서에서 논의된 일부 실시예는 게이트 라스트 프로세스(gate-last process)를 사용하여 형성된 나노-FET의 맥락에서 논의된다. 다른 실시예들에서, 게이트 퍼스트 프로세스(gate-first process)가 사용될 수 있다. 또한, 일부 실시예는 평면 FET과 같은 평면 디바이스에서 또는 핀 전계 효과 트랜지스터(FinFET)에서 사용되는 양상을 고려한다.Some embodiments discussed herein are discussed in the context of nano-FETs formed using a gate-last process. In other embodiments, a gate-first process may be used. Additionally, some embodiments contemplate aspects for use in planar devices, such as planar FETs, or in fin field effect transistors (FinFETs).

또한, 도 1은 이후의 도면에 사용되는 기준 단면을 나타낸다. 단면 A-A는 나노구조물(56)의 종축을 따라 그리고 예를 들어, 에피택셜 소스/드레인 영역들(106) 사이의 전류 흐름의 방향을 따라 있다. 단면 B-B는 단면 A-A에 수직이고 게이트 구조물(120)의 종축을 따라 있다. 단면 C-C는 단면 A-A에 수직이고 에피택셜 소스/드레인 영역(106)을 관통해 연장된다. 후속 도면은 명확성을 위해 이들 기준 단면들을 참조한다.Also, Fig. 1 shows a reference cross section used in the following drawings. Cross-section A-A is along the longitudinal axis of nanostructure 56 and along the direction of current flow, for example, between epitaxial source/drain regions 106 . Section B-B is perpendicular to section A-A and is along the longitudinal axis of gate structure 120 . Section C-C is perpendicular to section A-A and extends through epitaxial source/drain region 106 . Subsequent drawings refer to these reference sections for clarity.

도 2 내지 23c는 일부 실시예에 따라 반도체 디바이스의 제조에서의 중간 단계의 단면도이다. 구체적으로, 나노-FET의 디바이스 층의 제조가 설명된다. 도 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14 및 15는 4개의 핀이 도시된 것을 제외하고는 도 1의 기준 단면 B-B를 따라 도시된 단면도이다. 도 16a, 17a, 18a, 19a, 20a, 21a, 22a, 및 23a는 2개의 게이트 구조물이 도시된 것을 제외하고는, 도 1의 기준 단면 A-A를 따라 도시된 단면도이다. 도 16b, 17b, 18b, 19b, 20b, 21b, 22b, 및 23b는 4개의 핀이 도시된 것을 제외하고, 도 1의 기준 단면 B-B을 따라 도시된 단면도이다. 도 16c, 17c, 18c, 19c, 20c, 21c, 22c 및 23c는 4개의 핀이 도시된 것을 제외하고는 도 1의 기준 단면 C-C를 따라 도시된 단면도이다.2 - 23C are cross-sectional views of intermediate steps in the fabrication of a semiconductor device in accordance with some embodiments. Specifically, fabrication of the device layer of a nano-FET is described. 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14 and 15 are cross-sectional views taken along the reference section BB of FIG. 1 except that four pins are shown; am. 16A, 17A, 18A, 19A, 20A, 21A, 22A, and 23A are cross-sectional views taken along reference section A-A of FIG. 1 , except that two gate structures are shown; 16b, 17b, 18b, 19b, 20b, 21b, 22b, and 23b are cross-sectional views taken along the reference section B-B of FIG. 1 , except that four pins are shown. 16c, 17c, 18c, 19c, 20c, 21c, 22c and 23c are cross-sectional views taken along the reference section C-C of FIG. 1 except that four pins are shown.

도 2에서, 기판(50)은 나노-FET을 형성하기 위해 제공된다. 기판(50)은, (예컨대, p형 또는 n형 도펀트로) 도핑될 수 있거나 도핑되지 않을 수 있는, 예컨대, 벌크 반도체, 반도체-온-인슐레이터(semiconductor-on-insulator; SOI) 기판 등과 같은 반도체 기판일 수 있다. 기판(50)은 예를 들어, 실리콘 웨이퍼와 같은, 웨이퍼일 수 있다. 도시된 실시예에서, 기판(50)은 SOI 기판이다. 일반적으로 SOI 기판은 절연체 층(50B) 상에 형성된 반도체층(50A)이다. 절연체 층(50B)은 예를 들면, 매립 산화물(buried oxide; BOX)층, 실리콘 산화물층 등일 수 있다. 절연체 층(50B)은 일반적으로 실리콘 기판 또는 유리 기판인 기판 코어(50C) 상에 제공된다. 다중층 또는 구배(gradient) 기판과 같은 다른 기판이 또한 사용될 수 있다. 일부 실시예에서, 기판(50)의 반도체 물질(예를 들어, 반도체층(50A))은 실리콘; 게르마늄; 실리콘 탄화물, 갈륨 비화물, 갈륨 인화물, 인듐 인화물, 인듐 비화물 및/또는 인듐 안티몬화물을 포함하는 화합물 반도체; 실리콘 게르마늄, 갈륨 비화물 인화물, 알루미늄 인듐 비화물, 알루미늄 갈륨 비화물, 갈륨 인듐 비화물, 갈륨 인듐 인화물 및/또는 갈륨 인듐 비화물 인화물을 포함하는 합금 반도체; 또는 이들의 조합을 포함할 수 있다.In Figure 2, a substrate 50 is provided to form a nano-FET. Substrate 50 is a semiconductor, such as a bulk semiconductor, semiconductor-on-insulator (SOI) substrate, etc., which may or may not be doped (eg, with p-type or n-type dopant). It may be a substrate. The substrate 50 may be, for example, a wafer, such as a silicon wafer. In the illustrated embodiment, the substrate 50 is an SOI substrate. In general, the SOI substrate is a semiconductor layer 50A formed on an insulator layer 50B. The insulator layer 50B may be, for example, a buried oxide (BOX) layer, a silicon oxide layer, or the like. An insulator layer 50B is provided on the substrate core 50C, which is typically a silicon substrate or a glass substrate. Other substrates may also be used, such as multilayer or gradient substrates. In some embodiments, the semiconductor material (eg, semiconductor layer 50A) of substrate 50 is silicon; germanium; compound semiconductors comprising silicon carbide, gallium arsenide, gallium phosphide, indium phosphide, indium arsenide and/or indium antimonide; alloy semiconductors comprising silicon germanium, gallium arsenide phosphide, aluminum indium arsenide, aluminum gallium arsenide, gallium indium arsenide, gallium indium phosphide and/or gallium indium arsenide phosphide; or a combination thereof.

기판(50)은 n형 영역(50N) 및 p형 영역(50P)을 갖는다. n형 영역(50N)은 예를 들어, NMOS 트랜지스터(예를 들어, n형 나노-FET)와 같은 n형 디바이스를 형성하기 위한 것일 수 있고, p형 영역(50P)은 예를 들어, PMOS 트랜지스터(예를 들어, p형 나노-FET)와 같은 p형 디바이스를 형성하기 위한 것일 수 있다. 이하에서 더 상세히 논의되는 바와 같이, 하나의 n형 영역(50N) 및 하나의 p형 영역(50P)이 도시되어 있지만, 기판(50)은 임의의 원하는 양의 그러한 영역을 포함할 수 있다.The substrate 50 has an n-type region 50N and a p-type region 50P. The n-type region 50N may be, for example, for forming an n-type device, such as an NMOS transistor (eg, an n-type nano-FET), and the p-type region 50P is, for example, a PMOS transistor. (eg, p-type nano-FETs) for forming p-type devices. As discussed in greater detail below, although one n-type region 50N and one p-type region 50P are shown, the substrate 50 may include any desired amount of such region.

기판(50)은 p형 불순물 또는 n형 불순물로 경도핑될 수 있다. 기판(50)의 상부 부분 상에 APT(Anti-Punch-Through) 주입이 수행되어 APT 영역을 형성할 수 있다. APT 주입 동안, n형 영역(50N) 및 p형 영역(50P)에 도펀트가 주입될 수 있다. 도펀트는 n형 영역(50N) 및 p형 영역(50P) 각각에 후속적으로 형성될 소스/드레인 영역의 전도성 유형과 반대되는 전도성 유형을 가질 수 있다. APT 영역은 후속 프로세스에서 형성될, 나노-FET에서 후속적으로 형성된 소스/드레인 영역 아래로 연장될 수 있다. APT 영역은 소스/드레인 영역에서 기판(50)으로의 누설을 줄이기 위해 사용될 수 있다. 일부 실시예에서, APT 영역의 도핑 농도는 약 1018 cm-3 내지 약 1019 cm-3 범위 내일 수 있다.The substrate 50 may be lightly doped with p-type impurities or n-type impurities. An anti-punch-through (APT) implantation may be performed on the upper portion of the substrate 50 to form an APT region. During the APT implantation, dopants may be implanted into the n-type region 50N and the p-type region 50P. The dopant may have a conductivity type opposite to that of the source/drain regions to be subsequently formed in each of the n-type region 50N and the p-type region 50P. The APT region may extend below the subsequently formed source/drain region in the nano-FET, which will be formed in a subsequent process. The APT region may be used to reduce leakage from the source/drain region to the substrate 50 . In some embodiments, the doping concentration of the APT region may range from about 10 18 cm −3 to about 10 19 cm −3 .

도 3에서, 다층 스택(52)이 기판(50) 위에 형성된다. 다층 스택(52)은 교번하는 제1 반도체층(52A) 및 제2 반도체층(52B)을 포함한다. 제1 반도체층(52A)은 제1 반도체 물질로 형성되고, 제2 반도체층(52B)은 제2 반도체 물질로 형성된다. 반도체 물질은 각각 기판(50)의 후보 반도체 물질들 중에서 선택될 수 있다. 예시된 실시예에서, 다층 스택(52)은 제1 반도체층(52A) 및 제2 반도체층(52B) 각각의 4개의 층을 포함한다. 다층 스택(52)은 임의의 수의 제1 반도체층(52A) 및 제2 반도체층(52B)을 포함할 수 있다는 것을 이해해야 한다. 예를 들어, 다층 스택(52)은 제1 반도체층(52A) 및 제2 반도체층(52B) 각각의 약 3개 내지 약 8개의 층을 포함할 수 있다.In FIG. 3 , a multilayer stack 52 is formed over a substrate 50 . Multilayer stack 52 includes alternating first semiconductor layers 52A and second semiconductor layers 52B. The first semiconductor layer 52A is formed of a first semiconductor material, and the second semiconductor layer 52B is formed of a second semiconductor material. Each of the semiconductor materials may be selected from among the candidate semiconductor materials of the substrate 50 . In the illustrated embodiment, the multilayer stack 52 includes four layers each of a first semiconductor layer 52A and a second semiconductor layer 52B. It should be understood that the multilayer stack 52 may include any number of first semiconductor layers 52A and second semiconductor layers 52B. For example, the multilayer stack 52 may include about 3 to about 8 layers each of the first semiconductor layer 52A and the second semiconductor layer 52B.

예시된 실시예에서, 제2 반도체층(52B)은 n형 영역(50N) 및 p형 영역(50P) 모두에서 나노-FET에 대한 채널 영역을 형성하는 데 사용될 것이다. 제1 반도체층(52A)은 희생 층(또는 더미 층)이며, 이는 후속 프로세싱에서 제거되어 두 영역 모두에서 제2 반도체층(52B)의 상단 표면 및 하단 표면을 노출시킨다. 제2 반도체층(52B)의 제2 반도체 물질은 실리콘과 같은 n형 및 p형 나노-FET에 모두 적합한 물질이고, 제1 반도체층(52A)의 제1 반도체 물질은 실리콘 게르마늄과 같은 제2 반도체 물질의 에칭으로부터 높은 에칭 선택성을 갖는 물질이다.In the illustrated embodiment, the second semiconductor layer 52B will be used to form the channel region for the nano-FET in both the n-type region 50N and the p-type region 50P. The first semiconductor layer 52A is a sacrificial layer (or dummy layer), which is removed in subsequent processing to expose the top and bottom surfaces of the second semiconductor layer 52B in both regions. The second semiconductor material of the second semiconductor layer 52B is a material suitable for both n-type and p-type nano-FETs such as silicon, and the first semiconductor material of the first semiconductor layer 52A is a second semiconductor material such as silicon germanium. A material with high etch selectivity from etching of the material.

또 다른 실시예에서, 제1 반도체층(52A)은 하나의 영역(예를 들어, p형 영역(50P))에서 나노-FET을 위한 채널 영역을 형성하는 데 사용될 것이고, 제2 반도체층(52B)은 또 다른 영역(예를 들어, n형 영역(50N))에서 나노-FET에 대한 채널 영역을 형성하는 데 사용될 것이다. 제1 반도체층(52A)의 제1 반도체 물질은 실리콘 게르마늄(예를 들어, SixGe1-x이며 x는 0 내지 1의 범위 내일 수 있음), 순수 또는 실질적으로 순수한 게르마늄, III-V족 화합물 반도체, II-VI족 화합물 반도체 등과 같은 p형 나노-FET에 적합할 수 있으며, 제2 반도체층(52B)의 제2 반도체 물질은 예를 들면, 실리콘, 실리콘 탄화물, III-V족 화합물 반도체, II-VI족 화합물 반도체 등과 같은 n형 나노-FET에 적합할 수 있다. 제1 반도체 물질과 제2 반도체 물질은 서로의 에칭으로부터 높은 에칭 선택성을 가질 수 있으므로, n형 영역(50N)에서 제2 반도체층(52B)을 제거하지 않고 제1 반도체층(52A)이 제거될 수 있고, 제2 반도체층(52B)은 p형 영역(50P)에서 제1 반도체층(52A)을 제거하지 않고 제거될 수 있다.In another embodiment, the first semiconductor layer 52A will be used to form a channel region for the nano-FET in one region (eg, p-type region 50P), and the second semiconductor layer 52B ) will be used to form the channel region for the nano-FET in another region (eg, n-type region 50N). The first semiconductor material of the first semiconductor layer 52A is silicon germanium (eg, Si x Ge 1-x and x may be in the range of 0 to 1), pure or substantially pure germanium, may be suitable for a p-type nano-FET such as a group III-V compound semiconductor, a group II-VI compound semiconductor, and the like, and the second semiconductor layer ( The second semiconductor material of 52B) may be suitable for an n-type nano-FET such as, for example, silicon, silicon carbide, group III-V compound semiconductor, group II-VI compound semiconductor, and the like. Since the first semiconductor material and the second semiconductor material may have high etch selectivity from etching each other, the first semiconductor layer 52A may be removed without removing the second semiconductor layer 52B in the n-type region 50N. Alternatively, the second semiconductor layer 52B may be removed from the p-type region 50P without removing the first semiconductor layer 52A.

다층 스택(52)의 각 층은 기상 에피택시(vapor phase epitaxy; VPE) 또는 분자 빔 에피택시(molecular beam epitaxy; MBE)와 같은 프로세스에 의해 성장되거나, 화학 증기 퇴적(chemical vapor deposition; CVD) 또는 원자 층 퇴적(atomic layer deposition; ALD)과 같은 프로세스에 의해 퇴적되는 등에 의해 얻어질 수 있다. 각각의 층은 예를 들면, 약 5 nm 내지 약 30 nm 범위의 두께와 같은, 작은 두께로 형성될 수 있다. 일부 실시예에서, 한 그룹의 층(예를 들어, 제2 반도체층(52B))은 또 다른 그룹의 층(예를 들어, 제1 반도체층(52A))보다 얇게 형성된다. 예를 들어, 제1 반도체층(52A)이 희생 층(또는 더미 층)이고 제2 반도체층(52B)이 채널 영역을 형성하는 데 사용되는 일부 실시예에서, 제2 반도체층(52B)은 제1 반도체층(52A)보다 더 두꺼울 수 있다. 층의 상대적 두께는 원하는 채널 높이와 결과적인 나노-FET의 채널 일함수 요건에 기초할 수 있다.Each layer of the multilayer stack 52 is grown by a process such as vapor phase epitaxy (VPE) or molecular beam epitaxy (MBE), chemical vapor deposition (CVD) or deposited by a process such as atomic layer deposition (ALD), or the like. Each layer may be formed with a small thickness, such as, for example, a thickness in the range of about 5 nm to about 30 nm. In some embodiments, one group of layers (eg, second semiconductor layer 52B) is formed thinner than another group of layers (eg, first semiconductor layer 52A). For example, in some embodiments in which the first semiconductor layer 52A is a sacrificial layer (or dummy layer) and the second semiconductor layer 52B is used to form the channel region, the second semiconductor layer 52B is the second semiconductor layer 52B. It may be thicker than one semiconductor layer 52A. The relative thickness of the layer may be based on the desired channel height and the channel work function requirements of the resulting nano-FET.

도 4에서, 트렌치(60)는 기판(50) 및 다층 스택(52)에서 에칭되어 핀 구조물(62)(n형 영역(50N)의 핀 구조물(62N) 및 p형 영역(50P)의 핀 구조물(62P)을 포함함)를 형성한다. 핀 구조물(62)은 각각 반도체 핀(54) 및 나노구조물(56)을 포함한다. 반도체 핀(54)은 기판(50)에 패터닝된 반도체 스트립이다. 기판(50)이 SOI 기판인 실시예에서, 반도체 핀(54)은 반도체층(50A)의 잔여 부분을 포함한다. 나노구조물(56)은 반도체 핀(54) 상의 다층 스택(52)의 잔여 부분을 포함한다. 구체적으로, 나노구조물(56)은 교대로 제1 나노구조물(56A) 및 제2 나노구조물(56B)을 포함한다. 제1 나노구조물(56A) 및 제2 나노구조물(56B)은 각각 제1 반도체층(52A) 및 제2 반도체층(52B)의 잔여 부분으로 형성된다. 예시된 실시예에서, 제2 나노구조물(56B)은 각각 2개의 제1 나노구조물(56A) 사이에 배치된다. 에칭은 반응성 이온 에칭(reactive ion etch; RIE), 중립 빔 에칭(neutral beam etch; NBE) 등, 또는 이들의 조합과 같은 임의의 허용 가능한 에칭 프로세스일 수 있고, 핀 구조물(62)의 패턴을 갖는 마스크(58)를 사용해 수행될 수 있다. 에칭은 이방성일 수 있다.In FIG. 4 , trenches 60 are etched in substrate 50 and multilayer stack 52 , so that fin structures 62 ( fin structures 62N in n-type region 50N and fin structures in p-type region 50P) are etched in FIG. (including 62P)). Fin structures 62 include semiconductor fins 54 and nanostructures 56, respectively. Semiconductor fins 54 are semiconductor strips patterned on substrate 50 . In an embodiment where the substrate 50 is an SOI substrate, the semiconductor fin 54 comprises the remainder of the semiconductor layer 50A. The nanostructures 56 include the remainder of the multilayer stack 52 on the semiconductor fins 54 . Specifically, the nanostructures 56 alternately include first nanostructures 56A and second nanostructures 56B. The first nanostructure 56A and the second nanostructure 56B are respectively formed of the remaining portions of the first semiconductor layer 52A and the second semiconductor layer 52B. In the illustrated embodiment, the second nanostructures 56B are each disposed between the two first nanostructures 56A. The etching may be any acceptable etching process, such as reactive ion etch (RIE), neutral beam etch (NBE), etc., or a combination thereof, having the pattern of fin structures 62 . This may be done using a mask 58 . The etching may be anisotropic.

마스크(58)는 단일 층 마스크일 수 있거나, 제1 마스크층(58A) 및 제1 마스크층(58A) 상의 제2 마스크층(58B)을 각각 포함하는 다층 마스크와 같은 다층 마스크일 수 있다. 제1 마스크층(58A) 및 제2 마스크층(58B)은 각각 실리콘 산화물, 실리콘 질화물, 이들의 조합 등과 같은 유전체 물질로 형성될 수 있고, 허용 가능한 기술에 따라 퇴적되거나 열적으로(thermally) 성장될 수 있다. 제1 마스크층(58A)의 물질은 제2 마스크층(58B)의 물질의 에칭으로부터 높은 에칭 선택성을 가질 수 있다. 예를 들어, 제1 마스크층(58A)은 실리콘 산화물로 형성될 수 있고, 제2 마스크층(58B)은 실리콘 질화물로 형성될 수 있다.Mask 58 may be a single layer mask, or may be a multilayer mask, such as a multilayer mask comprising a first mask layer 58A and a second mask layer 58B on the first mask layer 58A, respectively. The first mask layer 58A and the second mask layer 58B may each be formed of a dielectric material such as silicon oxide, silicon nitride, combinations thereof, etc., and may be deposited or thermally grown according to acceptable techniques. can The material of the first mask layer 58A may have high etch selectivity from etching of the material of the second mask layer 58B. For example, the first mask layer 58A may be formed of silicon oxide, and the second mask layer 58B may be formed of silicon nitride.

핀 구조물(62)은 임의의 적절한 방법에 의해 패터닝될 수 있다. 예를 들면, 핀 구조물은 이중-패터닝 또는 다중-패터닝 프로세스를 포함하는, 하나 이상의 포토리소그래피 프로세스를 이용하여 패터닝될 수 있다. 일반적으로, 이중 패터닝 프로세스 또는 다중 패터닝 프로세스는 포토리소그래피와 자기 정렬(self-aligned) 프로세스를 결합하여, 예를 들면, 그렇지 않은 경우 단일 직접 포토리소그래피 프로세스를 사용하여 얻을 수 있는 것보다 작은 피치를 갖는 패턴이 생성되게 할 수 있다. 예를 들어, 일 실시예에서, 희생층이 기판 위에 형성되고 포토리소그래피 프로세스를 사용하여 패터닝된다. 스페이서는 자기 정렬 프로세스를 사용하여 패터닝된 희생층을 따라 형성된다. 이후 희생층이 제거되고, 그런 다음, 핀 구조물(62)을 패터닝하도록 잔여 스페이서가 사용될 수 있다. 일부 실시예에서, 마스크(58)(또는 다른 층)는 핀 구조물(62) 상에 남아 있을 수 있다.The fin structures 62 may be patterned by any suitable method. For example, the fin structures may be patterned using one or more photolithography processes, including double-patterning or multi-patterning processes. In general, a double patterning process or multiple patterning process combines photolithography and a self-aligned process, e.g., having a smaller pitch than would otherwise be obtainable using a single direct photolithography process. A pattern can be created. For example, in one embodiment, a sacrificial layer is formed over a substrate and patterned using a photolithography process. Spacers are formed along the patterned sacrificial layer using a self-aligning process. The sacrificial layer is then removed, and the remaining spacers can then be used to pattern the fin structures 62 . In some embodiments, a mask 58 (or other layer) may remain on the fin structures 62 .

핀 구조물(62)은 약 5 nm 내지 약 20 nm 범위의 폭을 가질 수 있다. n형 영역(50N) 및 p형 영역(50P) 내의 핀 구조물(62)은 예시적 목적으로 실질적으로 동일한 폭을 갖는 것으로 도시된다. 일부 실시예에서, 하나의 영역(예를 들어, n형 영역(50N)) 내의 핀 구조물(62)은 다른 영역(예를 들어, p형 영역(50P)) 내의 핀 구조물(62)보다 더 넓거나 좁을 수 있다.The fin structure 62 may have a width ranging from about 5 nm to about 20 nm. Fin structures 62 in n-type region 50N and p-type region 50P are shown to have substantially the same width for illustrative purposes. In some embodiments, fin structures 62 in one region (eg, n-type region 50N) are wider than fin structures 62 in another region (eg, p-type region 50P). or it may be narrow.

핀 구조물(62)은 인접한 쌍들로 형성된다. 핀 구조물(62)의 각 쌍은 포크시트 FET을 형성하는 데 사용될 것이다. 각 쌍의 하나의 핀 구조물(62N)은 n형 디바이스를 형성하는 데 사용될 것이고, 각 쌍의 다른 핀 구조물(62P)은 p형 디바이스를 형성하는 데 사용될 것이다. 각 쌍의 핀 구조물(62N, 62P)은 트렌치들(60A) 중 대응하는 제1 트렌치에 의해 분리된다. 유전체 벽(아래에서 더 자세히 논의됨)은 각 쌍의 핀 구조물(62N, 62P) 사이의 트렌치(60A)에 형성될 것이며, 따라서 핀 구조물(62N, 62P)에 형성될 상이한 유형의 나노-FET들 사이에 전기적 격리를 제공할 것이다. 트렌치(60A)는 약 6nm 내지 약 30nm 범위의 제1 폭(W1)을 가질 수 있다. 핀 구조물(62)의 인접한 쌍은 트렌치들(60B)의 대응하는 제2 트렌치에 의해 분리된다. 트렌치(60B)는 약 22nm 내지 약 46nm 범위의 제2 폭(W2)을 가질 수 있다. 폭(W2)은 제1 폭(W1)보다 크므로, 핀 구조물(62)의 인접한 쌍들이 각 쌍의 핀 구조물(62N, 62P)보다 더 멀리 이격된다.The fin structures 62 are formed in adjacent pairs. Each pair of fin structures 62 will be used to form a forksheet FET. One fin structure 62N of each pair will be used to form an n-type device, and the other fin structure 62P in each pair will be used to form a p-type device. Each pair of fin structures 62N and 62P is separated by a corresponding first one of the trenches 60A. A dielectric wall (discussed in more detail below) will be formed in the trench 60A between each pair of fin structures 62N, 62P, and thus different types of nano-FETs to be formed in the fin structures 62N, 62P. It will provide electrical isolation between them. The trench 60A may have a first width W 1 in a range of about 6 nm to about 30 nm. An adjacent pair of fin structures 62 are separated by a corresponding second trench in trenches 60B. The trench 60B may have a second width W 2 in a range of about 22 nm to about 46 nm. Since the width W 2 is greater than the first width W 1 , adjacent pairs of the fin structures 62 are further spaced apart than each pair of the fin structures 62N and 62P.

도 5에서, 라이너층(64)은 마스크(58)(존재하는 경우), 핀 구조물(62) 및 기판(50) 위에 형성된다. 라이너층(64)은 핀 구조물(62)을 후속적으로 형성되는 콘택으로부터 분리하는데 사용될 것이다. 라이너층(64)은 열 산화 또는 컨포멀 퇴적 프로세스에 의해 형성될 수 있는 유전체 물질로 형성될 수 있다. 허용 가능한 유전체 물질은, 예를 들면, 실리콘 산화물, 실리콘 질화물, 실리콘 탄질화물, 실리콘 산탄화물, 실리콘 산탄질화물 등과 같은 로우-k 유전체 물질(예를 들어, 약 7 미만의 k-값을 갖는 물질); 예를 들면, 하프늄 산화물, 지르코늄 산화물, 지르코늄 알루미늄 산화물, 하프늄 알루미늄 산화물, 하프늄 실리콘 산화물, 알루미늄 산화물 등과 같은 하이-k 유전체 물질(예를 들어, 약 7보다 큰 k-값을 갖는 물질); 이들의 조합; 등을 포함한다. 허용 가능한 퇴적 프로세스는 원자 층 퇴적(ALD), 화학 증기 퇴적(CVD), 분자 빔 퇴적(MBD), 물리 증기 퇴적(PVD) 등을 포함한다. 일부 실시예에서, 라이너층(64)은 열 산화에 의해 실리콘 산화물로 형성된다. 라이너층(64)은 약 1 nm 내지 약 10 nm 범위의 두께로 형성될 수 있다.5 , a liner layer 64 is formed over the mask 58 (if present), the fin structures 62 and the substrate 50 . The liner layer 64 will be used to separate the fin structures 62 from subsequently formed contacts. The liner layer 64 may be formed of a dielectric material that may be formed by a thermal oxidation or conformal deposition process. Acceptable dielectric materials include, for example, low-k dielectric materials (eg, materials having a k-value less than about 7) such as silicon oxide, silicon nitride, silicon carbonitride, silicon oxycarbide, silicon oxycarbonitride, etc. ; high-k dielectric materials (eg, materials having a k-value greater than about 7) such as, for example, hafnium oxide, zirconium oxide, zirconium aluminum oxide, hafnium aluminum oxide, hafnium silicon oxide, aluminum oxide, and the like; combinations thereof; etc. Acceptable deposition processes include atomic layer deposition (ALD), chemical vapor deposition (CVD), molecular beam deposition (MBD), physical vapor deposition (PVD), and the like. In some embodiments, the liner layer 64 is formed of silicon oxide by thermal oxidation. The liner layer 64 may be formed to a thickness ranging from about 1 nm to about 10 nm.

그런 다음, 유전체층(66)은 라이너층(64) 위에 형성된다. 유전체층(66)은 (라이너층(64)의 후보 유전체 물질 중에서 선택된 것과 같은) 로우-k 유전체 물질로 형성될 수 있으며, 이는 컨포멀 퇴적 프로세스(예를 들어, 라이너층(64)을 형성하는 후보 방법들 중에서 선택된 방법)에 의해 퇴적될 수 있다. 유전체층(66)의 물질은 라이너층(64)의 물질과는 상이한 k-값을 가지며, 라이너층(64)의 물질의 에칭으로부터 높은 에칭 선택성을 갖는다. 일부 실시예에서, 유전체층(66)은 ALD 또는 CVD에 의해 실리콘 질화물로 형성된다.A dielectric layer 66 is then formed over the liner layer 64 . Dielectric layer 66 may be formed of a low-k dielectric material (such as selected from among candidate dielectric materials for liner layer 64 ), which may be formed by a conformal deposition process (eg, a candidate for forming liner layer 64 ). method selected from among the methods). The material of the dielectric layer 66 has a different k-value than the material of the liner layer 64 and has a high etch selectivity from etching of the material of the liner layer 64 . In some embodiments, dielectric layer 66 is formed of silicon nitride by ALD or CVD.

트렌치들(60A, 60B)은 서로 다른 폭을 갖기 때문에 이들은 서로 다른 양의 유전체 물질로 채워진다. 라이너층(64)은 트렌치(60A, 60B)의 측벽 및 하단을 따라 형성된다. 트렌치(60A)가 더 좁은 폭을 가지므로 유전체층(66)에 의해 완전히 채워진다(또는 과도하게 채워진다(overfilled)). 그러나, 트렌치(60B)는 더 큰 폭을 가지기 때문에 유전체층(66)에 의해 완전히 채워지지 않는다. 다시 말해서, 유전체층(66)이 퇴적된 후, 트렌치(60A)는 채워지지만(또는 과도하게 채워지지만) 트렌치(60B)의 일부 부분은 채워지지 않은 채로 남아있다.Since the trenches 60A and 60B have different widths, they are filled with different amounts of dielectric material. A liner layer 64 is formed along the sidewalls and bottom of the trenches 60A, 60B. Since the trench 60A has a narrower width, it is completely filled (or overfilled) by the dielectric layer 66 . However, the trench 60B is not completely filled by the dielectric layer 66 because it has a greater width. In other words, after dielectric layer 66 is deposited, trench 60A is filled (or overfilled) but some portion of trench 60B remains unfilled.

도 6에서, 유전층(66)은 유전층(66)의 일부 부분을 제거하기 위해 에칭백된다. 구체적으로, 트렌치(60B) 내에 그리고 마스크(58)(존재하는 경우) 또는 핀 구조물(62) 위의 유전체층(66)의 부분은 에칭백에 의해 제거되어 트렌치(60B)를 재형성한다(reform). 유전층(66)은 예를 들면, 유전층(66)에 선택적인 에칭 프로세스와 같은 허용 가능한 에칭 기술을 사용하여 에칭백된다(예를 들어, 라이너층(64)의 물질(들)보다 더 빠른 속도로 유전체층(66)의 물질(들)을 에칭한다). 에칭백이 완료된 후, 유전체층(66)의 잔여 부분은 트렌치(60A)에 있다. 유전체층(66)의 잔여 부분은 각 쌍의 핀 구조물(62)의 핀 구조물(62N, 62P)을 분리하는 유전체 벽(68)을 형성한다. 유전체 벽(68)은 트렌치(60A)를 부분적으로 또는 완전히 채울 수 있다. 유전체 벽(68)은 약 6nm 내지 약 30nm 범위의 폭(W1)을 가질 수 있다. 유전체층(66)이 형성된 후, 포크시트 구조물(80)은 기판(50)으로부터 연장된다. 포크시트 구조물(80)은 각각 유전체 벽(68) 및 한 쌍의 핀 구조물들(62)을 포함하고, 유전체 벽(68)은 핀 구조물들(62) 사이에 배치된다.6 , dielectric layer 66 is etched back to remove a portion of dielectric layer 66 . Specifically, portions of dielectric layer 66 within trench 60B and over mask 58 (if present) or fin structures 62 are removed by etchback to reform trench 60B. . The dielectric layer 66 is etched back (eg, at a faster rate than the material(s) of the liner layer 64 ) using an acceptable etching technique, such as, for example, an etching process selective to the dielectric layer 66 . etch the material(s) of the dielectric layer 66). After etchback is complete, the remaining portion of dielectric layer 66 is in trench 60A. The remaining portion of the dielectric layer 66 forms a dielectric wall 68 that separates the fin structures 62N and 62P of each pair of fin structures 62 . Dielectric wall 68 may partially or completely fill trench 60A. The dielectric wall 68 may have a width W 1 in a range from about 6 nm to about 30 nm. After dielectric layer 66 is formed, forksheet structure 80 extends from substrate 50 . The forksheet structure 80 includes a dielectric wall 68 and a pair of fin structures 62 , respectively, with the dielectric wall 68 disposed between the fin structures 62 .

위에서 언급된 바와 같이, 하나의 n형 영역(50N) 및 하나의 p형 영역(50P)이 도시되어 있지만, 기판(50)은 임의의 원하는 양의 그러한 영역을 포함할 수 있다. 각각의 포크시트 구조물(80)은 n형 영역(50N)과 p형 영역(50P)의 경계에 배치된다. 또한, 각각의 포크시트 구조물(80)의 핀 구조물(62N, 62P)은 교번한다. 다시 말해서, 각각의 n형 영역(50N)은 제1 포크시트 구조물(80)로부터의 제1 핀 구조물(62N)을 포함하고, 제2 포크시트 구조물(80)로부터의 제2 핀 구조물(62N)를 포함한다.As noted above, one n-type region 50N and one p-type region 50P are shown, however, the substrate 50 may include any desired amount of such region. Each fork seat structure 80 is disposed at the boundary between the n-type region 50N and the p-type region 50P. Further, the fin structures 62N and 62P of each fork seat structure 80 alternate. In other words, each n-type region 50N includes a first fin structure 62N from the first fork seat structure 80 , and a second fin structure 62N from the second fork seat structure 80 . includes

도 7에서, 전도성층(72)은 유전체 벽(68) 및 라이너층(64) 위에 퇴적된다. 전도성층(72)은 트렌치(60B)를 채우고 마스크(58)(존재하는 경우) 또는 핀 구조물(62) 위에 형성될 수도 있다. 유전체 벽(68)이 트렌치(60A)를 부분적으로 채울 때, 전도성층(72)은 트렌치(60A)의 잔여 부분에도 형성될 수 있다. 전도성층(72)은 퇴적 프로세스(예를 들어, ALD, CVD, PVD 등), 도금 프로세스(예를 들어, 전기 도금, 무전해 도금 등) 등에 의해 형성될 수 있는, 예를 들면, 텅스텐(W), 루테늄(Ru), 코발트(Co), 구리(Cu), 티타늄(Ti), 티타늄 질화물(TiN), 탄탈(Ta), 탄탈 질화물(TaN), 몰리브덴(Mo), 니켈(Ni), 이들의 합금 등과 같은 금속 또는 금속 함유 물질로 형성될 수 있다.In FIG. 7 , a conductive layer 72 is deposited over dielectric wall 68 and liner layer 64 . Conductive layer 72 fills trench 60B and may be formed over mask 58 (if present) or fin structure 62 . When dielectric wall 68 partially fills trench 60A, conductive layer 72 may also form in the remainder of trench 60A. Conductive layer 72 may be formed by a deposition process (eg, ALD, CVD, PVD, etc.), plating process (eg, electroplating, electroless plating, etc.), for example, tungsten (W ), ruthenium (Ru), cobalt (Co), copper (Cu), titanium (Ti), titanium nitride (TiN), tantalum (Ta), tantalum nitride (TaN), molybdenum (Mo), nickel (Ni), these It may be formed of a metal or a metal-containing material such as an alloy of

도 8에서, 전도성층(72)은 전도성층(72)의 일부 부분을 제거하기 위해 에칭백된다. 구체적으로, 트렌치(60A) 내에 그리고 마스크(58)(존재하는 경우) 또는 핀 구조물(62) 위의 전도성층(72)의 부분은 에칭백에 의해 제거된다. 전도성층(72)은 예를 들면, 전도성층(72)에 대해 선택적인(예를 들어, 라이너층(64)의 물질(들)보다 더 빠른 속도로 전도성층(72)의 물질(들)을 에칭하는) 에칭 프로세스와 같은 허용 가능한 에칭 기술을 사용하여 에칭백된다. 에칭백이 완료된 후, 전도성층(72)의 잔여 부분은 트렌치(60B)에 배치된다. 트렌치(60B)에 남아있는 전도성층(72)의 부분은 포크시트 구조물(80) 사이에 전력 레일 콘택(74)을 형성한다. 전력 레일 콘택(74)이 원하는 높이(H1)에 도달한 후, 시간 제한 에칭 프로세스(timed etch processes)를 사용하여 전도성층(72)의 에칭을 중지할 수 있다. 높이(H1)는 약 20 nm 내지 약 60 nm 범위 내일 수 있다. 또한, 전력 레일 콘택(74)은 약 6nm 내지 약 30nm 범위의 폭(W4)을 가질 수 있다.In FIG. 8 , conductive layer 72 is etched back to remove some portions of conductive layer 72 . Specifically, portions of conductive layer 72 within trench 60A and over mask 58 (if present) or fin structures 62 are removed by etchback. Conductive layer 72 may, for example, be selective to conductive layer 72 (eg, material(s) of conductive layer 72 at a faster rate than the material(s) of liner layer 64 ). Etching) is etched back using an acceptable etching technique, such as an etching process. After the etchback is complete, the remaining portion of the conductive layer 72 is placed in the trench 60B. The portion of conductive layer 72 remaining in trench 60B forms power rail contacts 74 between forksheet structures 80 . After the power rail contact 74 has reached the desired height H 1 , timed etch processes may be used to stop etching the conductive layer 72 . The height H 1 may be in the range of about 20 nm to about 60 nm. Further, the power rail contact 74 may have a width W 4 in a range from about 6 nm to about 30 nm.

도 9에서, 절연 물질(76)은 포크시트 구조물(80)에 인접한 트렌치(60A)의 잔여 부분에 형성된다. 절연 물질(76)은 마스크(58)(존재하는 경우) 또는 핀 구조물(62) 및 트렌치(60A, 60B)에 퇴적될 수 있다. 절연 물질(76)은 예를 들면, 실리콘 산화물과 같은 산화물, 예를 들면, 실리콘 질화물과 같은 질화물 등 또는 이들의 조합일 수 있으며, 고밀도 플라즈마 CVD(HDP-CVD), 유동성 CVD(FCVD) 등, 또는 이들의 조합에 의해 형성될 수 있다. 임의의 허용 가능한 프로세스에 의해 형성된 다른 절연 물질이 사용될 수 있다. 절연 물질(76)이 일단 형성되면 어닐링 프로세스가 수행될 수 있다. 절연 물질(76)이 단일 층으로 예시되었지만, 일부 실시예는 다중 층을 사용할 수 있다. 그 다음, 마스크(58)(존재하는 경우) 또는 핀 구조물(62) 위의 라이너층(64) 및 절연 물질(76)의 과잉 물질을 제거하기 위해 절연 물질(76)에 제거 프로세스가 적용된다. 일부 실시예에서, 예를 들면, 화학 기계적 연마(chemical mechanical polish; CMP), 에칭백 프로세스, 이들의 조합 등과 같은, 평탄화 프로세스가 활용될 수 있다. 평탄화 프로세스는 마스크(58) 또는 나노구조물(56)을 노출시켜, 평탄화 프로세스가 완료된 후에, 마스크(58) 또는 나노구조물(56) 각각의 상단 표면, 라이너층(64)의 잔여 부분, 및 절연 물질(76)이 (프로세스 변동 내에서) 공면이 된다. 예시된 실시예에서, 마스크(58)는 평탄화 프로세스 후에 남아있다. 또 다른 실시예에서, 마스크(58)는 또한 평탄화 프로세스에 의해 제거될 수 있다.In FIG. 9 , insulating material 76 is formed in the remainder of trench 60A adjacent to forksheet structure 80 . Insulating material 76 may be deposited in mask 58 (if present) or fin structures 62 and trenches 60A, 60B. The insulating material 76 may be, for example, an oxide such as silicon oxide, such as a nitride such as silicon nitride, or the like, or a combination thereof, such as high density plasma CVD (HDP-CVD), flowable CVD (FCVD), etc.; Or it may be formed by a combination thereof. Other insulating materials formed by any acceptable process may be used. Once the insulating material 76 is formed, an annealing process may be performed. Although insulating material 76 is illustrated as a single layer, some embodiments may use multiple layers. A removal process is then applied to insulating material 76 to remove excess material of insulating material 76 and liner layer 64 over mask 58 (if present) or fin structures 62 . In some embodiments, a planarization process may be utilized, such as, for example, chemical mechanical polish (CMP), an etchback process, combinations thereof, and the like. The planarization process exposes the mask 58 or nanostructure 56 so that after the planarization process is complete, the top surface of each of the mask 58 or nanostructure 56 , the remainder of the liner layer 64 , and the insulating material (76) becomes coplanar (within the process variation). In the illustrated embodiment, the mask 58 remains after the planarization process. In another embodiment, the mask 58 may also be removed by a planarization process.

도 10에서, 절연 물질(76)은 STI 영역(78)을 형성하도록 리세싱되어, 트렌치(60B)의 부분을 재형성한다. 절연 물질(76)은 나노구조물(56)의 적어도 일부가 STI 영역(78)으로부터 돌출되도록 리세싱된다. 예시된 실시예에서, STI 영역(78)의 상단 표면은 반도체 핀(54)의 상단 표면 아래에 있다. 일부 실시예에서, STI 영역(78)의 상단 표면은 반도체 핀(54)의 상단 표면 위에 또는 이와 (프로세스 변동 내에서) 공면이다. 또한, STI 영역(78)의 상단 표면은, 도시된 바와 같이 평평한 표면, 볼록 표면, 오목 표면(예를 들면, 디싱(dishing)) 또는 이들의 조합을 가질 수 있다. STI 영역(78)의 상부 표면은 적절한 에칭에 의해 평탄하고, 볼록하고, 그리고/또는 오목하게 형성될 수 있다. STI 영역(78)은, 예를 들면, 절연 물질(76)에 대해 선택적인(예를 들어, 포크시트 구조물(80)의 물질(들)보다 빠른 속도로 절연 물질(54)의 물질(들)을 선택적으로 에칭하는) 에칭 프로세스와 같은, 허용 가능한 에칭 프로세스를 사용하여 리세싱될 수 있다. 예를 들어, 희석된 불화수소(dHF) 산을 사용한 산화물 제거가 사용될 수 있다. STI 영역(78)이 원하는 높이(H2)에 도달한 후 절연 물질(76)의 에칭을 중지하기 위해 시간 제한 에칭 프로세스가 사용될 수 있다. 높이(H2)는 약 5 nm 내지 약 20 nm 범위 내일 수 있다. 라이너층(64)은 또한 절연 물질(76)의 리세싱 동안 리세싱될 수 있다. 절연 물질(76) 및 라이너층(64)의 상단 표면은 리세싱 후에 (프로세스 변동 내에서) 공면일 수 있다.In FIG. 10 , insulating material 76 is recessed to form STI region 78 , re-forming a portion of trench 60B. The insulating material 76 is recessed such that at least a portion of the nanostructure 56 protrudes from the STI region 78 . In the illustrated embodiment, the top surface of the STI region 78 is below the top surface of the semiconductor fin 54 . In some embodiments, the top surface of the STI region 78 is coplanar (within process variations) on or with the top surface of the semiconductor fin 54 . Further, the top surface of the STI region 78 may have a flat surface, a convex surface, a concave surface (eg, dishing), or a combination thereof, as shown. The upper surface of the STI region 78 may be formed planar, convex, and/or concave by suitable etching. STI region 78 may, for example, be selective for insulating material 76 (eg, material(s) of insulating material 54 at a faster rate than the material(s) of forksheet structure 80 ) may be recessed using an acceptable etching process, such as an etching process that selectively etches For example, oxide removal using dilute hydrofluoric (dHF) acid may be used. A time-limited etching process may be used to stop etching the insulating material 76 after the STI region 78 has reached the desired height H 2 . The height H 2 may be in the range of about 5 nm to about 20 nm. The liner layer 64 may also be recessed during recessing of the insulating material 76 . The top surfaces of the insulating material 76 and the liner layer 64 may be coplanar (within process variations) after recessing.

STI 영역(78)이 형성된 후, 포크시트 구조물(80)은 이웃하는 STI 영역들(78) 사이로부터 연장된다. STI 영역(78)은 전력 레일 콘택(74) 위에 형성되고 이를 매립한다. 각각의 라이너층(64)은 포크시트 구조물(80)과 각각의 STI 영역(78) 및 전력 레일 콘택(74) 사이에 배치된다. 위에서 설명된 프로세스는 포크시트 구조물(80)이 어떻게 형성될 수 있는지의 한 예일 뿐이라는 것을 이해해야 한다. 포크시트 구조물(80) 및 STI 영역(78)을 형성하기 위해 다른 허용 가능한 프로세스가 또한 사용될 수 있다. 포크시트 구조물(80)은 반도체 핀이 FinFET을 형성하기 위한 프로세스에서 프로세싱되는 것과 유사한 방식으로 프로세싱될 수 있다. 이러한 방식으로 포크시트 구조물(80)을 프로세싱하면 n형 디바이스 및 p형 디바이스가 동일한 포크시트 구조물(80)에 통합될 수 있다.After the STI regions 78 are formed, the forksheet structure 80 extends from between neighboring STI regions 78 . STI regions 78 are formed over and bury power rail contacts 74 . Each liner layer 64 is disposed between the forksheet structure 80 and each STI region 78 and power rail contact 74 . It should be understood that the process described above is only one example of how the fork seat structure 80 may be formed. Other acceptable processes may also be used to form the forksheet structure 80 and the STI region 78 . The forksheet structure 80 may be processed in a manner similar to that semiconductor fins are processed in a process for forming a FinFET. Processing the fork seat structure 80 in this manner allows an n-type device and a p-type device to be integrated into the same fork seat structure 80 .

도 11에서, 채널 스페이서(82)는 예를 들어, 트렌치(60B)의 부분에서 포크시트 구조물(80) 위 및 주위에 형성된다. 채널 스페이서(82)는 반도체 물질(예를 들어, 기판(50)의 후보 반도체 물질 중에서 선택된 물질)로 형성될 수 있으며, 이는 예를 들면, 기상 에피택시(VPE) 또는 분자 빔 에피택시(MBE)와 같은 프로세스에 의해 성장되거나, 예를 들어, 화학 증기 퇴적(CVD) 또는 원자 층 퇴적(ALD)과 같은 프로세스에 의해 퇴적되는 등에 의해 얻어질 수 있다. 일부 실시예에서, 채널 스페이서(82)는 핀 구조물(62) 상에 얇은 시드 층을 성장시킨 다음 시드 층으로부터 채널 스페이서(82)의 물질을 성장시키는 것을 포함할 수 있는 에피택셜 성장에 의해 성장된다. 핀 구조물(62)가 형성된 후에(예를 들어, 도 4에 대해 위에서 논의된 바와 같이, 트렌치(60)가 기판(50)에서 에칭된 후) 시드 층이 성장될 수 있다. 이방성 에칭은 채널 스페이서(82)의 물질을 형성한 후에 수행되어 STI 영역(78)을 노출시킬 수 있다. 채널 스페이서(82)는 프로세싱 동안 임시 스페이서로서 사용되며, 이후 나노-FET에 대한 채널 영역으로서 작용할 나노구조물(56)의 부분을 노출시키기 위해 후속적으로 제거될 것이다. 구체적으로, 예시된 실시예에서, 채널 스페이서(82) 및 제1 나노구조물(56A)은 후속적으로 제거되고 제2 나노구조물(56B)의 3개의 측부 주위에 형성되는 게이트 구조물로 대체될 것이다. 따라서, 채널 스페이서(82)는 제2 나노구조물(56B)의 물질의 에칭으로부터 높은 에칭 선택성을 갖는 물질로 형성된다. 채널 스페이서(82)는 제1 나노구조물(56A)과 동일한 반도체 물질로 형성될 수 있거나, 다른 물질로 형성될 수 있다.11 , channel spacers 82 are formed over and around forksheet structure 80 , for example, in portions of trench 60B. Channel spacers 82 may be formed of a semiconductor material (eg, a material selected from candidate semiconductor materials of substrate 50 ), which may be, for example, vapor phase epitaxy (VPE) or molecular beam epitaxy (MBE). It can be grown by a process such as, for example, deposited by a process such as chemical vapor deposition (CVD) or atomic layer deposition (ALD), and the like. In some embodiments, the channel spacers 82 are grown by epitaxial growth, which may include growing a thin seed layer on the fin structures 62 and then growing the material of the channel spacers 82 from the seed layer. . After the fin structures 62 are formed (eg, after the trenches 60 are etched in the substrate 50 , as discussed above with respect to FIG. 4 ), a seed layer may be grown. An anisotropic etch may be performed after forming the material of the channel spacers 82 to expose the STI regions 78 . The channel spacers 82 are used as temporary spacers during processing, which will then be subsequently removed to expose portions of the nanostructures 56 that will serve as channel regions for the nano-FETs. Specifically, in the illustrated embodiment, the channel spacers 82 and first nanostructures 56A will be subsequently removed and replaced with gate structures formed around three sides of the second nanostructures 56B. Accordingly, the channel spacers 82 are formed of a material having a high etch selectivity from the etching of the material of the second nanostructures 56B. The channel spacers 82 may be formed of the same semiconductor material as the first nanostructure 56A, or may be formed of a different material.

도 12에서, 유전체 핀(84)은 채널 스페이서들(82) 사이와 STI 영역(78) 상에, 예를 들어, 채널 스페이서(82)에 의해 채워지지 않는 트렌치(60B)의 잔여 부분에 형성된다. 따라서, 각 트렌치(60B)는 한 쌍의 채널 스페이서(82) 및 유전체 핀(84)에 의해 채워지고, 유전체 핀(84)은 채널 스페이서들(82) 사이에 있다. 유전체 핀(84)은, 열 산화 또는 컨포멀 퇴적 프로세스(예를 들어, 라이너층(64)을 형성하는 후보 방법 중에서 선택된 프로세스)에 의해 형성될 수 있는, 로우-k 유전체 물질(예를 들어, 라이너층(64)의 후보 유전체 물질 중에서 선택된 물질), 하이-k 유전체 물질(예를 들어, 라이너층(64)의 후보 유전체 물질 중에서 선택된 물질), 이들의 조합 등으로 형성될 수 있다. 예시된 실시예에서, 각각의 유전체 핀(84)은 제1 유전체층(84A) 및 제1 유전체층(84A) 상의 제2 유전체층(84B)을 포함하며, 제1 유전체층(84A)은 실리콘 탄질화물, 실리콘 산탄화물, 또는 실리콘 산탄화물로 형성되고, 제2 유전체층(84B)은 실리콘 산화물로 형성된다. 유전체 핀(84)은 약 6nm 내지 약 30nm 범위의 제5 폭(W5)을 가질 수 있다.In FIG. 12 , a dielectric fin 84 is formed between the channel spacers 82 and on the STI region 78 , for example in the remainder of the trench 60B not filled by the channel spacers 82 . . Accordingly, each trench 60B is filled by a pair of channel spacers 82 and a dielectric fin 84 , with the dielectric fin 84 being between the channel spacers 82 . The dielectric fin 84 is a low-k dielectric material (eg, a low-k dielectric material, which may be formed by a thermal oxidation or conformal deposition process (eg, a process selected from candidate methods of forming the liner layer 64 ). a material selected from candidate dielectric materials for the liner layer 64 ), a high-k dielectric material (eg, a material selected from candidate dielectric materials for the liner layer 64 ), a combination thereof, or the like. In the illustrated embodiment, each dielectric fin 84 includes a first dielectric layer 84A and a second dielectric layer 84B on the first dielectric layer 84A, the first dielectric layer 84A being silicon carbonitride, silicon It is formed of oxycarbide or silicon oxycarbide, and the second dielectric layer 84B is formed of silicon oxide. The dielectric fin 84 may have a fifth width W 5 in a range from about 6 nm to about 30 nm.

그 다음, 채널 스페이서(82) 위의 유전체 핀(84)의 과잉 물질(들)을 제거하기 위해 제거 프로세스가 유전체 핀(84)에 적용된다. 일부 실시예에서, 예를 들면, 화학 기계적 연마(CMP), 에칭백 프로세스, 이들의 조합 등과 같은, 평탄화 프로세스가 활용될 수 있다. 평탄화 프로세스는 채널 스페이서(82)를 노출시켜 채널 스페이서(82) 및 유전체 핀(84)의 상단 표면이 평탄화 프로세스가 완료된 후 (프로세스 변동 내에서) 공면이 되도록 한다.A removal process is then applied to the dielectric fins 84 to remove excess material(s) of the dielectric fins 84 over the channel spacers 82 . In some embodiments, a planarization process may be utilized, such as, for example, chemical mechanical polishing (CMP), an etchback process, combinations thereof, and the like. The planarization process exposes the channel spacers 82 so that the top surfaces of the channel spacers 82 and dielectric fins 84 are coplanar (within process variations) after the planarization process is complete.

도 13에서, 유전체 핀(84)은 선택적으로 리세싱되어, 트렌치(60B)의 부분을 재형성한다. 유전체 핀(84)은 유전체 핀(84)에 대해 선택적인 에칭(예를 들어, 제1 유전체층(84A) 및 제2 유전체층(84B)의 물질(들)을 채널 스페이서(82)의 물질(들)보다 더 빠른 속도로 선택적으로 에칭)과 같은 허용 가능한 에칭 프로세스를 사용하여 리세싱될 수 있다. In FIG. 13 , dielectric fin 84 is selectively recessed to re-form a portion of trench 60B. The dielectric fin 84 is etched selectively to the dielectric fin 84 (eg, the material(s) of the first dielectric layer 84A and the second dielectric layer 84B) to the material(s) of the channel spacer 82 . may be recessed using an acceptable etching process such as selectively etching at a higher rate).

도 14에서, 예를 들면, 유전체 핀(84)을 위한 제3 유전체층(84C)은 제1 유전체층(84A) 및 제2 유전체층(84B) 상에서와 같이 트렌치(60B)에 선택적으로 형성된다. 제3 유전체층(84C)은 (라이너층(64)의 후보 유전체 물질 중에서 선택된 것과 같은) 하이-k 유전체 물질로 형성될 수 있으며, 이는 컨포멀 퇴적 프로세스(예를 들어, 라이너층(64)을 형성하는 후보 방법들 중에서 선택된 방법)에 의해 퇴적될 수 있다. 그 다음, 마스크(58)(존재하는 경우) 또는 핀 구조물(62) 위의 제3 유전체층(84C) 및 채널 스페이서(82)의 과잉 물질(들)을 제거하기 위해 제거 프로세스가 적용된다. 일부 실시예에서, 예를 들면, 화학 기계적 연마(CMP), 에칭백 프로세스, 이들의 조합 등과 같은, 평탄화 프로세스가 활용될 수 있다. 평탄화 프로세스는 마스크(58) 또는 나노구조물(56)을 노출시켜, 각각 마스크(58) 또는 나노구조물(56), 채널 스페이서(82) 및 제3 유전체층(84C)의 상단 표면이 평탄화 프로세스가 완료된 후 (프로세스 변동 내에서) 공면이 되게 한다. 예시된 실시예에서, 마스크(58)는 평탄화 프로세스 후에 남아 있다. 또 다른 실시예에서, 마스크(58)는 또한 평탄화 프로세스에 의해 제거될 수 있다.In FIG. 14 , for example, a third dielectric layer 84C for dielectric fin 84 is selectively formed in trench 60B as on first dielectric layer 84A and second dielectric layer 84B. Third dielectric layer 84C may be formed of a high-k dielectric material (such as one selected from candidate dielectric materials for liner layer 64 ), which may be formed by a conformal deposition process (eg, to form liner layer 64 ). a method selected from among the candidate methods). A removal process is then applied to remove excess material(s) of the channel spacers 82 and the third dielectric layer 84C over the mask 58 (if present) or fin structures 62 . In some embodiments, a planarization process may be utilized, such as, for example, chemical mechanical polishing (CMP), an etchback process, combinations thereof, and the like. The planarization process exposes the mask 58 or nanostructure 56 so that the top surface of the mask 58 or nanostructure 56, the channel spacer 82 and the third dielectric layer 84C, respectively, after the planarization process is complete. to be coplanar (within process variations). In the illustrated embodiment, the mask 58 remains after the planarization process. In another embodiment, the mask 58 may also be removed by a planarization process.

예시된 실시예에서, 유전체 핀(84)은 로우-k 유전체 물질로 형성된 하부 부분(제1 유전체층(84A) 및 제2 유전체층(84B)을 포함함) 및 하이-k 유전체로 형성된 상부 부분(제3 유전체층(84C)을 포함함)을 갖는다. 예를 들면, 더 많거나 더 적은 층을 갖는 유전체 핀(84)과 같은 다른 유형의 유전체 핀(84)이 형성될 수 있다는 것을 이해해야 한다. 다양한 실시예에서, 유전체 핀(84)은 로우-k 유전체 물질의 하부 부분 및 상부 부분; 하이-k 유전체 물질의 하부 부분 및 상부 부분; 하이-k 유전체 물질의 하부 부분 및 로우-k 유전체 물질의 상부 부분; 단일 층의 하부 부분 및/또는 상부 부분; 다층 하부 부분 및/또는 상부 부분; 등을 포함할 수 있다. 유전체 핀(84)의 상부 부분은 약 6nm 내지 약 30nm의 범위의 높이(H3)을 가질 수 있고, 유전체 핀(84)의 하부 부분은 약 27nm 내지 약 60nm의 범위의 높이(H4)를 가질 수 있으며, 유전체 핀(84)은 약 33nm 내지 약 90nm의 범위의 전체 높이를 가질 수 있다.In the illustrated embodiment, dielectric fin 84 has a lower portion formed of a low-k dielectric material (comprising a first dielectric layer 84A and a second dielectric layer 84B) and an upper portion formed of a high-k dielectric (the second dielectric layer 84B). 3 dielectric layer 84C). It should be understood that other types of dielectric fins 84 may be formed, such as, for example, dielectric fins 84 having more or fewer layers. In various embodiments, the dielectric fin 84 comprises a lower portion and an upper portion of a low-k dielectric material; a lower portion and an upper portion of a high-k dielectric material; a lower portion of high-k dielectric material and an upper portion of low-k dielectric material; a lower portion and/or an upper portion of a single layer; multi-layered lower portion and/or upper portion; and the like. The upper portion of the dielectric fin 84 may have a height H 3 in the range of about 6 nm to about 30 nm, and the lower portion of the dielectric fin 84 may have a height H 4 in the range of about 27 nm to about 60 nm. and the dielectric fin 84 may have an overall height in the range of about 33 nm to about 90 nm.

도 15에서, 포크시트 구조물(80) 및 채널 스페이서(82)는 유전체 핀(84)이 이웃하는 채널 스페이서들(82) 사이에서 연장되도록 리세싱된다. 리세싱은 마스크(58)가 이 프로세싱 단계에서 여전히 존재한다면 핀 구조물(62)로부터 마스크(58)를 제거한다. 리세싱은 허용 가능한 에칭 프로세스(들)에 의해 이루어질 수 있다. 예를 들어, 포크시트 구조물(80)은 예를 들면, 마스크(58), 나노구조물(56) 및 유전체 벽(68)에 대해 선택적인 것과 같은 허용 가능한 에칭 프로세스(예를 들어, 채널 스페이서(82) 및 유전체 핀(84)의 물질(들)보다 빠른 속도로 마스크(58), 나노구조물(56) 및 유전체 벽(68)의 물질(들)을 선택적으로 에칭함)를 사용하여 리세싱될 수 있다. 채널 스페이서(82)는 예를 들면, 채널 스페이서(82)에 대해 선택적인 것과 같은 허용 가능한 에칭 프로세스(예를 들어, 나노구조물(56) 및 유전체 벽(68)의 물질(들)보다 빠른 속도로 채널 스페이서(82)의 물질(들)을 선택적으로 에칭함)를 사용하여 선택적으로 트리밍될 수 있다. 리세싱/트리밍은 나노구조물(56)의 부분을 제거할 수 있다.In FIG. 15 , forksheet structure 80 and channel spacers 82 are recessed such that dielectric fins 84 extend between neighboring channel spacers 82 . Recessing removes mask 58 from fin structure 62 if mask 58 is still present at this processing step. Recessing may be by an acceptable etching process(s). For example, the forksheet structure 80 may be subjected to an acceptable etch process (eg, channel spacers 82 , such as selective for mask 58 , nanostructures 56 and dielectric wall 68 ) ) and selectively etching the material(s) of the mask 58 , the nanostructures 56 and the dielectric wall 68 at a faster rate than the material(s) of the dielectric fins 84 ). have. The channel spacers 82 may be subjected to an acceptable etching process (eg, at a faster rate than the material(s) of the nanostructures 56 and dielectric wall 68 , such as those selective for the channel spacers 82 ). by selectively etching the material(s) of the channel spacers 82). Recessing/trimming may remove portions of nanostructures 56 .

그런 다음 더미 유전체층(86)이 포크시트 구조물(80), 채널 스페이서(82) 및 유전체 핀(84) 상에 형성된다. 더미 유전체층(86)은 실리콘 산화물, 실리콘 질화물, 이들의 조합 등일 수 있고, 이들은 허용 가능한 기술에 따라 퇴적되거나 열적으로 성장될 수 있다.A dummy dielectric layer 86 is then formed over the forksheet structure 80 , the channel spacers 82 and the dielectric fins 84 . The dummy dielectric layer 86 may be silicon oxide, silicon nitride, combinations thereof, or the like, which may be deposited or thermally grown according to acceptable techniques.

도 16a 내지 23c는 나노-FET의 제조에서 추가 중간 단계를 예시한다. 도 16a, 17a, 18a, 19a, 20a, 21a, 22a 및 23a는 n형 영역(50N) 및 p형 영역(50P) 모두에 적용될 수 있다. n형 영역(50N) 및 p형 영역(50P)의 구조물에서의 차이(있는 경우)는 각 도면에 첨부된 텍스트에 설명되어 있다.16A-23C illustrate further intermediate steps in the fabrication of nano-FETs. 16A, 17A, 18A, 19A, 20A, 21A, 22A, and 23A may be applied to both the n-type region 50N and the p-type region 50P. The differences (if any) in the structure of the n-type region 50N and the p-type region 50P are described in the text accompanying each figure.

도 16a, 16b 및 16c에서, 더미 게이트(94)는 더미 유전체층(86) 위에 형성된다. 더미 게이트(94)는 더미 게이트층을 형성하고 더미 게이트층을 패터닝함으로써 형성될 수 있다. 더미 게이트층(86)은 더미 유전체층(86) 위에 퇴적된 후, 예를 들어, CMP에 의해 평탄화될 수 있다. 더미 게이트층은 전도성 또는 비전도성 물질일 수 있고 비정질 실리콘, 다결정 실리콘(polysilicon), 다결정 실리콘 게르마늄(poly-SiGe), 금속성 질화물, 금속성 실리사이드, 금속성 산화물, 및 금속을 포함하는 그룹 중에서 선택될 수 있다. 더미 게이트층은 물리적 증기 퇴적(PVD), CVD, 스퍼터 퇴적, 또는 선택된 물질을 퇴적하기 위한 다른 기술에 의해 퇴적될 수 있다. 그 다음, 더미 게이트층은 예를 들면, 더미 게이트(94)의 패턴을 갖는 마스크(96)와 같은 허용 가능한 포토리소그래피 및 에칭 기술을 사용하여 더미 게이트(94)를 형성하도록 패터닝될 수 있다. 마스크(96)의 패턴은 더미 게이트(94)를 형성하기 위해 허용 가능한 에칭 기술에 의해 더미 게이트층으로 전사된다. 마스크(96)의 패턴은 또한, 더미 유전체(92)를 형성하기 위해 허용 가능한 에칭 기술에 의해 더미 유전체층으로 선택적으로 전사될 수 있다.16A , 16B and 16C , a dummy gate 94 is formed over the dummy dielectric layer 86 . The dummy gate 94 may be formed by forming a dummy gate layer and patterning the dummy gate layer. A dummy gate layer 86 may be deposited over the dummy dielectric layer 86 and then planarized by, for example, CMP. The dummy gate layer may be of a conductive or non-conductive material and may be selected from the group comprising amorphous silicon, polysilicon, poly-SiGe, metallic nitride, metallic silicide, metallic oxide, and metal. . The dummy gate layer may be deposited by physical vapor deposition (PVD), CVD, sputter deposition, or other techniques for depositing selected materials. The dummy gate layer may then be patterned to form a dummy gate 94 using acceptable photolithography and etching techniques, such as, for example, a mask 96 having the pattern of the dummy gate 94 . The pattern of mask 96 is transferred to the dummy gate layer by an acceptable etching technique to form dummy gate 94 . The pattern of mask 96 may also be selectively transferred to the dummy dielectric layer by an acceptable etching technique to form dummy dielectric 92 .

마스크(96)는 단일 층 마스크일 수 있거나, 각각 제1 마스크층(96A) 및 제2 마스크층(96B)을 포함하는 다층 마스크와 같은 다층 마스크일 수 있다. 제1 마스크층(96A) 및 제2 마스크층(96B)은 각각 실리콘 산화물, 실리콘 질화물, 이들의 조합 등과 같은 유전체 물질로 형성될 수 있고, 허용 가능한 기술에 따라 퇴적되거나 열적으로 성장될 수 있다. 제1 마스크층(96A)의 물질은 제2 마스크층(96B)의 물질의 에칭으로부터 높은 에칭 선택성을 가질 수 있다. 예를 들어, 제1 마스크층(96A)은 실리콘 산화물로 형성될 수 있고, 제2 마스크층(96B)은 실리콘 질화물로 형성될 수 있다.Mask 96 may be a single layer mask or may be a multilayer mask, such as a multilayer mask comprising a first mask layer 96A and a second mask layer 96B, respectively. The first mask layer 96A and the second mask layer 96B may each be formed of a dielectric material such as silicon oxide, silicon nitride, combinations thereof, or the like, and may be deposited or thermally grown according to acceptable techniques. The material of the first mask layer 96A may have high etch selectivity from etching of the material of the second mask layer 96B. For example, the first mask layer 96A may be formed of silicon oxide, and the second mask layer 96B may be formed of silicon nitride.

더미 게이트(94)는 채널 영역을 형성하기 위해 후속 프로세싱에서 노출될 나노구조물(56)의 부분을 덮는다. 구체적으로, 더미 게이트(94)는 채널 영역(88)을 형성하는 데 사용될 나노구조물(56)의 부분을 따라 연장된다. 마스크(96)의 패턴은 인접한 더미 게이트(94)를 물리적으로 분리하기 위해 사용될 수 있다. 더미 게이트(94)는 또한 반도체 핀(54)의 길이 방향에 (프로세스 변동 내에서) 실질적으로 수직인 길이 방향을 가질 수 있다. 마스크(96)는 예를 들면, 허용 가능한 에칭 기술에 의해 패터닝 후에 선택적으로 제거될 수 있다.A dummy gate 94 covers the portion of the nanostructure 56 that will be exposed in subsequent processing to form a channel region. Specifically, dummy gate 94 extends along the portion of nanostructure 56 that will be used to form channel region 88 . The pattern of mask 96 may be used to physically isolate adjacent dummy gates 94 . The dummy gate 94 may also have a longitudinal direction substantially perpendicular (within process variations) to the longitudinal direction of the semiconductor fin 54 . Mask 96 may be selectively removed after patterning by, for example, acceptable etching techniques.

게이트 스페이서(98)는 핀 구조물(62) 위에, 예를 들어, 마스크(96), 더미 게이트(94) 및 더미 유전체(92)의 노출된 측벽 상에 형성된다. 게이트 스페이서(98)는 절연 물질을 컨포멀하게(conformally) 형성하고 이어서 절연 물질을 에칭함으로써 형성될 수 있다. 절연 물질은 (라이너층(64)의 후보 유전체 물질 중에서 선택된 것과 같은) 로우-k 유전체 물질일 수 있으며, 이는 컨포멀 퇴적 프로세스(예를 들어, 라이너층(64)을 형성하는 후보 방법들 중에서 선택된 방법)에 의해 퇴적될 수 있다. 게이트 스페이서(98)는 단일 층의 절연 물질 또는 다중 층의 절연 물질로 형성될 수 있다. 일부 실시예에서, 게이트 스페이서(98)는 각각 다층의 실리콘 산탄질화물을 포함하고, 여기서 각각의 층은 상이한 조성의 실리콘 산탄질화물을 가질 수 있다. 일부 실시예에서, 게이트 스페이서(98)는 각각 2개의 실리콘 질화물층 사이에 배치된 실리콘 산화물층을 포함한다. 다른 스페이서 구조물이 형성될 수 있다. 절연 물질의 에칭은 이방성일 수 있다. 예를 들어, 에칭 프로세스는 예를 들면, RIE, NBE 등과 같은 건식 에칭일 수 있다. 에칭 후, 게이트 스페이서(98)는 직선 측벽 또는 곡선 측벽을 가질 수 있다.A gate spacer 98 is formed over the fin structure 62 , for example, on the exposed sidewalls of the mask 96 , the dummy gate 94 and the dummy dielectric 92 . Gate spacers 98 may be formed by conformally forming an insulating material followed by etching the insulating material. The insulating material may be a low-k dielectric material (such as selected from candidate dielectric materials for liner layer 64 ), which may be a conformal deposition process (eg, selected from candidate methods of forming liner layer 64 ). method) can be deposited. The gate spacer 98 may be formed of a single layer of insulating material or multiple layers of insulating material. In some embodiments, the gate spacers 98 each include multiple layers of silicon oxycarbonitride, where each layer may have a different composition of silicon oxycarbonitride. In some embodiments, the gate spacers 98 each include a silicon oxide layer disposed between two silicon nitride layers. Other spacer structures may be formed. Etching of the insulating material may be anisotropic. For example, the etching process may be, for example, dry etching, such as RIE, NBE, or the like. After etching, the gate spacers 98 may have straight sidewalls or curved sidewalls.

게이트 스페이서(98)의 형성 이전에, 경도핑되는 소스/드레인(lightly doped source/drain; LDD) 영역을 위한 주입이 수행될 수 있다. 상이한 디바이스 유형들을 갖는 실시예에서, 위에서 논의된 주입과 유사하게, p형 영역(50P)을 노출시키면서 예를 들면, 포토레지스트와 같은 마스크가 n형 영역(50N) 위에 형성될 수 있고, 적절한 유형(예를 들어, p형) 불순물이 p형 영역(50P)에서 노출된 핀 구조물(62) 내로 주입될 수 있다. 그런 다음, 마스크가 제거될 수 있다. 이어서, n형 영역(50N)을 노출시키면서 p형 영역(50P) 위에 예를 들면, 포토레지스트와 같은 마스크가 형성될 수 있고, n형 영역(50N) 내의 노출된 핀(66) 구조물(55) 내로 적절한 유형의 불순물(예를 들어, n형)이 주입될 수 있다. 그런 다음, 마스크가 제거될 수 있다. n형 불순물은 전술한 n형 불순물 중 어느 하나일 수 있고, p형 불순물은 전술한 p형 불순물 중 어느 하나일 수 있다. 경도핑된 소스/드레인 영역은 약 1015 cm-3 내지 약 1019 cm-3 범위의 불순물 농도를 가질 수 있다. 어닐링은 주입 손상을 복구하고 주입된 불순물을 활성화하는 데 사용될 수 있다. 주입하는 동안, 채널 영역(88)은 더미 게이트(94)에 의해 덮인 채로 유지되어, 채널 영역(88)은 LDD 영역에 주입된 불순물로부터 실질적으로 자유롭다(free).Prior to the formation of the gate spacers 98, implantation for lightly doped source/drain (LDD) regions may be performed. In an embodiment with different device types, similar to implantation discussed above, a mask, eg, photoresist, may be formed over the n-type region 50N while exposing the p-type region 50P, and a suitable type (eg, p-type) impurities may be implanted into the fin structure 62 exposed in the p-type region 50P. The mask may then be removed. A mask, eg, photoresist, may then be formed over p-type region 50P, exposing n-type region 50N, with exposed fin 66 structures 55 in n-type region 50N. An appropriate type of impurity (eg, n-type) may be implanted into it. The mask may then be removed. The n-type impurity may be any one of the aforementioned n-type impurities, and the p-type impurity may be any one of the aforementioned p-type impurities. The lightly doped source/drain regions may have an impurity concentration ranging from about 10 15 cm −3 to about 10 19 cm −3 . Annealing can be used to repair implant damage and activate implanted impurities. During implantation, the channel region 88 remains covered by the dummy gate 94 such that the channel region 88 is substantially free from impurities implanted into the LDD region.

상기 개시는 일반적으로 스페이서 및 LDD 영역을 형성하는 프로세스를 설명한다는 점에 유의한다. 다른 프로세스 및 시퀀스가 사용될 수 있다. 예를 들어, 더 적거나 추가의 스페이서가 이용될 수 있고, 상이한 순서의 단계가 이용될 수 있는 등이 가능하다(예를 들어, 추가 스페이서가 형성되고 제거될 수 있는 등이 가능함). 더욱이, n형 및 p형 디바이스는 상이한 구조물 및 단계를 사용하여 형성될 수 있다.Note that the above disclosure generally describes a process for forming spacers and LDD regions. Other processes and sequences may be used. For example, fewer or additional spacers may be used, different orders of steps may be used, and the like (eg, additional spacers may be formed and removed, etc.). Moreover, n-type and p-type devices can be formed using different structures and steps.

그런 다음, 게이트 스페이서(98)가 형성된 후, 소스/드레인 리세스(102)가 핀 구조물(62) 및 채널 스페이서(82)에 형성된다. 예시된 실시예에서, 소스/드레인 리세스(102)는 반도체 핀(54) 및 STI 영역(78)을 노출시키기 위해 나노구조물(56) 및 채널 스페이서(82)를 관통해 연장된다. 소스/드레인 리세스(102)는 또한 반도체 핀(54) 내로 연장될 수 있다. 즉, 소스/드레인 리세스(102)는 나노구조물(56)에만 형성될 수 있거나 반도체 핀(54) 내로 연장되도록 형성될 수도 있다. 다양한 실시예에서, 핀 구조물(62) 내의 소스/드레인 리세스(102)는 반도체 핀(54)을 에칭하지 않고 반도체 핀(54)의 상단 표면으로 연장될 수 있고; 반도체 핀(54)은, 핀 구조물(62) 내의 소스/드레인 리세스(102)의 하단 표면이 STI 영역(78)의 상단 표면 아래에 배치되도록 에칭될 수 있는 등이 가능하다. 소스/드레인 리세스(102)는 예를 들면, 핀 구조물(62) 및 채널 스페이서(82)에 선택적인 것과 같은 허용 가능한 에칭 프로세스(예를 들어, 유전체 벽(68) 및 유전체 핀(84)의 물질(들)보다 빠른 속도로 반도체 핀(54), 나노구조물(56) 및 채널 스페이서(82)의 물질(들)을 선택적으로 에칭함)를 사용하여 형성될 수 있다. 따라서 유전체 벽(68) 및 유전체 핀(84)은 소스/드레인 리세스(102)가 형성된 후에 남아있다. 게이트 스페이서(98) 및 마스크(96)는 소스/드레인 리세스(102)를 형성하는데 사용되는 에칭 프로세스 동안 핀 구조물(62) 및 채널 스페이서(82)의 부분을 집합적으로 마스킹한다. 소스/드레인 리세스(102)가 원하는 깊이에 도달한 후에 소스/드레인 리세스(102)의 에칭을 중지하기 위해 시간 제한 에칭 프로세스가 사용될 수 있다.Then, after the gate spacers 98 are formed, source/drain recesses 102 are formed in the fin structures 62 and the channel spacers 82 . In the illustrated embodiment, source/drain recesses 102 extend through nanostructures 56 and channel spacers 82 to expose semiconductor fins 54 and STI regions 78 . The source/drain recesses 102 may also extend into the semiconductor fins 54 . That is, the source/drain recesses 102 may be formed only in the nanostructure 56 or may be formed to extend into the semiconductor fin 54 . In various embodiments, the source/drain recesses 102 in the fin structure 62 may extend to a top surface of the semiconductor fin 54 without etching the semiconductor fin 54 ; The semiconductor fin 54 may be etched such that the bottom surface of the source/drain recess 102 in the fin structure 62 is disposed below the top surface of the STI region 78 , and the like. The source/drain recesses 102 are formed by an acceptable etching process (eg, of the dielectric wall 68 and the dielectric fin 84 , such as selective for the fin structures 62 and the channel spacers 82 ). selectively etching the material(s) of the semiconductor fins 54 , the nanostructures 56 and the channel spacers 82 at a faster rate than the material(s)). The dielectric walls 68 and dielectric fins 84 thus remain after the source/drain recesses 102 are formed. Gate spacers 98 and mask 96 collectively mask portions of fin structures 62 and channel spacers 82 during the etching process used to form source/drain recesses 102 . A time-limited etching process may be used to stop etching the source/drain recesses 102 after the source/drain recesses 102 have reached a desired depth.

내부 스페이서(104)는 선택적으로 제1 나노구조물(56A)의 잔여 부분의 측벽, 예를 들어, 소스/드레인 리세스(102)에 의해 노출되는 측벽 상에 형성된다. 이하에서 더 상세히 논의되는 바와 같이, 소스/드레인 영역은 이후에 소스/드레인 리세스(102)에 형성될 것이며, 제1 나노구조물(56A)은 후속적으로 대응하는 게이트 구조물로 대체될 것이다. 내부 스페이서(104)는 이후에 형성되는 소스/드레인 영역과 이후에 형성되는 게이트 구조물 사이의 격리 피처로서 작용한다. 또한, 내부 스페이서(104)는 게이트 구조물을 후속적으로 형성하는 데 사용되는 에칭 프로세스와 같은 후속 에칭 프로세스에 의해 후속적으로 형성된 소스/드레인 영역에 대한 손상을 방지하기 위해 사용될 수 있다.The inner spacers 104 are optionally formed on the sidewalls of the remainder of the first nanostructures 56A, eg, sidewalls exposed by the source/drain recesses 102 . As discussed in more detail below, source/drain regions will then be formed in the source/drain recesses 102 and the first nanostructures 56A will subsequently be replaced with corresponding gate structures. The inner spacers 104 act as isolation features between the subsequently formed source/drain regions and the subsequently formed gate structures. In addition, the inner spacers 104 may be used to prevent damage to the source/drain regions subsequently formed by a subsequent etching process, such as an etching process used to subsequently form the gate structure.

내부 스페이서(104)를 형성하기 위한 예로서, 소스/드레인 리세스(102)는 확장될 수 있다. 구체적으로, 소스/드레인 리세스(102)에 의해 노출된 제1 나노구조물(56A)의 측벽의 부분이 리세싱될 수 있다. 제1 나노구조물(56A)의 측벽은 직선으로 도시되어 있지만, 측벽은 오목하거나 볼록할 수 있다. 측벽은 제1 나노구조물(56A)의 물질에 대해 선택적인 것과 같은 허용 가능한 에칭 프로세스(예를 들어, 제2 나노구조물(56B) 및 반도체 핀(54)의 물질(들)보다 빠른 속도로 제1 나노구조물(56A)의 물질을 선택적으로 에칭함)에 의해 리세싱될 수 있다. 에칭은 등방성일 수 있다. 예를 들어, 반도체 핀(54) 및 제2 나노구조물(56B)이 실리콘으로 형성되고 제1 나노구조물(56A)이 실리콘 게르마늄으로 형성되는 경우, 에칭 프로세스는 테트라메틸암모늄 수산화물(TMAH), 암모늄 수산화물(NH4OH) 등을 사용한 습식 에칭일 수 있다. 또 다른 실시예에서, 에칭 프로세스는 불화수소(HF) 가스와 같은 불소 기반 가스를 사용하는 건식 에칭일 수 있다. 일부 실시예에서, 소스/드레인 리세스(102)를 형성하고 제1 나노구조물(56A)의 측벽을 리세싱하기 위해 동일한 에칭 프로세스가 계속적으로 수행될 수 있다. 일부 실시예에서, 측벽을 리세싱하는데 사용되는 에칭 프로세스는 또한 제2 나노구조물(56B)의 에칭된 부분을 트리밍할(예를 들어, 두께를 감소시킬) 수 있다. 그런 다음, 내부 스페이서(104)는 절연 물질을 컨포멀하게 형성하고 이어서 절연 물질을 에칭함으로써 형성될 수 있다. 절연 물질은 (라이너층(64)의 후보 유전체 물질 중에서 선택된 것과 같은) 로우-k 유전체 물질로 형성될 수 있으며, 이는 컨포멀 퇴적 프로세스(예를 들어, 라이너층(64)을 형성하는 후보 방법들 중에서 선택된 방법)에 의해 퇴적될 수 있다. 절연 물질의 에칭은 이방성일 수 있다. 예를 들어, 에칭 프로세스는 예를 들면, RIE, NBE 등과 같은 건식 에칭일 수 있다. 내부 스페이서(104)의 외부 측벽이 게이트 스페이서(98)의 측벽으로부터 리세싱된 것으로 도시되어 있지만, 내부 스페이서(104)의 외부 측벽은 게이트 스페이서(98)의 측벽을 넘어서 연장되거나 그에 대해 동일한 높이에 있을 수 있다. 다시 말해서, 내부 스페이서(104)는 측벽 리세스를 부분적으로 채우거나, 완전히 채우거나, 과도하게 채울 수 있다. 더욱이, 내부 스페이서(104)의 측벽이 오목한 것으로 도시되어 있지만, 내부 스페이서(104)의 측벽은 직선이거나 볼록할 수 있다.As an example for forming the inner spacers 104 , the source/drain recesses 102 may be enlarged. Specifically, the portion of the sidewall of the first nanostructure 56A exposed by the source/drain recess 102 may be recessed. Although the sidewalls of the first nanostructure 56A are shown as straight, the sidewalls may be concave or convex. The sidewalls may be subjected to an acceptable etching process such as selective for the material of the first nanostructure 56A (eg, the first nanostructure 56B and the first nanostructure 56B at a faster rate than the material(s) of the semiconductor fin 54 ). by selectively etching the material of the nanostructures 56A). The etching may be isotropic. For example, when the semiconductor fin 54 and the second nanostructure 56B are formed of silicon and the first nanostructure 56A is formed of silicon germanium, the etching process is tetramethylammonium hydroxide (TMAH), ammonium hydroxide It may be wet etching using (NH 4 OH) or the like. In another embodiment, the etching process may be dry etching using a fluorine-based gas such as hydrogen fluoride (HF) gas. In some embodiments, the same etching process may be performed continuously to form the source/drain recesses 102 and recess the sidewalls of the first nanostructures 56A. In some embodiments, the etching process used to recess the sidewalls may also trim (eg, reduce the thickness) the etched portion of the second nanostructures 56B. The inner spacers 104 may then be formed by conformally forming an insulating material followed by etching the insulating material. The insulating material may be formed of a low-k dielectric material (such as selected from among the candidate dielectric materials for the liner layer 64 ), which is a conformal deposition process (eg, candidate methods of forming the liner layer 64 ). may be deposited by a method selected from among Etching of the insulating material may be anisotropic. For example, the etching process may be, for example, dry etching such as RIE, NBE, or the like. Although the outer sidewalls of the inner spacer 104 are shown recessed from the sidewalls of the gate spacer 98 , the outer sidewalls of the inner spacer 104 extend beyond or flush with the sidewalls of the gate spacer 98 . there may be In other words, the inner spacer 104 may partially fill, completely fill, or overfill the sidewall recesses. Moreover, although the sidewalls of the inner spacer 104 are shown as concave, the sidewalls of the inner spacer 104 may be straight or convex.

도 17a, 17b 및 17c에서, 에피택셜 소스/드레인 영역(106)은 소스/드레인 리세스(102)에 형성된다. 에피택셜 소스/드레인 영역(70)은, 각각의 더미 게이트(94)가 에피택셜 소스/드레인 영역(106)의 각각의 이웃하는 쌍들 사이에 배치되도록 소스/드레인 리세스(102) 내에 형성된다. 일부 실시예에서, 게이트 스페이서(98) 및 내부 스페이서(104)는, 에피택셜 소스/드레인 영역(106)이 나노-FET의 후속적으로 형성된 게이트를 단락시키지 않도록, 적절한 측방향 거리만큼 에피택셜 소스/드레인 영역(106)으로부터 각각 더미 게이트(94) 및 제1 나노구조물(56A)을 분리하는데 사용된다. 에피택셜 소스/드레인 영역(106)은 내부 스페이서(104)(존재한다면)와 접촉하여 형성될 수 있고, 제2 나노구조물(56B)의 측벽을 지나 연장될 수 있다. 에피택셜 소스/드레인 영역(106)은 제2 나노구조물(56B)에 응력을 가하여 성능을 향상시킬 수 있다.17A , 17B and 17C , an epitaxial source/drain region 106 is formed in the source/drain recess 102 . Epitaxial source/drain regions 70 are formed in source/drain recesses 102 such that each dummy gate 94 is disposed between respective neighboring pairs of epitaxial source/drain regions 106 . In some embodiments, the gate spacers 98 and inner spacers 104 are separated from the epitaxial source by an appropriate lateral distance such that the epitaxial source/drain regions 106 do not short the subsequently formed gate of the nano-FET. /used to isolate dummy gate 94 and first nanostructure 56A from drain region 106, respectively. Epitaxial source/drain regions 106 may be formed in contact with inner spacers 104 (if present) and may extend beyond sidewalls of second nanostructures 56B. The epitaxial source/drain region 106 may improve performance by applying a stress to the second nanostructure 56B.

n형 영역(50N) 내의 에피택셜 소스/드레인 영역(106)은 p형 영역(50P)을 마스킹함으로써 형성될 수 있다. 그 후, 에피택셜 소스/드레인 영역(106)은 n형 영역(50N)의 소스/드레인 리세스(102)에서 에피택셜 성장된다. 에피택셜 소스/드레인 영역(106)은 n형 나노-FET에 적합한 임의의 허용 가능한 물질을 포함할 수 있다. 예를 들어, n형 영역(50N)의 에피택셜 소스/드레인 영역(106)은 예를 들면, 실리콘, 실리콘 탄화물, 인으로 도핑된 실리콘 탄화물, 실리콘 인화물 등과 같은, 채널 영역(88)에 대해 인장 변형을 가하는 물질을 포함할 수 있다. n형 영역(50N) 내의 에피택셜 소스/드레인 영역(106)은 핀 구조물(62)의 각각의 표면으로부터 상승된 표면을 가질 수 있고 패싯(facet)을 가질 수 있다.The epitaxial source/drain region 106 in the n-type region 50N may be formed by masking the p-type region 50P. Then, an epitaxial source/drain region 106 is epitaxially grown in the source/drain recess 102 of the n-type region 50N. The epitaxial source/drain regions 106 may include any acceptable material suitable for an n-type nano-FET. For example, epitaxial source/drain regions 106 of n-type region 50N are tensioned relative to channel region 88, such as, for example, silicon, silicon carbide, silicon carbide doped with phosphorus, silicon phosphide, etc. It may contain a material which applies a deformation|transformation. The epitaxial source/drain regions 106 in the n-type region 50N may have a surface raised from each surface of the fin structure 62 and may have facets.

p형 영역(50P) 내의 에피택셜 소스/드레인 영역(106)은 n형 영역(50N)을 마스킹함으로써 형성될 수 있다. 그 후, 에피택셜 소스/드레인 영역(106)은 p형 영역(50P) 내의 소스/드레인 리세스(102)에서 에피택셜 성장된다. 에피택셜 소스/드레인 영역(106)은 p형 나노-FET에 적합한 임의의 허용 가능한 물질을 포함할 수 있다. 예를 들어, p형 영역(50P)의 에피택셜 소스/드레인 영역(106)은 실리콘 게르마늄, 붕소 도핑된 실리콘 게르마늄, 게르마늄, 게르마늄 주석 등과 같이 채널 영역(88)에 압축 변형을 가하는 물질을 포함할 수 있다. p형 영역(50N) 내의 에피택셜 소스/드레인 영역(106)은 핀 구조물(62)의 각각의 표면으로부터 상승된 표면을 가질 수 있고 패싯을 가질 수 있다.The epitaxial source/drain region 106 in the p-type region 50P may be formed by masking the n-type region 50N. Then, the epitaxial source/drain region 106 is epitaxially grown in the source/drain recess 102 in the p-type region 50P. The epitaxial source/drain regions 106 may include any acceptable material suitable for a p-type nano-FET. For example, the epitaxial source/drain region 106 of the p-type region 50P may include a material that exerts a compressive strain on the channel region 88, such as silicon germanium, boron-doped silicon germanium, germanium, germanium tin, or the like. can The epitaxial source/drain regions 106 in the p-type region 50N may have surfaces raised from the respective surfaces of the fin structures 62 and may have facets.

에피택셜 소스/드레인 영역(106), 제2 나노구조물(56B), 및/또는 핀(54)은 경도핑된 소스/드레인 영역을 형성하기 위해 앞서 논의된 프로세스와 유사하게 소스/드레인 영역을 형성하기 위해 도펀트를 사용해 주입될 수 있고, 이어서 어닐링이 수행될 수 있다. 소스/드레인 영역은 약 1019 cm-3 내지 약 1021 cm-3 범위의 불순물 농도를 가질 수 있다. 소스/드레인 영역에 대한 n형 및/또는 p형 불순물은 전술한 불순물 중 임의의 불순물일 수 있다. 일부 실시예에서, 에피택셜 소스/드레인 영역(106)은 성장 중에 현장(in situ) 도핑될 수 있다.Epitaxial source/drain regions 106 , second nanostructures 56B, and/or fins 54 form source/drain regions similar to the process previously discussed for forming lightly doped source/drain regions. can be implanted with a dopant to do so, followed by annealing. The source/drain regions may have an impurity concentration ranging from about 10 19 cm −3 to about 10 21 cm −3 . The n-type and/or p-type impurities for the source/drain regions may be any of the impurities described above. In some embodiments, epitaxial source/drain regions 106 may be doped in situ during growth.

에피택셜 소스/드레인 영역(106)을 형성하는데 사용된 에피택시 프로세스의 결과로서, 에피택셜 소스/드레인 영역(106)의 상부 표면은 핀 구조물(62)의 표면을 넘어 측방향으로 밖으로 확장되는 패싯을 갖는다. 인접한 에피택셜 소스/드레인 영역(106)은 에피택시 프로세스가 완료된 후에 유전체 벽(68) 또는 유전체 핀(84)에 의해 분리된 채로 남아있어, 에피택셜 소스/드레인 영역(106)의 병합이 방지된다. 따라서, 에피택셜 소스/드레인 영역(106)은 각각 직선 하단 표면(반도체 핀(54)과 접촉함), 직선 측벽(유전체 벽(68)과 접촉함), 패싯 측부 표면(유전체 핀(84)을 향함) 및 패싯 상단 표면(기판(50)을 등지고 있음)을 갖는다. 또한, 에피택셜 소스/드레인 영역(106)과 유전체 핀(84) 사이의 물리적 분리가 유지되어 에피택셜 소스/드레인 영역(106)의 측벽과 전력 레일 콘택(74) 사이의 콘택이 형성될 수 있다. 일부 실시예에서, 에피택셜 소스/드레인 영역(106)이 <010> 방향을 따라 성장될 수 있어서, 소스/드레인 리세스(102)의 하부 부분이 에피택셜 소스/드레인 영역들(106) 사이에 남아 있고 유전체 핀(84)이 형성된다. 일부 실시예에서, 유전체 핀(84)으로부터 에피택셜 소스/드레인 영역(106)을 분리하는 소스/드레인 리세스(102)의 하부 부분을 재형성하기 위해 성장 후 에칭백이 수행된다. 예를 들어, 에피택셜 소스/드레인 영역(106)의 폭은 약 2 nm 내지 약 20 nm 범위의 양만큼 그 폭을 감소시키기 위해 에칭될 수 있으며, 따라서 소스/드레인 리세스(102)의 하부 부분을 재형성한다.As a result of the epitaxial process used to form the epitaxial source/drain region 106 , the upper surface of the epitaxial source/drain region 106 is facet that extends laterally outward beyond the surface of the fin structure 62 . has Adjacent epitaxial source/drain regions 106 remain separated by dielectric walls 68 or dielectric fins 84 after the epitaxial process is complete, preventing merging of epitaxial source/drain regions 106 . . Thus, the epitaxial source/drain regions 106 each have a straight bottom surface (contacting the semiconductor fin 54), a straight sidewall (contacting the dielectric wall 68), and a faceted side surface (contacting the dielectric fin 84), respectively. facing) and a facet top surface (facing the substrate 50). In addition, physical separation between the epitaxial source/drain region 106 and the dielectric fin 84 may be maintained to form a contact between the sidewall of the epitaxial source/drain region 106 and the power rail contact 74 . . In some embodiments, the epitaxial source/drain region 106 may be grown along the <010> direction, such that a lower portion of the source/drain recess 102 is interposed between the epitaxial source/drain regions 106 . remains and a dielectric fin 84 is formed. In some embodiments, a post-growth etchback is performed to reform the lower portion of the source/drain recesses 102 that separate the epitaxial source/drain regions 106 from the dielectric fins 84 . For example, the width of the epitaxial source/drain region 106 may be etched to reduce its width by an amount in the range of about 2 nm to about 20 nm, thus the lower portion of the source/drain recess 102 . reshape

에피택셜 소스/드레인 영역(106)은 하나 이상의 반도체 물질층을 포함할 수 있다. 예를 들어, 에피택셜 소스/드레인 영역(106)은 제1 반도체 물질층(106A) 및 제2 반도체 물질층(106B)을 포함할 수 있다. 에피택셜 소스/드레인 영역(106)을 위해 임의의 수의 반도체 물질층이 사용될 수 있다. 제1 반도체 물질층(106A)과 제2 반도체 물질층(106B) 각각은 서로 다른 반도체 물질로 형성될 수 있고 그리고/또는 서로 다른 도펀트 농도로 도핑될 수 있다. 일부 실시예에서, 제1 반도체 물질층(106A)은 제2 반도체 물질층(106B)보다 작은 도펀트 농도를 가질 수 있다. 에피택셜 소스/드레인 영역(106)이 2개의 반도체 물질층을 포함하는 실시예에서, 제1 반도체 물질층(106A)은 핀 구조물(62)로부터 성장될 수 있고, 제2 반도체 물질층(106B)은 제1 반도체 물질층(106A)으로부터 성장될 수 있다.The epitaxial source/drain regions 106 may include one or more layers of semiconductor material. For example, the epitaxial source/drain region 106 may include a first layer of semiconductor material 106A and a second layer of semiconductor material 106B. Any number of layers of semiconductor material may be used for epitaxial source/drain regions 106 . Each of the first semiconductor material layer 106A and the second semiconductor material layer 106B may be formed of a different semiconductor material and/or may be doped with different dopant concentrations. In some embodiments, the first semiconductor material layer 106A may have a smaller dopant concentration than the second semiconductor material layer 106B. In embodiments where epitaxial source/drain regions 106 include two layers of semiconductor material, first layer of semiconductor material 106A may be grown from fin structure 62 and second layer of semiconductor material 106B Silver may be grown from the first semiconductor material layer 106A.

도 18a, 18b 및 18c에서, 유전체층(110)은 소스/드레인 리세스(102)의 하부 부분에 형성된다. 각각의 유전체층(110)은 에피택셜 소스/드레인 영역(106)과 대응하는 인접한 유전체 핀(84) 사이에 형성된다. 유전체층(110)은, 열 산화 또는 컨포멀 퇴적 프로세스(예를 들면, 라이너층(64)을 형성하는 후보 방법 중에서 선택된 방법)에 의해 형성될 수 있는, 로우-k 유전체 물질(예를 들면, 라이너층(64)의 후보 유전체 물질 중에서 선택된 물질), 하이-k 유전체 물질(예를 들어, 라이너층(64)의 후보 유전체 물질 중에서 선택된 물질), 이들의 조합 등으로 형성될 수 있다. 그런 다음, 에칭백 프로세스와 같은 제거 프로세스가 유전체층(110)에 적용되어 소스/드레인 리세스(102)의 하부 부분의 외부에 있는 유전체층(110)의 과잉 물질, 예를 들어, 에피택셜 소스/드레인 영역(106) 위의 부분을 제거한다. 18A , 18B and 18C , a dielectric layer 110 is formed in a lower portion of the source/drain recess 102 . Each dielectric layer 110 is formed between an epitaxial source/drain region 106 and a corresponding adjacent dielectric fin 84 . The dielectric layer 110 is a low-k dielectric material (eg, a liner), which may be formed by a thermal oxidation or conformal deposition process (eg, a method selected from candidate methods of forming the liner layer 64 ). a material selected from candidate dielectric materials for layer 64 ), a high-k dielectric material (eg, a material selected from candidate dielectric materials for liner layer 64 ), combinations thereof, or the like. A removal process, such as an etchback process, is then applied to the dielectric layer 110 to remove excess material in the dielectric layer 110 outside of the lower portion of the source/drain recess 102 , eg, epitaxial source/drain. Remove the portion above area 106 .

그 다음, 제1 ILD(114)가 유전체층(110), 에피택셜 소스/드레인 영역(106) 및 유전체 핀(84) 위에 형성된다. 제1 ILD(114)는 유전체층(110), 에피택셜 소스/드레인 영역(106), 게이트 스페이서(98), 마스크(96)(존재하는 경우) 또는 더미 게이트(94) 및 유전체 핀(84) 위에 유전체 물질을 퇴적하고, 이어서 유전체 물질을 평탄화함으로써 형성될 수 있다. 허용 가능한 유전체 물질은 예를 들어, 실리콘 산화물, 포스포실리케이트 유리(PSG), 보로실리케이트 유리(BSG), 붕소 도핑된 포스포실리케이트 유리(BPSG), 도핑되지 않은 실리케이트 유리(USG) 등과 같은 산화물; 실리콘 질화물과 같은 질화물; 등을 포함할 수 있다. 다른 절연 물질이 사용될 수 있다. 퇴적은 CVD, 플라즈마 강화 CVD(PECVD) 또는 FCVD와 같은 임의의 적절한 방법에 의해 이루어질 수 있다. 유전체 물질을 형성하기 위해 다른 허용 가능한 프로세스가 사용될 수 있다. 평탄화는 CMP, 에칭백 프로세스, 이들의 조합 등과 같은 임의의 적절한 방법에 의해 이루어질 수 있다. 평탄화 프로세스는 제1 ILD(114)의 상단 표면을 마스크(96)(존재하는 경우) 또는 더미 게이트(94)의 상단 표면과 수평이 되게 한다. 평탄화 프로세스는 또한 마스크와, 마스크(96)의 측벽을 따라 게이트 스페이서(98)의 부분을 제거할 수 있다. 평탄화 프로세스 후, 제1 ILD(114), 게이트 스페이서(98), 마스크(96)(존재하는 경우) 또는 더미 게이트(94)의 상단 표면은 (프로세스 변동 내에서) 공면이다. 따라서, 마스크(96)(존재하는 경우) 또는 더미 게이트(94)의 상단 표면은 제1 ILD(114)를 통해 노출된다. 도시된 실시예에서, 마스크(96)는 유지될 수 있으며, 평탄화 프로세스는 제1 ILD(114)의 상단 표면을 마스크(96)의 상단 표면과 수평이 되게 한다.A first ILD 114 is then formed over the dielectric layer 110 , the epitaxial source/drain regions 106 and the dielectric fin 84 . The first ILD 114 is over the dielectric layer 110 , epitaxial source/drain regions 106 , gate spacers 98 , mask 96 (if present) or dummy gate 94 and dielectric fins 84 . It may be formed by depositing a dielectric material and then planarizing the dielectric material. Acceptable dielectric materials include, for example, oxides such as silicon oxide, phosphosilicate glass (PSG), borosilicate glass (BSG), boron doped phosphosilicate glass (BPSG), undoped silicate glass (USG), and the like; nitrides such as silicon nitride; and the like. Other insulating materials may be used. Deposition may be by any suitable method, such as CVD, plasma enhanced CVD (PECVD), or FCVD. Other acceptable processes may be used to form the dielectric material. Planarization may be accomplished by any suitable method, such as CMP, an etchback process, combinations thereof, and the like. The planarization process makes the top surface of the first ILD 114 level with the top surface of the mask 96 (if present) or dummy gate 94 . The planarization process may also remove the mask and portions of the gate spacers 98 along the sidewalls of the mask 96 . After the planarization process, the top surface of the first ILD 114 , gate spacer 98 , mask 96 (if present) or dummy gate 94 is coplanar (within process variations). Thus, the top surface of the mask 96 (if present) or dummy gate 94 is exposed through the first ILD 114 . In the illustrated embodiment, the mask 96 may be maintained and the planarization process level the top surface of the first ILD 114 with the top surface of the mask 96 .

일부 실시예에서, 콘택 에칭 정지층(CESL)(112)은 제1 ILD(114)와 유전체층(110), 에피택셜 소스/드레인 영역(106), 게이트 스페이서(98), 유전체 핀(84) 및 유전체 벽(68) 사이에 배치된다. CESL(112)은 제1 ILD(114) 및 유전층(110)의 에칭으로부터 높은 에칭 선택성을 갖는 실리콘 질화물, 실리콘 산화물, 실리콘 산질화물 등과 같은 유전체 물질을 포함할 수 있다.In some embodiments, a contact etch stop layer (CESL) 112 includes a first ILD 114 and a dielectric layer 110 , an epitaxial source/drain region 106 , a gate spacer 98 , a dielectric fin 84 , and It is disposed between the dielectric walls 68 . The CESL 112 may include a dielectric material such as silicon nitride, silicon oxide, silicon oxynitride, etc. that has high etch selectivity from etching of the first ILD 114 and the dielectric layer 110 .

아래에서 더 상세히 논의되는 바와 같이, 유전체층(110)의 부분(예를 들어, 도 18c의 단면에 있는 것)은 에피택셜 소스/드레인 영역(106)의 측벽과 전력 레일 콘택(74) 사이의 콘택으로 대체될 것이다. 에피택셜 소스/드레인 영역(106)에 인접한 유전체층(110)을 형성하는 것은 에피택셜 소스/드레인 영역(106)에 인접한 CESL(112) 및 제1 ILD(114)를 형성하는 것과 비교하여 제조 비용을 증가시킬 수 있다. 그러나, 유전체층(110)의 포함은 전력 레일 콘택(74)의 상단 표면을 노출시키는 데 사용될 에칭 프로세스의 더 나은 제어를 허용한다. 따라서 제조 수율이 증가될 수 있고, 유전체층(110)을 형성하는 비용보다 더 많이 전체 제조 비용을 감소시킬 수 있다.As discussed in more detail below, a portion of dielectric layer 110 (eg, in the cross-section of FIG. 18C ) is the contact between the sidewall of epitaxial source/drain region 106 and power rail contact 74 . will be replaced by Forming the dielectric layer 110 adjacent the epitaxial source/drain region 106 reduces manufacturing costs compared to forming the CESL 112 and the first ILD 114 adjacent the epitaxial source/drain region 106 . can increase However, the inclusion of dielectric layer 110 allows better control of the etching process that will be used to expose the top surface of power rail contact 74 . Accordingly, the manufacturing yield can be increased, and the overall manufacturing cost can be reduced by more than the cost of forming the dielectric layer 110 .

도 19a, 19b 및 19c에서, 마스크(96)(존재하는 경우), 더미 게이트(94), 더미 유전체(92), 채널 스페이서(82) 및 제1 나노구조물(56A)은 제거되고 게이트 구조물(120)로 대체된다. 게이트 구조물(120)은 게이트 유전체(122) 및 게이트 유전체(122) 상의 게이트 전극(124)을 포함한다. 게이트 구조물(120)은 또한 "게이트 스택"으로 지칭될 수 있다.19A , 19B and 19C , mask 96 (if present), dummy gate 94 , dummy dielectric 92 , channel spacer 82 and first nanostructure 56A are removed and gate structure 120 . ) is replaced by The gate structure 120 includes a gate dielectric 122 and a gate electrode 124 on the gate dielectric 122 . Gate structure 120 may also be referred to as a “gate stack”.

마스크(96)(존재하는 경우) 및 더미 게이트(94)는 에칭 프로세스에서 제거되어 리세스가 형성된다. 리세스 내의 더미 유전체(92)의 부분이 또한 제거될 수 있다. 일부 실시예에서, 더미 게이트(94)는 이방성 건식 에칭 프로세스에 의해 제거된다. 예를 들어, 에칭 프로세스는, 제1 ILD 층(114) 또는 게이트 스페이서(98)보다 더 빠른 속도로 더미 게이트(94)를 선택적으로 에칭하는 반응 가스(들)를 사용하는 건식 에칭 프로세스를 포함할 수 있다. 제거 동안, 더미 유전체(92)는, 더미 게이트(94)가 에칭될 때 에칭 정지층으로서 사용될 수 있다. 그런 다음, 더미 게이트 유전체(92)는 더미 게이트(94)의 제거 후에 제거될 수 있다. 각 리세스는 채널 영역(88)으로 작용하는 제2 나노구조물(56B)의 부분을 노출하고 그리고/또는 그 위에 놓인다. 채널 영역으로 작용할 제2 나노구조물(56B)의 부분은 에피택셜 소스/드레인 영역(106)의 이웃하는 쌍들 사이에 배치된다.Mask 96 (if present) and dummy gate 94 are removed in an etch process to form a recess. A portion of the dummy dielectric 92 in the recess may also be removed. In some embodiments, the dummy gate 94 is removed by an anisotropic dry etch process. For example, the etching process may include a dry etching process using reactive gas(es) that selectively etch the dummy gate 94 at a faster rate than the first ILD layer 114 or the gate spacers 98 . can During removal, dummy dielectric 92 may be used as an etch stop layer when dummy gate 94 is etched. The dummy gate dielectric 92 may then be removed after removal of the dummy gate 94 . Each recess exposes and/or overlies a portion of the second nanostructure 56B that acts as a channel region 88 . A portion of the second nanostructure 56B that will act as a channel region is disposed between neighboring pairs of epitaxial source/drain regions 106 .

그런 다음, 채널 스페이서(82) 및 제1 나노구조물(56A)의 잔여 부분은 리세스를 확장하기 위해 제거된다. 채널 스페이서(82) 및 제1 나노구조물(56A)의 잔여 부분은, 채널 스페이서(82) 및 제1 나노구조물(56)의 물질(들)을 제2 나노구조물(56B), 반도체 핀(54), STI 영역(78), 유전체 핀(84) 및 유전체 벽(68)의 물질(들)보다 빠른 속도로 선택적으로 에칭하는 허용가능한 에칭 프로세스에 의해 제거될 수 있다. 에칭은 등방성일 수 있다. 예를 들어, 반도체 핀(54) 및 제2 나노구조물(56B)이 실리콘으로 형성되고 채널 스페이서(82) 및 제1 나노구조물(56A)이 실리콘 게르마늄으로 형성되는 경우, 에칭 프로세스는 테트라메틸암모늄 수산화물(TMAH), 암모늄 수산화물(NH4OH) 등을 사용한 습식 에칭일 수 있다.Then, the remaining portions of the channel spacers 82 and the first nanostructures 56A are removed to expand the recesses. The remaining portions of the channel spacers 82 and the first nanostructures 56A combine the material(s) of the channel spacers 82 and the first nanostructures 56 with the second nanostructures 56B, the semiconductor fins 54 . , STI regions 78 , dielectric fins 84 , and material(s) of dielectric wall 68 may be removed by an acceptable etching process that selectively etches at a faster rate than the material(s). The etching may be isotropic. For example, when semiconductor fin 54 and second nanostructure 56B are formed of silicon and channel spacer 82 and first nanostructure 56A are formed of silicon germanium, the etching process is tetramethylammonium hydroxide (TMAH), ammonium hydroxide (NHOH), etc. may be wet etching.

제2 나노구조물(56B) 및 반도체 핀(54)의 노출된 부분은 선택적으로 트리밍된다. 트리밍은 제2 나노구조물(56B)의 노출된 부분의 두께를 감소시킨다. 예를 들어, 트리밍은 제2 나노구조물(56B)의 제2 두께 T2(도 3 참조)를 약 40% 내지 약 70%의 범위의 양만큼 감소시킬 수 있고, 또한 반도체 핀(54)의 노출된 부분의 폭을 감소시킬 수 있다. 트리밍은 리세스의 형성과 동시에 수행될 수도 있고, 리세스가 형성된 후에 수행될 수 있다. 예를 들어, 제2 나노구조물(56) 및 반도체 핀(54)의 노출된 부분은 내부 스페이서(104), 게이트 스페이서(98), 유전체 핀(84) 및 유전체 벽(68)의 물질보다 빠른 속도로 제2 나노구조물(56) 및 반도체 핀(54)의 물질(들)을 선택적으로 에칭하는 허용가능한 에칭 프로세스에 의해 트리밍될 수 있다. 에칭은 등방성일 수 있다. 예를 들어, 반도체 핀(54) 및 제2 나노구조물(56B)이 실리콘으로 형성되고 채널 스페이서(82) 및 제1 나노구조물(56A)이 실리콘 게르마늄으로 형성되는 경우, 트리밍 프로세스는 희석된 암모늄 수산화물-과산화수소 혼합물(APM), 황산-과산화수소 혼합물(SPM) 등을 사용하는 습식 에칭일 수 있다.The exposed portions of the second nanostructures 56B and the semiconductor fins 54 are optionally trimmed. The trimming reduces the thickness of the exposed portion of the second nanostructure 56B. For example, the trimming may reduce the second thickness T 2 (see FIG. 3 ) of the second nanostructure 56B by an amount in the range of about 40% to about 70%, and also the exposure of the semiconductor fin 54 . The width of the part can be reduced. The trimming may be performed simultaneously with the formation of the recess, or may be performed after the recess is formed. For example, the exposed portions of the second nanostructures 56 and the semiconductor fins 54 may have a higher velocity than the material of the inner spacers 104 , the gate spacers 98 , the dielectric fins 84 , and the dielectric walls 68 . The furnace may be trimmed by an acceptable etching process that selectively etches the material(s) of the second nanostructures 56 and semiconductor fins 54 . The etching may be isotropic. For example, if the semiconductor fin 54 and the second nanostructure 56B are formed of silicon and the channel spacers 82 and the first nanostructure 56A are formed of silicon germanium, the trimming process is diluted ammonium hydroxide. - It may be a wet etching using a hydrogen peroxide mixture (APM), a sulfuric acid-hydrogen peroxide mixture (SPM), or the like.

게이트 유전체(122) 및 게이트 전극(124)은 대체 게이트를 위해 형성된다. 게이트 유전체(122)는 예를 들면, 반도체 핀(54)의 상단 표면 및 측벽 상에와 제2 나노구조물(56B)의 상단 표면, 측벽 및 하단 표면 상에와 같이 리세스에 컨포멀하게 퇴적된다. 게이트 유전체(122)는 또한 STI 영역(78)의 상단 표면 상에와 유전체 핀(84) 및 유전체 벽(68)의 측벽 상에 퇴적될 수 있다.A gate dielectric 122 and a gate electrode 124 are formed for a replacement gate. A gate dielectric 122 is conformally deposited in the recess, such as, for example, on the top surface and sidewalls of the semiconductor fin 54 and on the top surface, sidewalls, and bottom surfaces of the second nanostructure 56B. . A gate dielectric 122 may also be deposited on the top surface of the STI region 78 and on the sidewalls of the dielectric fin 84 and dielectric wall 68 .

게이트 유전체(122)는 예를 들면, 산화물, 금속 산화물, 금속 실리케이트 등 또는 이들의 조합과 같은 하나 이상의 유전체층을 포함한다. 일부 실시예에서, 게이트 유전체(122)는 실리콘 산화물, 실리콘 질화물, 또는 이들의 다층을 포함한다. 일부 실시예에서, 게이트 유전체(122)는 하이-k 유전체 물질을 포함하고, 이들 실시예에서, 게이트 유전체(100)는 약 7.0보다 큰 k 값을 가질 수 있으며, 금속 산화물 또는 하프늄, 알루미늄, 지르코늄, 란타늄, 망간, 바륨, 티타늄, 납, 및 이들의 조합의 규산염을 포함할 수 있다. 게이트 유전체(122)는 다층화될 수 있다. 예를 들어, 일부 실시예에서, 게이트 유전체(122)는 각각 열적 또는 화학적 산화에 의해 형성된 실리콘 산화물의 계면 층(122A) 및 계면 층 위의 금속 산화물 층(122b)을 포함할 수 있다. 게이트 유전체층(122)의 형성 방법은 분자 빔 퇴적(molecular-beam deposition; MBD), ALD, PECVD 등을 포함할 수 있다.The gate dielectric 122 includes, for example, one or more dielectric layers such as oxides, metal oxides, metal silicates, etc., or combinations thereof. In some embodiments, the gate dielectric 122 includes silicon oxide, silicon nitride, or multiple layers thereof. In some embodiments, the gate dielectric 122 comprises a high-k dielectric material, and in these embodiments, the gate dielectric 100 may have a k value greater than about 7.0, and may be a metal oxide or hafnium, aluminum, zirconium. , silicates of lanthanum, manganese, barium, titanium, lead, and combinations thereof. The gate dielectric 122 may be multi-layered. For example, in some embodiments, the gate dielectric 122 may include an interfacial layer 122A of silicon oxide formed by thermal or chemical oxidation, respectively, and a metal oxide layer 122b over the interfacial layer. A method of forming the gate dielectric layer 122 may include molecular-beam deposition (MBD), ALD, PECVD, or the like.

게이트 유전체(124)는 각각 게이트 유전체(122) 위에 퇴적되고, 리세스의 잔여 부분을 채운다. 게이트 전극(124)은 티타늄 질화물, 티타늄 산화물, 탄탈 질화물, 탄탈 탄화물, 코발트, 루테늄, 알루미늄, 텅스텐, 이들의 조합, 또는 이들의 다층과 같은 금속 함유 물질을 포함할 수 있다. 예를 들어, 단일 층 게이트 전극(114)이 도시되어 있지만, 게이트 전극(124)은 임의의 수의 라이너층, 임의의 수의 일함수 조정층 및 충전 물질을 포함할 수 있다. 게이트 전극(124)을 구성하는 층들의 임의의 조합은 각각의 제2 나노구조물(56B) 사이 및 반도체 핀(54)과 제2 나노구조물(56B) 사이의 영역에 퇴적될 수 있다. 게이트 전극(124)의 형성 방법은 ALD, PECVD 등을 포함할 수 있다.A gate dielectric 124 is each deposited over the gate dielectric 122 and fills the remainder of the recess. The gate electrode 124 may include a metal-containing material such as titanium nitride, titanium oxide, tantalum nitride, tantalum carbide, cobalt, ruthenium, aluminum, tungsten, combinations thereof, or multiple layers thereof. For example, although a single layer gate electrode 114 is shown, the gate electrode 124 may include any number of liner layers, any number of work function tuning layers, and filler materials. Any combination of layers that make up the gate electrode 124 may be deposited in the region between each second nanostructure 56B and between the semiconductor fin 54 and the second nanostructure 56B. A method of forming the gate electrode 124 may include ALD, PECVD, or the like.

리세스의 충전 후에, 게이트 유전체(122) 및 게이트 전극(124)의 과잉 부분을 제거하기 위해 예를 들면, CMP와 같은, 평탄화 프로세스가 수행될 수 있으며, 이 과잉 부분은 제1 ILD(114) 및 게이트 스페이서(98)의 상단 표면 위에 있다. 그 다음, 에칭백과 같은 리세스 프로세스가 유전체 핀(84)의 상단 표면으로부터 게이트 유전체(122) 및 게이트 전극(124)의 상단 표면을 리세싱하도록 수행될 수 있다. 시간 제한 에칭 프로세스(timed etch processes)는 게이트 유전체(122) 및 게이트 전극(124)의 에칭을 중지하는데 사용될 수 있어서, 게이트 전극(124)의 상단 표면이 최상부 제2 나노구조물(56B)에 대해 원하는 높이(H5)를 갖도록 한다. 높이(H5)는 약 6 nm 내지 약 30 nm 범위 내일 수 있다. 따라서, 게이트 유전체(122) 및 게이트 전극(124)의 물질의 잔여 부분은 생성되는 나노-FET의 대체 게이트 구조물을 형성한다.After filling of the recesses, a planarization process, such as, for example, CMP, may be performed to remove excess portions of gate dielectric 122 and gate electrode 124 , which excess portions are first ILD 114 . and over the top surface of the gate spacer 98 . A recess process, such as an etchback, may then be performed to recess the top surface of the gate dielectric 122 and the gate electrode 124 from the top surface of the dielectric fin 84 . Timed etch processes may be used to stop etching the gate dielectric 122 and gate electrode 124 so that the top surface of the gate electrode 124 is desired for the top second nanostructure 56B. Let it have a height (H 5 ). The height (H 5 ) may be in the range of about 6 nm to about 30 nm. Thus, the remaining portions of the material of gate dielectric 122 and gate electrode 124 form a replacement gate structure for the resulting nano-FET.

그런 다음, 에칭 정지층(126)은 리세싱된 게이트 구조물(120) 위에 퇴적된다. 에칭 정지층(126)은 ALD, CVD, PVD 등에 의해 퇴적될 수 있는 후속 형성되는 게이트 마스크와는 다른 에칭 속도를 갖는, 텅스텐, 루테늄, 코발트, 구리, 몰리브덴, 니켈, 이들의 조합 등과 같은 전도성 물질을 포함할 수 있다. 일부 실시예에서, 에칭 정지층(126)은 선택적 CVD 프로세스와 같은 선택적 퇴적 프로세스에 의해 퇴적되는 무불소 텅스텐과 같은 텅스텐으로 형성된다. 에칭 정지층(126)은 전도성 물질로 형성되기 때문에, 에칭을 정지시키는 역할을 할 수 있고, 또한 게이트 구조물(120)에 대한 콘택 저항을 조정하는데 사용될 수 있다.An etch stop layer 126 is then deposited over the recessed gate structure 120 . Etch stop layer 126 may be formed of a conductive material, such as tungsten, ruthenium, cobalt, copper, molybdenum, nickel, combinations thereof, etc., having a different etch rate than a subsequently formed gate mask that may be deposited by ALD, CVD, PVD, etc. may include In some embodiments, etch stop layer 126 is formed of tungsten, such as fluorine-free tungsten, deposited by a selective deposition process, such as a selective CVD process. Because the etch stop layer 126 is formed of a conductive material, it can serve to stop the etch and can also be used to adjust the contact resistance for the gate structure 120 .

영역(50N) 및 영역(50P)에서 게이트 유전체(122)의 형성은, 각각의 영역에서 게이트 유전체(122)가 동일한 물질로 형성되도록 동시에 발생할 수 있고, 게이트 전극(124)의 형성은, 각 영역의 게이트 전극(124)이 동일한 물질로 형성되도록 동시에 발생할 수 있다. 일부 실시예에서, 각 영역의 게이트 유전체(122)는 별개의 프로세스들에 의해 형성될 수 있어서 게이트 유전체(122)가 상이한 물질들일 수 있고, 그리고/또는 각 영역 내의 게이트 전극(124)은 별개의 프로세스들에 의해 형성될 수 있어서 게이트 전극(124)이 상이한 물질들일 수 있다. 별개의 프로세스들을 사용할 때 적절한 영역을 마스킹하고 노출시키기 위해 다양한 마스킹 단계들이 사용될 수 있다. 예를 들어, 예시된 실시예에서, 상이한 물질의 게이트 전극(124)이 영역(50N) 및 영역(50P)에 형성된다.Formation of gate dielectric 122 in region 50N and region 50P may occur simultaneously such that gate dielectric 122 in each region is formed of the same material, and formation of gate electrode 124 in each region It may occur simultaneously so that the gate electrode 124 of the is formed of the same material. In some embodiments, the gate dielectric 122 in each region may be formed by separate processes such that the gate dielectric 122 may be of different materials, and/or the gate electrode 124 in each region may be formed by separate processes. It may be formed by processes such that the gate electrode 124 may be of different materials. Various masking steps may be used to mask and expose the appropriate area when using separate processes. For example, in the illustrated embodiment, gate electrodes 124 of different materials are formed in region 50N and region 50P.

도 19b에 도시된 바와 같이, 동일한 포크시트 구조물(80)의 채널 영역(88) 주위의 게이트 전극(124)은 물리적으로 그리고 전기적으로 결합될 수 있다. 이러한 결합은 일부 CMOS 프로세스에서 유리할 수 있다. 예를 들어, 나노-FET을 사용하여 인버터, 게이트, 메모리 등을 형성하는 경우, 게이트 전극(124)을 직접 접속하면 게이트 콘택의 양을 줄일 수 있다. 인접한 포크시트 구조물(80)의 채널 영역(88) 주위의 게이트 전극(124)은 유전체 핀(84)에 의해 물리적 및 전기적으로 분리된다.As shown in FIG. 19B , the gate electrode 124 around the channel region 88 of the same forksheet structure 80 may be physically and electrically coupled. This combination can be advantageous in some CMOS processes. For example, when an inverter, a gate, a memory, etc. are formed using a nano-FET, the amount of gate contacts can be reduced by directly connecting the gate electrode 124 . The gate electrode 124 around the channel region 88 of the adjacent forksheet structure 80 is physically and electrically separated by a dielectric fin 84 .

도 20a, 20b 및 20c에서, 게이트 마스크(128)는 각각의 게이트 구조물(120) 위에, 예를 들어, 각각의 에칭 정지층(126) 상에 형성된다. 따라서, 각각의 게이트 마스크(128)는 게이트 스페이서(98)의 대향 부분들 사이에 배치된다. 일부 실시예에서, 게이트 마스크(128)를 형성하는 것은 리세싱된 게이트 구조물(120) 위에 유전체 물질을 형성한 다음 평탄화 프로세스를 수행하여 제1 ILD(114) 위에 연장되는 유전체 물질의 초과 부분을 제거하는 것을 포함한다. 유전체 물질은 (예를 들어, 라이너층(64)의 후보 유전체 물질 중에서 선택된 물질) 로우-k 유전체 물질일 수 있으며, 이는 컨포멀 퇴적 프로세스(예를 들어, 라이너층(64)을 형성하는 후보 방법들 중에서 선택된 방법)에 의해 퇴적될 수 있다.20A , 20B and 20C , a gate mask 128 is formed over each gate structure 120 , eg, on each etch stop layer 126 . Accordingly, each gate mask 128 is disposed between opposing portions of the gate spacers 98 . In some embodiments, forming the gate mask 128 includes forming a dielectric material over the recessed gate structure 120 and then performing a planarization process to remove excess portions of the dielectric material extending over the first ILD 114 . includes doing The dielectric material (eg, a material selected from among the candidate dielectric materials for the liner layer 64 ) may be a low-k dielectric material, which is a conformal deposition process (eg, a candidate method of forming the liner layer 64 ). can be deposited by a method selected from among

그 다음 제2 ILD(132)가 게이트 마스크(128), 제1 ILD(114) 및 게이트 스페이서(98) 위에 퇴적된다. 제2 ILD(132)는 제1 ILD(114)의 동일한 후보 물질 그룹 중에서 선택되는 물질로 형성될 수 있고, 제1 ILD(114)를 퇴적하기 위한 동일한 후보 방법 그룹 중에서 선택되는 방법을 사용하여 퇴적될 수 있다. 제1 ILD(114) 및 제2 ILD(132)는 동일한 물질로 형성될 수 있거나, 상이한 물질들을 포함할 수 있다. 형성 후, 제2 ILD(132)는 예를 들어, CMP에 의해 평탄화될 수 있다.A second ILD 132 is then deposited over the gate mask 128 , the first ILD 114 and the gate spacers 98 . The second ILD 132 may be formed of a material selected from the same group of candidate materials of the first ILD 114 and deposited using a method selected from the same group of candidate methods for depositing the first ILD 114 . can be The first ILD 114 and the second ILD 132 may be formed of the same material, or may include different materials. After formation, the second ILD 132 may be planarized by, for example, CMP.

일부 실시예에서, 에칭 정지층(130)은 제2 ILD(132)와 게이트 마스크(128), 제1 ILD(114), 및 게이트 스페이서(98) 각각 사이에 형성된다. 에칭 정지층(130)은 제2 ILD 층(132)의 물질과는 상이한 에칭 속도를 갖는 예를 들면, 실리콘 질화물, 실리콘 산화물, 실리콘 산질화물 등과 같은, 유전체 물질을 포함할 수 있다.In some embodiments, the etch stop layer 130 is formed between the second ILD 132 and each of the gate mask 128 , the first ILD 114 , and the gate spacers 98 . The etch stop layer 130 may include a dielectric material, eg, silicon nitride, silicon oxide, silicon oxynitride, etc., having a different etch rate than the material of the second ILD layer 132 .

도 21a, 21b 및 21c에서, 소스/드레인 콘택 개구(134)는 제2 ILD(132), 에칭 정지층(130), 제1 ILD(114), CESL(112), 유전체층(110) 및 STI 영역(78)에 형성된다. 소스/드레인 콘택 개구(134)는 에피택셜 소스/드레인 영역(106)의 패싯 상단 및 측부 표면을 노출시킨다. 소스/드레인 콘택 개구(134)는 또한 전력 레일 콘택(74)의 상단 표면을 노출시키고 반도체 핀(54)의 측벽의 부분을 노출시킬 수 있다. 소스/드레인 콘택 개구(134)는 허용 가능한 포토리소그래피 및 에칭 기술을 사용하여 형성될 수 있다. 소스/드레인 콘택 개구(134)를 형성하기 위해 다수의 에칭 단계가 사용될 수 있다. 위에서 언급한 바와 같이, CESL(112)은 유전층(110)의 에칭으로부터 높은 에칭 선택성을 갖는 물질로 형성된다. 소스/드레인 콘택 개구를 형성하는 데 사용되는 에칭 단계들 중 하나는 유전층(110)에 대해 선택적인 에칭 프로세스이다(예를 들어, CESL(112)의 물질(들)보다 더 빠른 속도로 유전체층(110)의 물질(들)을 에칭함). 따라서, 소스/드레인 콘택 개구(134)의 하부 부분의 종횡비가 개선될 수 있고, 이는 나노-FET의 콘택 저항을 감소시킬 수 있는, 전력 레일 콘택(74)의 상단 표면의 충분한 영역이 노출되는 것을 보장하는 것을 돕는다. 구체적으로, 소스/드레인 콘택 개구(134)의 하부 부분은 약 4nm 내지 약 20nm의 범위로 (유전체 핀(84)의 측벽과 에피택셜 소스/드레인 영역(106)의 측부 표면 사이에서 측정되는) 폭(W6)을 가질 수 있고, 소스/드레인 콘택 개구(134)의 하부 부분은 약 32 nm 내지 약 80 nm의 범위로 (전력 레일 콘택(74)의 상단 표면과 에피택셜 소스/드레인 영역(106)의 상단 표면 사이에서 측정되는) 높이(H6)를 가질 수 있으며, 높이(H6) 대 폭(W6)의 비율은 약 1.6:1 내지 약 20:1의 범위 내이다.21A , 21B and 21C , the source/drain contact openings 134 are the second ILD 132 , the etch stop layer 130 , the first ILD 114 , the CESL 112 , the dielectric layer 110 and the STI region. (78) is formed. Source/drain contact openings 134 expose facet top and side surfaces of epitaxial source/drain regions 106 . The source/drain contact openings 134 may also expose a top surface of the power rail contacts 74 and expose portions of sidewalls of the semiconductor fins 54 . The source/drain contact openings 134 may be formed using acceptable photolithography and etching techniques. Multiple etching steps may be used to form the source/drain contact openings 134 . As mentioned above, CESL 112 is formed of a material that has high etch selectivity from etching of dielectric layer 110 . One of the etching steps used to form the source/drain contact openings is a selective etching process for the dielectric layer 110 (eg, at a higher rate than the material(s) of the CESL 112 ). ) to etch the material(s) of). Accordingly, the aspect ratio of the lower portion of the source/drain contact openings 134 may be improved, which ensures that sufficient areas of the top surface of the power rail contacts 74 are exposed, which may reduce the contact resistance of the nano-FETs. helps to ensure Specifically, the lower portion of the source/drain contact opening 134 has a width (measured between the sidewall of the dielectric fin 84 and the side surface of the epitaxial source/drain region 106 ) in the range of about 4 nm to about 20 nm. (W 6 ), wherein the lower portion of the source/drain contact opening 134 is in the range of about 32 nm to about 80 nm (the top surface of the power rail contact 74 and the epitaxial source/drain region 106 ) ) may have a height (H 6) measured between the top surface) of, and the height (H 6) a ratio of width (W 6) is from about 1.6: in the range of 1: 1 to about 20.

예시된 실시예에서, 소스/드레인 콘택 개구(134)는 제1 ILD(114) 모두가 도 21a의 단면에서 제거되도록 자기 정렬된 패터닝 방법으로 형성된다. 또 다른 실시예에서, 제1 ILD(114)의 부분이 도 21a의 단면에 남아 있도록 다른 패터닝 방법이 사용될 수 있다.In the illustrated embodiment, the source/drain contact openings 134 are formed in a self-aligned patterning method such that all of the first ILDs 114 are removed in the cross-section of FIG. 21A . In another embodiment, other patterning methods may be used such that a portion of the first ILD 114 remains in the cross-section of FIG. 21A .

도 21a에 예시된 실시예에서, 에피택셜 소스/드레인 영역(106)의 에칭이 발생하여 소스/드레인 콘택 개구(134)가 에피택셜 소스/드레인 영역(106) 내로 부분적으로 연장된다. 또 다른 실시예에서, 소스/드레인 콘택 개구(134)는 에피택셜 소스/드레인 영역(106)으로 연장되지 않는다.In the embodiment illustrated in FIG. 21A , etching of the epitaxial source/drain region 106 occurs such that the source/drain contact opening 134 partially extends into the epitaxial source/drain region 106 . In another embodiment, the source/drain contact openings 134 do not extend into the epitaxial source/drain regions 106 .

도 22a, 22b 및 22c에서, 금속-반도체 합금 영역(136)은 소스/드레인 콘택 개구(134)에 의해 노출된 에피택셜 소스/드레인 영역(106)의 부분 상에서와 같이 소스/드레인 콘택 개구(134)에 선택적으로 형성된다. 금속-반도체 합금 영역(136)은 금속 실리사이드(예를 들어, 티타늄 실리사이드, 코발트 실리사이드, 니켈 실리사이드 등)로 형성된 실리사이드 영역, 금속 저마나이드(예를 들어, 티타늄 저마나이드, 코발트 저마나이드, 니켈 저마나이드 등)로 형성된 저마나이드 영역, 금속 실리사이드 및 금속 저마나이드 둘 다로 형성된 실리콘-저마나이드 영역 등일 수 있다. 금속-반도체 합금 영역(136)은 소스/드레인 콘택 개구(134)에 금속을 퇴적한 다음 열 어닐링 프로세스를 수행함으로써 형성될 수 있다. 금속은 예를 들면, 니켈, 코발트, 티타늄, 탄탈, 백금, 텅스텐, 기타 귀금속, 기타 내화 금속, 희토류 금속 또는 그 합금과 같은 저 저항 금속-반도체 합금을 형성하기 위해, 에피택셜 소스/드레인 영역(106)의 반도체 물질(예를 들어, 실리콘, 실리콘 게르마늄, 게르마늄 등)과 반응할 수 있는 임의의 금속일 수 있다. 금속은 ALD, CVD, PVD 등과 같은 퇴적 프로세스에 의해 퇴적될 수 있으며, 약 1nm 내지 약 10nm 범위의 두께로 퇴적될 수 있다. 실시예에서, 금속-반도체 합금 영역(136)은 티타늄-실리콘으로 형성된 실리사이드 영역이다. 열 어닐링 프로세스 후, 습식 세정과 같은 세정 프로세스를 수행하여 전력 레일 콘택(74), STI 영역(78), 및 반도체 핀(54)의 표면들로부터와 같이, 소스/드레인 콘택 개구(134)로부터 임의의 잔류 금속을 제거할 수 있다. 22A , 22B and 22C , the metal-semiconductor alloy region 136 is the source/drain contact opening 134 as on the portion of the epitaxial source/drain region 106 exposed by the source/drain contact opening 134 . ) is formed selectively. Metal-semiconductor alloy region 136 is a silicide region formed of a metal silicide (eg, titanium silicide, cobalt silicide, nickel silicide, etc.), a metal germanide (eg, titanium germanide, cobalt germanide, nickel germanide, etc.) etc.), a silicon-germanide region formed of both metal silicide and metal germanide, and the like. The metal-semiconductor alloy region 136 may be formed by depositing metal in the source/drain contact openings 134 and then performing a thermal annealing process. The metal may be used in an epitaxial source/drain region ( 106) can be any metal capable of reacting with the semiconductor material (eg, silicon, silicon germanium, germanium, etc.). The metal may be deposited by a deposition process such as ALD, CVD, PVD, or the like, and may be deposited to a thickness ranging from about 1 nm to about 10 nm. In an embodiment, the metal-semiconductor alloy region 136 is a silicide region formed of titanium-silicon. After the thermal annealing process, a cleaning process, such as a wet cleaning, may be performed to remove any from the source/drain contact opening 134 , such as from the surfaces of the power rail contact 74 , the STI region 78 , and the semiconductor fin 54 . of residual metals can be removed.

금속-반도체 합금 영역(136)은 금속-반도체 합금 영역(136)을 형성하기 위해 퇴적된 금속의 두께를 제어함으로써 원하는 두께로 형성될 수 있다. 금속-반도체 합금 영역(136)은 약 2.5nm 내지 약 7.5nm 범위의 두께(T1)를 가질 수 있다. 일부 실시예에서, 금속-반도체 합금 영역(136)을 형성하는 데 사용되는 금속은 금속-반도체 합금 영역(136)이 균일한 두께를 갖도록 ALD와 같은 균일한 퇴적 프로세스에 의해 퇴적된다. 일부 실시예에서, 금속-반도체 합금 영역(136)을 형성하는 데 사용되는 금속은 금속-반도체 합금 영역(136)이 불균일한 두께를 갖도록 PVD와 같은 불균일 퇴적 프로세스에 의해 퇴적된다. 예를 들어, 에피택셜 소스/드레인 영역(106)의 상단 표면 상의 금속-반도체 합금 영역(136)의 부분은 에피택셜 소스/드레인 영역(106)의 측부 표면 상의 금속-반도체 합금 영역(136)의 부분보다 더 큰 두께(T1)를 가질 수 있다. 에피택셜 소스/드레인 영역(106)의 상단 표면 및 측부 표면 상에 금속-반도체 합금 영역(136)을 형성하면 에피택셜 소스/드레인 영역(106)으로의 접촉 면적을 증가시킬 수 있어, 에피택셜 소스/드레인 영역(106)의 상단 표면 상에만 금속-반도체 합금 영역(136)을 형성하는 것과 비교하여 콘택 저항을 낮추는 것을 돕는다.The metal-semiconductor alloy region 136 may be formed to a desired thickness by controlling the thickness of the metal deposited to form the metal-semiconductor alloy region 136 . The metal-semiconductor alloy region 136 may have a thickness T 1 in a range from about 2.5 nm to about 7.5 nm. In some embodiments, the metal used to form the metal-semiconductor alloy region 136 is deposited by a uniform deposition process such as ALD such that the metal-semiconductor alloy region 136 has a uniform thickness. In some embodiments, the metal used to form the metal-semiconductor alloy region 136 is deposited by a non-uniform deposition process such as PVD such that the metal-semiconductor alloy region 136 has a non-uniform thickness. For example, the portion of the metal-semiconductor alloy region 136 on the top surface of the epitaxial source/drain region 106 is a portion of the metal-semiconductor alloy region 136 on the side surface of the epitaxial source/drain region 106 . It may have a greater thickness (T 1 ) than the portion. Forming the metal-semiconductor alloy region 136 on the top surface and the side surface of the epitaxial source/drain region 106 may increase the contact area to the epitaxial source/drain region 106, so that the epitaxial source /help to lower the contact resistance compared to forming the metal-semiconductor alloy region 136 on only the top surface of the drain region 106 .

도 23a, 23B 및 23c에서, 소스/드레인 콘택(138)은 소스/드레인 콘택 개구(134)에 형성된다. 소스/드레인 콘택 개구(134)에는 예를 들면, 확산 장벽층, 접착층 등과 같은 라이너, 및 전도성 물질이 형성된다. 라이너는 티타늄, 티타늄 질화물, 탄탈, 탄탈 질화물 등을 포함할 수 있다. 라이너는 원자 층 퇴적(ALD), 화학 증기 퇴적(CVD), 물리 증기 퇴적(PVD) 등과 같은 컨포멀 퇴적 프로세스에 의해 퇴적될 수 있다. 일부 실시예에서, 라이너는 접착층을 포함할 수 있고 접착층의 적어도 일부는 확산 장벽층을 형성하도록 처리될 수 있다. 전도성 물질은 텅스텐, 루테늄, 코발트, 구리, 몰리브덴, 니켈, 이들의 조합 등일 수 있다. 전도성 물질은 ALD, CVD, PVD 등에 의해 퇴적될 수 있다. 제2 ILD(132)의 상단 표면으로부터 과잉 물질을 제거하기 위해, CMP와 같은 평탄화 프로세스가 수행될 수 있다. 소스/드레인 콘택 개구(134)의 잔여 라이너 및 전도성 물질은 소스/드레인 콘택(138)을 형성한다. 소스/드레인 콘택(138)은 전력 레일 콘택(74) 및 금속-반도체 합금 영역(136)(존재하는 경우) 또는 에피택셜 소스/드레인 영역(106)에 물리적 및 전기적으로 결합된다.23A , 23B and 23C , source/drain contacts 138 are formed in source/drain contact openings 134 . The source/drain contact openings 134 are formed with a liner such as, for example, a diffusion barrier layer, an adhesive layer, and a conductive material. The liner may include titanium, titanium nitride, tantalum, tantalum nitride, and the like. The liner may be deposited by a conformal deposition process such as atomic layer deposition (ALD), chemical vapor deposition (CVD), physical vapor deposition (PVD), or the like. In some embodiments, the liner may include an adhesive layer and at least a portion of the adhesive layer may be treated to form a diffusion barrier layer. The conductive material may be tungsten, ruthenium, cobalt, copper, molybdenum, nickel, combinations thereof, or the like. The conductive material may be deposited by ALD, CVD, PVD, or the like. A planarization process, such as CMP, may be performed to remove excess material from the top surface of the second ILD 132 . The remaining liner and conductive material in the source/drain contact openings 134 form the source/drain contacts 138 . Source/drain contact 138 is physically and electrically coupled to power rail contact 74 and metal-semiconductor alloy region 136 (if present) or epitaxial source/drain region 106 .

소스/드레인 콘택(138)은 하부 부분(유전체 핀(84)과 에피택셜 소스/드레인 영역(106) 사이) 및 상부 부분(에피택셜 소스/드레인 영역(106) 위)을 갖는다. 소스/드레인 콘택(138)의 하부 부분은 약 4nm 내지 약 20nm의 범위로 (유전체 핀(84)의 측벽과 금속-반도체 합금 영역(136)의 측부 표면 사이에서 측정되는) 폭(W7)을 가질 수 있고, 소스/드레인 콘택(138)의 하부 부분은 약 32 nm 내지 약 80 nm의 범위로 (전력 레일 콘택(74)의 상단 표면과 금속-반도체 합금 영역(136)의 상단 표면 사이에서 측정되는) 높이(H7)를 가질 수 있다. 소스/드레인 콘택(138)의 상부 부분은 약 1nm 내지 약 50nm 범위의 (소스/드레인 콘택(138)의 상단 표면과 금속-반도체 합금 영역(136)의 상단 표면 사이에서 측정되는) 높이(H8)를 가질 수 있다.Source/drain contact 138 has a lower portion (between dielectric fin 84 and epitaxial source/drain region 106) and an upper portion (above epitaxial source/drain region 106). The lower portion of the source/drain contact 138 has a width W 7 (measured between the sidewall of the dielectric fin 84 and the side surface of the metal-semiconductor alloy region 136 ) in the range of about 4 nm to about 20 nm. wherein the lower portion of the source/drain contact 138 is in a range of about 32 nm to about 80 nm (measured between the top surface of the power rail contact 74 and the top surface of the metal-semiconductor alloy region 136 ) ) can have a height (H 7 ). The upper portion of the source/drain contact 138 has a height H 8 (measured between the top surface of the source/drain contact 138 and the top surface of the metal-semiconductor alloy region 136 ) ranging from about 1 nm to about 50 nm. ) can have

소스/드레인 콘택(138)은 에피택셜 소스/드레인 영역(106)을 전력 레일 콘택(74)에 접속한다. 따라서, 금속-반도체 합금 영역이 전력 레일 콘택(74) 상에 형성될 필요가 없다. 즉, 전력 레일 콘택(74)의 모든 표면에는 금속-반도체 합금 영역이 없다. 따라서 제조 비용이 절감될 수 있다.Source/drain contact 138 connects epitaxial source/drain region 106 to power rail contact 74 . Accordingly, there is no need for a metal-semiconductor alloy region to be formed on the power rail contact 74 . That is, all surfaces of the power rail contacts 74 are free of metal-semiconductor alloy regions. Accordingly, the manufacturing cost can be reduced.

게이트 콘택(140)은 또한 제2 ILD(132), 에칭 정지층(130), 게이트 마스크(128) 및 에칭 정지층(126)을 관통해 연장되어 형성된다. 게이트 콘택(140)을 형성하기 위한 예로서, 제2 ILD(132), 에칭 정지층(130), 게이트 마스크(128) 및 에칭 정지층(126)을 관통해 콘택 개구가 형성된다. 콘택 개구는 허용 가능한 포토리소그래피 및 에칭 기술을 사용하여 형성될 수 있다. 콘택 개구에는 예를 들면, 확산 장벽층, 접착층 등과 같은 라이너, 및 전도성 물질이 형성된다. 라이너는 티타늄, 티타늄 질화물, 탄탈, 탄탈 질화물 등을 포함할 수 있다. 라이너는 원자 층 퇴적(ALD), 화학 증기 퇴적(CVD), 물리 증기 퇴적(PVD) 등과 같은 컨포멀 퇴적 프로세스에 의해 퇴적될 수 있다. 일부 실시예에서, 라이너는 접착층을 포함할 수 있고 접착층의 적어도 일부는 확산 장벽층을 형성하도록 처리될 수 있다. 전도성 물질은 텅스텐, 코발트, 루테늄, 알루미늄, 니켈, 구리, 구리 합금, 은, 금 등일 수 있다. 전도성 물질은 ALD, CVD, PVD 등에 의해 퇴적될 수 있다. 제2 ILD(132)의 상단 표면으로부터 과잉 물질을 제거하기 위해, CMP와 같은 평탄화 프로세스가 수행될 수 있다. 콘택 개구 내의 잔여 라이너 및 전도성 물질은 게이트 콘택(140)을 형성한다. 게이트 콘택(140)은 게이트 전극(124)에 물리적 및 전기적으로 결합된다. 게이트 콘택(140)은 약 1 nm 내지 약 50 nm 범위 내의 전체 높이를 가질 수 있다.A gate contact 140 is also formed extending through the second ILD 132 , the etch stop layer 130 , the gate mask 128 , and the etch stop layer 126 . As an example for forming the gate contact 140 , a contact opening is formed through the second ILD 132 , the etch stop layer 130 , the gate mask 128 , and the etch stop layer 126 . The contact openings may be formed using acceptable photolithography and etching techniques. A liner such as, for example, a diffusion barrier layer, an adhesive layer, and the like, and a conductive material are formed in the contact opening. The liner may include titanium, titanium nitride, tantalum, tantalum nitride, and the like. The liner may be deposited by a conformal deposition process such as atomic layer deposition (ALD), chemical vapor deposition (CVD), physical vapor deposition (PVD), or the like. In some embodiments, the liner may include an adhesive layer and at least a portion of the adhesive layer may be treated to form a diffusion barrier layer. The conductive material may be tungsten, cobalt, ruthenium, aluminum, nickel, copper, a copper alloy, silver, gold, or the like. The conductive material may be deposited by ALD, CVD, PVD, or the like. A planarization process, such as CMP, may be performed to remove excess material from the top surface of the second ILD 132 . The remaining liner and conductive material in the contact opening form the gate contact 140 . The gate contact 140 is physically and electrically coupled to the gate electrode 124 . The gate contact 140 may have an overall height within a range of about 1 nm to about 50 nm.

게이트 콘택(140)은 소스/드레인 콘택(138) 이전에, 소스/드레인 콘택(138)과 함께 또는 소스/드레인 콘택(138) 이후에 형성될 수 있다. 형성이 완료된 후, 제2 ILD(132), 소스/드레인 콘택(138) 및 게이트 콘택(140)의 상단 표면은 (프로세스 변동 내에서) 공면이다. 예시된 실시예에서, 소스/드레인 콘택(138) 및 게이트 콘택(140)은 서로 다른 단면으로 형성되어 접촉 단락의 위험을 감소시킨다. 또 다른 실시예에서, 소스/드레인 콘택(138) 및 게이트 콘택(140)의 부분 또는 전부는 동일한 단면으로 형성될 수 있다.Gate contact 140 may be formed before source/drain contact 138 , with source/drain contact 138 , or after source/drain contact 138 . After formation is complete, the top surfaces of the second ILD 132 , the source/drain contacts 138 , and the gate contacts 140 are coplanar (within process variations). In the illustrated embodiment, the source/drain contacts 138 and the gate contacts 140 are formed of different cross-sections to reduce the risk of contact shorts. In another embodiment, a portion or all of the source/drain contact 138 and the gate contact 140 may be formed of the same cross-section.

아래에서 더 상세히 논의되는 바와 같이, 제1 상호접속 구조물(예를 들어, 전면 상호접속 구조물)이 기판(50) 위에 형성될 것이다. 그런 다음, 기판(50)의 부분 또는 전부가 제거되고 제2 상호접속 구조물(예를 들어, 후면 상호접속 구조물)로 대체될 것이다. 따라서, 능동 디바이스의 디바이스 층(150)은 전면 상호접속 구조물과 후면 상호접속 구조물 사이에 형성된다. 전면 및 후면 상호접속 구조물은 각각 디바이스 층(150)의 나노-FET에 전기적으로 접속되는 전도성 피처를 포함한다. 전면 상호접속 구조물의 전도성 피처(예를 들어, 금속화 패턴이며, 상호접속부라고도 함)은 에피택셜 소스/드레인 영역(106) 및 게이트 전극(124)의 전면에 전기적으로 접속되어 논리 회로, 메모리 회로, 이미지 센서 회로 등과 같은 기능 회로를 형성할 것이다. 후면 상호접속 구조물의 전도성 피처(예를 들어, 전력 레일)는 기준 전압, 공급 전압 등을 기능 회로에 제공하기 위해 에피택셜 소스/드레인 영역(106)의 후면에 전기적으로 접속될 것이다. 디바이스 층(150)이 나노-FET을 갖는 것으로 설명되지만, 다른 실시예는 상이한 유형의 트랜지스터(예를 들면, 평면 FET, FinFET, TFT 등)를 갖는 디바이스 층을 포함할 수 있다.As discussed in more detail below, a first interconnect structure (eg, a front interconnect structure) will be formed over the substrate 50 . A portion or all of the substrate 50 may then be removed and replaced with a second interconnect structure (eg, a back interconnect structure). Accordingly, the device layer 150 of the active device is formed between the front and back interconnect structures. The front and back interconnect structures each include conductive features electrically connected to the nano-FETs of the device layer 150 . Conductive features (eg, metallization patterns, also referred to as interconnects) of the front surface interconnect structure are electrically connected to the front surfaces of the epitaxial source/drain regions 106 and gate electrodes 124 to provide a logic circuit, a memory circuit , will form a functional circuit such as an image sensor circuit and the like. Conductive features (eg, power rails) of the backside interconnect structure will be electrically connected to the backside of the epitaxial source/drain regions 106 to provide a reference voltage, supply voltage, etc. to the functional circuit. Although device layer 150 is described as having nano-FETs, other embodiments may include device layers having different types of transistors (eg, planar FETs, FinFETs, TFTs, etc.).

도 24a 내지 29c는 일부 실시예에 따라 반도체 디바이스의 제조에서의 중간 단계의 단면도이다. 구체적으로, 나노-FET의 디바이스 층의 제조가 설명된다. 도 23a, 24a, 25a, 26a, 27a, 28a, 및 29a는 2개의 게이트 구조물이 도시된 것을 제외하고는, 도 1의 기준 단면 A-A를 따라 도시된 단면도이다. 도 23b, 24b, 25b, 26b, 27b, 28b 및 29b는 4개의 핀이 도시된 것을 제외하고는 도 1의 기준 단면 B-B를 따라 도시된 단면도이다. 도 23c, 24c, 25c, 26c, 27c, 28c 및 29c는 4개의 핀이 도시된 것을 제외하고는 도 1의 기준 단면 C-C를 따라 도시된 단면도이다. 도 23a, 24a, 25a, 26a, 27a, 28a 및 29a는 n형 영역(50N) 및 p형 영역(50P) 모두에 적용될 수 있다. n형 영역(50N) 및 p형 영역(50P)의 구조물에서의 차이(있는 경우)는 각 도면에 첨부된 텍스트에 설명되어 있다.24A-29C are cross-sectional views of intermediate steps in the fabrication of a semiconductor device in accordance with some embodiments. Specifically, fabrication of the device layer of a nano-FET is described. 23A, 24A, 25A, 26A, 27A, 28A, and 29A are cross-sectional views taken along reference section A-A of FIG. 1 , except that two gate structures are shown; 23b, 24b, 25b, 26b, 27b, 28b and 29b are cross-sectional views taken along the reference section B-B of FIG. 1 except that four pins are shown; 23c, 24c, 25c, 26c, 27c, 28c and 29c are cross-sectional views taken along the reference section C-C of FIG. 1 except that four pins are shown; 23A, 24A, 25A, 26A, 27A, 28A and 29A may be applied to both the n-type region 50N and the p-type region 50P. The differences (if any) in the structure of the n-type region 50N and the p-type region 50P are described in the text accompanying each figure.

도 24a, 24b 및 24c에서, 상호접속 구조물(160)은 디바이스 층(150) 상에, 예를 들어, 제2 ILD(132) 상에 형성된다. 상호접속 구조물(160)은 기판(50)/디바이스 층(150)의 전면(예를 들어, 디바이스 층(150)이 위에 형성되는 기판(50)의 측부, 예를 들어, 반도체층(50A)을 갖는 측부)에 형성되기 때문에 전면 상호접속 구조물이라고도 지칭될 수 있다. 24A , 24B and 24C , interconnect structure 160 is formed on device layer 150 , eg, on second ILD 132 . The interconnect structure 160 connects the front side of the substrate 50/device layer 150 (eg, the side of the substrate 50 over which the device layer 150 is formed, eg, the semiconductor layer 50A). side), so it may also be referred to as a front interconnect structure.

상호접속 구조물(160)은 하나 이상의 적층된 유전체층(164)에 형성된 전도성 피처(162)의 하나 이상의 층을 포함할 수 있다. 유전체층(164) 각각은 로우-k 유전체 물질, 초저-k(extra low-k; ELK) 유전체 물질 등과 같은 유전체 물질을 포함할 수 있다. 유전체층(164)은 CVD, ALD, PVD, PECVD 등과 같은 적절한 프로세스를 사용하여 퇴적될 수 있다.Interconnect structure 160 may include one or more layers of conductive features 162 formed in one or more stacked dielectric layers 164 . Each of the dielectric layers 164 may include a dielectric material, such as a low-k dielectric material, an extra low-k (ELK) dielectric material, or the like. Dielectric layer 164 may be deposited using a suitable process, such as CVD, ALD, PVD, PECVD, or the like.

전도성 피처(162)는 전도성 라인의 층을 상호접속하는 전도성 라인 및 전도성 비아를 포함할 수 있다. 전도성 비아는 전도성 라인의 층들 사이에 수직 접속을 제공하기 위해 유전체층(164)의 각각을 관통해 연장될 수 있다. 전도성 피처(162)는 임의의 허용 가능한 프로세스를 통해 형성될 수 있다. 예를 들면, 전도성 피처(162)는 단일 다마신 프로세스, 이중 다마신 프로세스 등과 같은 다마신 프로세스를 통해 형성될 수 있다. 다마신 프로세스에서, 각각의 유전체층(164)은 전도성 피처(162)의 원하는 패턴에 대응하는 트렌치를 형성하기 위해 포토리소그래피 및 에칭 기술의 조합을 이용하여 패터닝된다. 선택적인 확산 장벽 및/또는 선택적인 접착층이 퇴적될 수 있고 그런 다음, 트렌치는 전도성 물질로 채워질 수 있다. 장벽층에 적합한 물질은 티타늄, 티타늄 질화물, 티타늄 산화물, 탄탈, 탄탈 질화물, 티타늄 산화물, 또는 다른 대체재를 포함하고, 전도성 물질에 적합한 물질은 텅스텐, 루테늄, 코발트, 구리, 몰리브덴, 니켈, 이들의 조합 등을 포함한다. 실시예에서, 전도성 피처(162)는 구리 또는 구리 합금의 시드 층을 퇴적하고 전기 도금에 의해 트렌치를 채움으로써 형성될 수 있다. 화학적 기계적 평탄화(CMP) 프로세스 등이 각각의 유전체층(164)의 표면으로부터 과잉 전도성 물질을 제거하고 후속 프로세싱을 위해 표면을 평탄화하기 위해 사용될 수 있다.Conductive features 162 may include conductive lines and conductive vias interconnecting layers of conductive lines. Conductive vias may extend through each of the dielectric layers 164 to provide vertical connections between the layers of conductive lines. Conductive features 162 may be formed through any acceptable process. For example, the conductive features 162 may be formed through a damascene process, such as a single damascene process, a dual damascene process, or the like. In a damascene process, each dielectric layer 164 is patterned using a combination of photolithography and etching techniques to form trenches corresponding to a desired pattern of conductive features 162 . An optional diffusion barrier and/or optional adhesive layer may be deposited and the trench may then be filled with a conductive material. Suitable materials for the barrier layer include titanium, titanium nitride, titanium oxide, tantalum, tantalum nitride, titanium oxide, or other substitutes, and suitable materials for the conductive material include tungsten, ruthenium, cobalt, copper, molybdenum, nickel, combinations thereof. etc. In an embodiment, the conductive features 162 may be formed by depositing a seed layer of copper or copper alloy and filling the trench by electroplating. A chemical mechanical planarization (CMP) process or the like may be used to remove excess conductive material from the surface of each dielectric layer 164 and planarize the surface for subsequent processing.

도시된 예에서, 전도성 피처(162) 및 유전체층(164)의 5개 층이 예시된다. 그러나, 상호접속 구조물(160)은 임의의 수의 유전체층에 배치된 임의의 수의 전도성 피처를 포함할 수 있다는 것을 이해해야 한다. 상호접속 구조물(160)의 전도성 피처(162)는 기능 회로를 형성하기 위해 게이트 콘택(140) 및 소스/드레인 콘택(138)에 전기적으로 접속된다. 즉, 전도성 피처(162)는 에피택셜 소스/드레인 영역(106)과 게이트 전극(124)을 상호접속한다. 일부 실시예에서, 상호접속 구조물(160)에 의해 형성된 기능 회로는 로직 회로, 메모리 회로, 이미지 센서 회로 등을 포함할 수 있다. 제2 ILD(132), 소스/드레인 콘택(138) 및 게이트 콘택(140)은 또한 상호접속 구조물(160)의 제1 레벨의 전도성 피처의 부분과 같은 상호접속 구조물(160)의 부분으로 간주될 수 있다.In the example shown, five layers of conductive feature 162 and dielectric layer 164 are illustrated. However, it should be understood that interconnect structure 160 may include any number of conductive features disposed in any number of dielectric layers. Conductive features 162 of interconnect structure 160 are electrically connected to gate contacts 140 and source/drain contacts 138 to form functional circuitry. That is, conductive feature 162 interconnects epitaxial source/drain region 106 and gate electrode 124 . In some embodiments, functional circuitry formed by interconnect structure 160 may include logic circuitry, memory circuitry, image sensor circuitry, and the like. The second ILD 132 , the source/drain contacts 138 , and the gate contact 140 are also to be considered part of the interconnect structure 160 , such as part of the first level conductive feature of the interconnect structure 160 . can

그런 다음, 캐리어 기판(166)은 층(168)(예를 들어, 본딩 층(168A, 168B)을 포함함)을 본딩함으로써 상호접속 구조물(160)의 상단 표면에 본딩된다. 캐리어 기판(166)은 유리 캐리어 기판, 세라믹 캐리어 기판, 반도체 기판(예를 들면, 실리콘 기판), 웨이퍼(예를 들면, 실리콘 웨이퍼) 등일 수 있다. 캐리어 기판(166)은 후속 프로세싱 단계 동안 그리고 완성된 디바이스에서 구조적 지지를 제공할 수 있다. 캐리어 기판(166)에는 임의의 능동 또는 수동 디바이스가 실질적으로 없다.The carrier substrate 166 is then bonded to the top surface of the interconnect structure 160 by bonding the layer 168 (eg, including bonding layers 168A, 168B). The carrier substrate 166 may be a glass carrier substrate, a ceramic carrier substrate, a semiconductor substrate (eg, a silicon substrate), a wafer (eg, a silicon wafer), or the like. The carrier substrate 166 may provide structural support during subsequent processing steps and in the finished device. The carrier substrate 166 is substantially free of any active or passive devices.

다양한 실시예에서, 캐리어 기판(166)은 유전체 대 유전체 본딩 등과 같은 적절한 기술을 사용하여 상호접속 구조물(160)에 본딩될 수 있다. 유전체 대 유전체 본딩은 각각 상호접속 구조물(160) 및 캐리어 기판(166) 상에 본딩 층(168A, 168B)을 퇴적하는 것을 포함할 수 있다. 일부 실시예에서, 본딩 층(168A)은 CVD, ALD, PVD 등에 의해 퇴적되는 실리콘 산화물(예를 들면, 고밀도 플라즈마(high density plasma; HDP) 산화물 등)을 포함한다. 본딩 층(168B)은 마찬가지로 예를 들어, CVD, ALD, PVD, 열 산화 등을 이용하여 본딩하기 전에 형성되는 산화물층일 수 있다. 본딩 층(168A, 168B)을 위해 다른 적절한 물질도 사용될 수 있다.In various embodiments, carrier substrate 166 may be bonded to interconnect structure 160 using a suitable technique, such as dielectric to dielectric bonding, or the like. Dielectric to dielectric bonding may include depositing bonding layers 168A and 168B on interconnect structure 160 and carrier substrate 166, respectively. In some embodiments, bonding layer 168A includes silicon oxide (eg, high density plasma (HDP) oxide, etc.) deposited by CVD, ALD, PVD, or the like. Bonding layer 168B may likewise be an oxide layer formed prior to bonding using, for example, CVD, ALD, PVD, thermal oxidation, or the like. Other suitable materials may be used for bonding layers 168A, 168B.

유전체 대 유전체 본딩 프로세스는 본딩 층(168) 중 하나 이상에 표면 처리를 적용하는 것을 추가로 포함할 수 있다. 표면 처리는 플라즈마 처리를 포함할 수 있다. 플라즈마 처리는 진공 환경에서 수행될 수 있다. 플라즈마 처리 후, 표면 처리는 하나 이상의 본딩 층(168)에 적용될 수 있는 세정 프로세스(예를 들면, 탈이온수 등으로 린싱)를 더 포함할 수 있다. 그 다음, 캐리어 기판(166)은 상호접속 구조물(160)과 정렬되고, 이 둘은 상호접속 구조물(160)에 대한 캐리어 기판(166)의 사전 본딩을 개시하기 위해 서로에 대해 가압된다. 사전 본딩은 실온(예를 들면, 약 20℃ 내지 약 25℃ 범위 내)에서 수행될 수 있다. 사전 본딩 후, 예를 들면, 상호접속 구조물(160) 및 캐리어 기판(166)을 약 170℃의 온도로 가열함으로써 어닐링 프로세스가 적용될 수 있다.The dielectric to dielectric bonding process may further include applying a surface treatment to one or more of the bonding layers 168 . The surface treatment may include plasma treatment. Plasma treatment may be performed in a vacuum environment. After plasma treatment, the surface treatment may further include a cleaning process (eg, rinsing with deionized water or the like) that may be applied to one or more bonding layers 168 . The carrier substrate 166 is then aligned with the interconnect structure 160 , the two being pressed against each other to initiate pre-bonding of the carrier substrate 166 to the interconnect structure 160 . The pre-bonding may be performed at room temperature (eg, within the range of about 20° C. to about 25° C.). After the pre-bonding, an annealing process may be applied, for example, by heating the interconnect structure 160 and carrier substrate 166 to a temperature of about 170°C.

도 25a, 25b 및 25c에서, 중간 구조물은 기판(50)의 후면이 위쪽을 향하도록 뒤집힌다. 기판(50)의 후면은 디바이스 층(150)이 위에 형성되는 기판(50)의 전면과 반대되는 측부를 의미한다. 그 다음, 기판(50)은 절연체 층(50B) 및 기판 코어(50C)와 같은 기판(50)의 후면 부분을 제거하기 위해(또는 적어도 그 두께를 감소시키기 위해) 박화(thin)된다. 박화 프로세스는 평탄화 프로세스(예를 들면, 기계적 연삭, 화학 기계적 연마(CMP) 등), 에칭백 프로세스, 이들의 조합 등을 포함할 수 있다. 박화 프로세스는 디바이스 층(150)의 후면에서 라이너층(64) 및 반도체 핀(54)의 표면을 노출시킨다.25A , 25B and 25C , the intermediate structure is turned over with the back side of the substrate 50 facing upward. The back side of the substrate 50 means the side opposite to the front side of the substrate 50 on which the device layer 150 is formed. Substrate 50 is then thinned to remove (or at least reduce its thickness) backside portions of substrate 50, such as insulator layer 50B and substrate core 50C. The thinning process may include a planarization process (eg, mechanical grinding, chemical mechanical polishing (CMP), etc.), an etchback process, combinations thereof, and the like. The thinning process exposes the surface of the liner layer 64 and semiconductor fin 54 at the backside of the device layer 150 .

도 26a, 26b 및 26c에서, 반도체 핀(54)은 리세스(142)를 형성하기 위해 제거된다. 각각의 리세스(142)는 유전체 벽(68)과 전력 레일 콘택(74) 사이에 배치된다. 반도체 핀(54)은 수용가능한 포토리소그래피 및 에칭 기술, 예를 들어, 반도체 핀(54)에 선택적인 에칭 프로세스(예를 들어, 라이너층(64) 및 에피택셜 소스/드레인 영역(106)의 물질보다 빠른 속도로 반도체 핀(54)의 물질을 에칭함)를 사용하여 제거될 수 있다. 제거 동안, 에피택셜 소스/드레인 영역(106)(예를 들어, 제1 반도체 물질층(106A))의 하부 층은 반도체 핀(54)이 에칭될 때 에칭 정지층으로서 사용될 수 있다. 에피택셜 소스/드레인 영역(106)(예를 들어, 제1 반도체 물질층(106A))의 하부 층은 반도체 핀(54)의 제거 동안 제거될(또는 제거되지 않을) 수 있다.26A , 26B and 26C , semiconductor fin 54 is removed to form recess 142 . Each recess 142 is disposed between the dielectric wall 68 and the power rail contact 74 . The semiconductor fin 54 may be formed using an acceptable photolithography and etching technique, such as an etching process selective to the semiconductor fin 54 (eg, the material of the liner layer 64 and epitaxial source/drain regions 106 ). etching the material of the semiconductor fin 54 at a higher rate). During removal, an underlying layer of epitaxial source/drain region 106 (eg, first layer of semiconductor material 106A) may be used as an etch stop layer when semiconductor fin 54 is etched. An underlying layer of epitaxial source/drain region 106 (eg, first layer of semiconductor material 106A) may be removed (or not removed) during removal of semiconductor fin 54 .

도 27a, 27b 및 27c에서, 유전체 핀(144)은 에피택셜 소스/드레인 영역(106) 상에와 같이 리세스(142)에 형성된다. 유전체 핀(144)은 반도체 핀(54)을 대체하는데, 이는 결과적인 나노-FET의 기생 커패시턴스 및/또는 누설 전류를 감소시켜 그 성능을 향상시키는 것을 도울 수 있다. 유전체 핀(144)은, 열 산화 또는 컨포멀 퇴적 프로세스(예를 들어, 라이너층(64)을 형성하는 후보 방법 중에서 선택된 방법)에 의해 형성될 수 있는, 로우-k 유전체 물질(예를 들어, 라이너층(64)의 후보 유전체 물질 중에서 선택된 물질), 하이-k 유전체 물질(예를 들어, 라이너층(64)의 후보 유전체 물질 중에서 선택된 물질), 이들의 조합 등으로 형성될 수 있다. 예시된 실시예에서, 유전체 핀(144)은 제1 유전체층(144A) 및 제1 유전체층(144A) 상의 제2 유전체층(144B)을 포함하고, 제1 유전체층(144A)은 실리콘 질화물로 형성되고 제2 유전체층(144B)은 실리콘 산화물로 형성된다. 제1 유전체층(144A)(예를 들어, 질화물)을 형성하는 것은 제2 유전체층(144B)(예를 들어, 산화물)을 형성하는 동안 에피택셜 소스/드레인 영역(106) 및 게이트 구조물(120)의 산화를 방지하는 것을 도울 수 있다.27A , 27B and 27C , a dielectric fin 144 is formed in the recess 142 as on the epitaxial source/drain region 106 . Dielectric fin 144 replaces semiconductor fin 54, which may help reduce parasitic capacitance and/or leakage current of the resulting nano-FET, thereby improving its performance. The dielectric fins 144 are formed of a low-k dielectric material (eg, by a thermal oxidation or conformal deposition process (eg, a method selected from candidate methods of forming the liner layer 64 ). a material selected from candidate dielectric materials for the liner layer 64 ), a high-k dielectric material (eg, a material selected from candidate dielectric materials for the liner layer 64 ), a combination thereof, or the like. In the illustrated embodiment, the dielectric fin 144 includes a first dielectric layer 144A and a second dielectric layer 144B on the first dielectric layer 144A, the first dielectric layer 144A being formed of silicon nitride and a second The dielectric layer 144B is formed of silicon oxide. Forming the first dielectric layer 144A (eg, nitride) is the epitaxial source/drain region 106 and gate structure 120 during formation of the second dielectric layer 144B (eg, oxide). It can help prevent oxidation.

유전체 핀(144)의 물질(들)이 퇴적된 후, 전력 레일 콘택(74) 및 유전체 벽(68) 위의 유전체 핀(144) 및 라이너층(64)의 과잉 물질(들)을 제거하기 위해 제거 프로세스가 적용된다. 일부 실시예에서, 예를 들면, 화학 기계적 연마(CMP), 에칭백 프로세스, 이들의 조합 등과 같은, 평탄화 프로세스가 활용될 수 있다. 평탄화 프로세스는 전력 레일 콘택(74) 및 유전체 벽(68)을 노출시켜 전력 레일 콘택(74), 유전체 벽(68), 라이너층(64) 및 유전체 핀(144)의 상단 표면이 평탄화 프로세스가 완료된 후 (프로세스 변동 내에서) 공면이 된다. 평탄화 프로세스 후, 제1 유전체층(144A)은 약 2nm 내지 약 10nm 범위의 두께를 가질 수 있고, 제2 유전체층(144B)은 약 8nm 내지 약 70nm 범위의 높이를 가질 수 있고, 유전체 핀은 144는 약 24nm 내지 약 80nm 범위의 총 높이를 가질 수 있으며, 전력 레일 콘택(74)의 높이(H1)는 약 20nm 내지 약 60nm 범위 내일 수 있다.After the material(s) of the dielectric fins 144 are deposited, to remove excess material(s) of the dielectric fins 144 and the liner layer 64 over the power rail contacts 74 and the dielectric walls 68 . A removal process is applied. In some embodiments, a planarization process may be utilized, such as, for example, chemical mechanical polishing (CMP), an etchback process, combinations thereof, and the like. The planarization process exposes the power rail contacts 74 and dielectric walls 68 so that the top surfaces of the power rail contacts 74, dielectric walls 68, liner layer 64, and dielectric fins 144 are finished with the planarization process. After (within process variation) it becomes coplanar. After the planarization process, the first dielectric layer 144A may have a thickness ranging from about 2 nm to about 10 nm, the second dielectric layer 144B may have a height ranging from about 8 nm to about 70 nm, and the dielectric fin 144 may be about The total height may be in the range of 24 nm to about 80 nm, and the height H 1 of the power rail contact 74 may be in the range of about 20 nm to about 60 nm.

전력 레일 콘택(74)을 STI 영역(78) 아래에 매립하면 이들이 평탄화 프로세스를 통해 노출될 수 있으므로 콘택 개구를 전력 레일 콘택(74)의 후면에 대해 에칭할 필요가 없다. 따라서 후면 프로세싱을 위한 오버레이 프로세싱 윈도우가 넓어질 수 있다. 또한, 전력 레일 콘택(74)이 프로세싱 단계에서 에피택셜 소스/드레인 영역(106)에 이미 접속되어 있기 때문에, 금속-반도체 합금 영역이 전력 레일 콘택(74)의 후면 상에 형성될 필요가 없다. 따라서 나노-FET에 대한 콘택 저항이 향상될 수 있다.Burying the power rail contacts 74 under the STI region 78 allows them to be exposed through a planarization process, eliminating the need to etch the contact openings to the backside of the power rail contacts 74 . Accordingly, the overlay processing window for backside processing can be widened. Further, since the power rail contact 74 is already connected to the epitaxial source/drain region 106 in the processing step, there is no need for a metal-semiconductor alloy region to be formed on the backside of the power rail contact 74 . Therefore, the contact resistance for the nano-FET can be improved.

도 28a, 28b 및 28c에서, 상호접속 구조물(170)은 예를 들어, 전력 레일 콘택(74), 유전체 벽(68) 및 유전체 핀(144) 위와 같이 디바이스 층(150)의 후면에 형성된다. 상호접속 구조물(170)은 디바이스 층(150)의 후면 상에 형성되기 때문에 후면 상호접속 구조물이라고도 지칭될 수 있다. 상호접속 구조물(170)의 컴포넌트는 상호접속 구조물(160)과 유사할 수 있다. 예를 들어, 상호접속 구조물(170)은 상호접속 구조물(160)과 유사한 물질을 포함할 수 있고 유사한 프로세스를 사용하여 형성될 수 있다. 특히, 상호접속 구조물(170)은 적층된 유전체층(174)에 형성된 전도성 피처(172)의 적층된 층을 포함할 수 있다. 전도성 피처(172)는 (예를 들어, 후속적으로 형성되는 콘택 패드 및 외부 접속부로의 그리고 이들로부터의 라우팅을 위한) 라우팅 라인을 포함할 수 있다. 전도성 피처(172)는 전도성 라인의 적층된 층들 사이에 수직 상호접속을 제공하기 위해 유전체층(174)에서 연장되는 전도성 비아를 더 포함할 수 있다. 형성 후에, 전도성 피처(172)는 약 1 nm 내지 약 50 nm의 범위 내의 두께를 가질 수 있다. 전력 레일 콘택(74)은 상호접속 구조물(170)의 전도성 피처(172)를 디바이스 층(150)의 트랜지스터 및 상호접속 구조물(160)의 전도성 피처(162)에 접속한다.28A , 28B and 28C , interconnect structure 170 is formed on the backside of device layer 150 , such as over power rail contacts 74 , dielectric walls 68 and dielectric fins 144 , for example. The interconnect structure 170 may also be referred to as a backside interconnect structure because it is formed on the backside of the device layer 150 . The components of interconnect structure 170 may be similar to interconnect structure 160 . For example, interconnect structure 170 may include a similar material to interconnect structure 160 and may be formed using a similar process. In particular, interconnect structure 170 may include stacked layers of conductive features 172 formed in stacked dielectric layers 174 . Conductive features 172 may include routing lines (eg, for routing to and from subsequently formed contact pads and external connections). The conductive features 172 may further include conductive vias extending from the dielectric layer 174 to provide vertical interconnections between the stacked layers of conductive lines. After formation, the conductive features 172 may have a thickness in the range of about 1 nm to about 50 nm. Power rail contacts 74 connect conductive features 172 of interconnect structure 170 to transistors in device layer 150 and conductive features 162 of interconnect structure 160 .

전도성 피처(172)의 부분 또는 전부는 에피택셜 소스/드레인 영역(106)을 기준 전압, 공급 전압 등에 전기적으로 접속하는 전도성 라인인 전력 레일 라인이다. 예를 들어, 전력 레일 라인(172P)은 상호접속 구조물(160)의 제1 레벨 전도성 라인일 수 있다. 전력 레일 라인(172P)을 디바이스 층(150)의 전면이 아닌 디바이스 층(150)의 후면에 배치함으로써, 이점이 달성될 수 있다. 예를 들어, 나노-FET의 게이트 밀도 및/또는 상호접속 구조물(160)의 상호접속 밀도가 증가될 수 있다. 또한, 디바이스층(150)의 후면은 더 넓은 전력 레일을 수용하여 저항을 줄이고 나노-FET으로의 전력 전달 효율을 높일 수 있다. 예를 들어, 전도성 피처(172)의 폭은 상호접속 구조물(160)의 제1 레벨 전도성 라인(예를 들면, 제1 전도성 라인(162A))의 폭의 적어도 2배일 수 있다.Part or all of the conductive features 172 are power rail lines, which are conductive lines that electrically connect the epitaxial source/drain regions 106 to a reference voltage, supply voltage, or the like. For example, power rail line 172P may be a first level conductive line of interconnect structure 160 . By placing the power rail line 172P on the back side of the device layer 150 rather than the front side of the device layer 150 , an advantage may be achieved. For example, the gate density of the nano-FET and/or the interconnect density of the interconnect structure 160 may be increased. In addition, the rear surface of the device layer 150 may accommodate a wider power rail to reduce resistance and increase power transfer efficiency to the nano-FET. For example, the width of the conductive feature 172 may be at least twice the width of the first level conductive line (eg, the first conductive line 162A) of the interconnect structure 160 .

일부 실시예에서, 상호접속 구조물(170)의 전도성 피처는 저항기, 커패시터, 인덕터 등과 같은 하나 이상의 내장된 수동 디바이스를 포함하도록 패터닝될 수 있다. 내장된 수동 디바이스는 디바이스 층(150)의 후면에 회로(예를 들어, 전력 회로)를 제공하기 위해 전도성 피처(172)(예를 들어, 전력 레일 라인(172P))와 통합될 수 있다.In some embodiments, conductive features of interconnect structure 170 may be patterned to include one or more embedded passive devices, such as resistors, capacitors, inductors, and the like. Embedded passive devices may be integrated with conductive features 172 (eg, power rail lines 172P) to provide circuitry (eg, power circuitry) to the backside of device layer 150 .

도 29a, 29b, 및 29c에서, 패시베이션층(182), UBM(184) 및 외부 접속부(186)가 상호접속 구조물(170) 위에 형성된다. 패시베이션층(182)은 예를 들면, 폴리이미드, 폴리벤족사졸(PBO), 벤조사이클로부텐(BCB) 기반 중합체 등과 같은 중합체를 포함할 수 있다. 대안적으로, 패시베이션층(182)은 실리콘 산화물, 실리콘 질화물, 실리콘 탄화물, 실리콘 산질화물 등과 같은 비유기(non-organic) 유전체 물질을 포함할 수 있다. 패시베이션층(182)의 물질은 예를 들면, CVD, PVD, ALD 등에 의해 퇴적될 수 있다.29A , 29B , and 29C , a passivation layer 182 , UBM 184 , and external connections 186 are formed over interconnect structure 170 . The passivation layer 182 may include, for example, a polymer such as polyimide, polybenzoxazole (PBO), or a benzocyclobutene (BCB)-based polymer. Alternatively, passivation layer 182 may include a non-organic dielectric material such as silicon oxide, silicon nitride, silicon carbide, silicon oxynitride, or the like. The material of passivation layer 182 may be deposited by, for example, CVD, PVD, ALD, or the like.

UBM(184)은 패시베이션층(182)을 관통해 상호접속 구조물(170)의 전도성 피처(172)까지 형성되고 외부 접속부(186)는 UBM(146) 상에 형성된다. UBM(184)은 도금 프로세스 등에 의해 형성되는 구리, 니켈, 금 등의 하나 이상의 층을 포함할 수 있다. 외부 접속부(186)(예를 들면, 솔더 볼)이 UBM(184) 상에 형성된다. 외부 접속부(186)의 형성은 UBM(184)의 노출된 부분 상에 솔더 볼을 배치하고, 그런 다음, 솔더 볼을 리플로우(reflow)하는 것을 포함할 수 있다. 대안적 실시예에서, 외부 접속부(186)의 형성은 최상부 전도성 피처(172) 위에 솔더 영역을 형성하기 위해 도금 단계를 수행한 다음 솔더 영역을 리플로우하는 것을 포함한다. 또 다른 실시예에서, 외부 접속부(186)는 예를 들면, 마이크로 범프와 같은 실질적으로 수직 측벽을 갖는 금속 접속부이다. UBM(184) 및 외부 접속부(186)는 다른 디바이스 다이, 재배선 구조물, 인쇄 회로 기판(printed circuit board; PCB), 마더 보드 등과 같은 다른 전기 컴포넌트로의 입출력 접속을 제공하는 데 사용될 수 있다. UBM(184) 및 외부 접속부(186)는 또한 디바이스 층(150)의 나노-FET에 신호, 기준 전압, 공급 전압, 및/또는 접지 접속을 제공할 수 있는 후면 입출력 패드라고 지칭될 수 있다.UBM 184 is formed through passivation layer 182 to conductive features 172 of interconnect structure 170 and external connections 186 are formed on UBM 146 . The UBM 184 may include one or more layers of copper, nickel, gold, etc. formed by a plating process or the like. An external connection 186 (eg, a solder ball) is formed on the UBM 184 . Forming the external connection 186 may include placing a solder ball on an exposed portion of the UBM 184 and then reflowing the solder ball. In an alternative embodiment, the formation of the external connections 186 includes performing a plating step to form a solder region over the top conductive feature 172 and then reflowing the solder region. In another embodiment, the external contacts 186 are metal contacts having substantially vertical sidewalls, such as micro bumps, for example. UBM 184 and external connections 186 may be used to provide input/output connections to other electrical components such as other device dies, redistribution structures, printed circuit boards (PCBs), motherboards, and the like. UBM 184 and external connections 186 may also be referred to as backside input/output pads, which may provide signal, reference voltage, supply voltage, and/or ground connections to the nano-FETs of device layer 150 .

실시예는 장점을 달성할 수 있다. STI 영역(78) 아래에 전력 레일 콘택(74)을 매립하면 그 후면이 평탄화 프로세스를 통해 노출될 수 있으므로, 콘택 개구를 전력 레일 콘택(74)의 후면에 에칭할 필요가 없다. 또한, 전력 레일 콘택(74)이 소스/드레인 콘택(138)에 의해 에피택셜 소스/드레인 영역(106)에 접속되기 때문에, 금속-반도체 합금 영역이 전력 레일 콘택(74)의 후면 상에 형성될 필요가 없다. 따라서 나노-FET에 대한 콘택 저항이 향상될 수 있다.Embodiments may achieve advantages. Burying the power rail contact 74 under the STI region 78 allows the backside thereof to be exposed through a planarization process, eliminating the need to etch the contact opening into the backside of the power rail contact 74 . Also, because the power rail contact 74 is connected to the epitaxial source/drain region 106 by the source/drain contact 138 , a metal-semiconductor alloy region will be formed on the backside of the power rail contact 74 . no need. Therefore, the contact resistance for the nano-FET can be improved.

실시예에서, 방법은, 기판 위에 포크시트 구조물을 형성하는 단계; 포크시트 구조물에 인접한 전력 레일 콘택을 형성하는 단계; 전력 레일 콘택 상에 격리 영역을 형성하는 단계 - 포크시트 구조물은 격리 영역으로부터 돌출함 -; 포크시트 구조물에서 제1 소스/드레인 영역을 성장시키는 단계; 제1 소스/드레인 영역 상에 층간 유전체(ILD)를 퇴적하는 단계; 및 ILD 및 격리 영역을 관통해 소스/드레인 콘택을 형성하는 단계를 포함하고, 소스/드레인 콘택은 제1 소스/드레인 영역 및 전력 레일 콘택에 접속된다.In an embodiment, a method includes forming a forksheet structure over a substrate; forming a power rail contact adjacent the forksheet structure; forming an isolation region on the power rail contact, the forksheet structure projecting from the isolation region; growing a first source/drain region in the forksheet structure; depositing an interlayer dielectric (ILD) over the first source/drain regions; and forming a source/drain contact through the ILD and the isolation region, the source/drain contact being connected to the first source/drain region and the power rail contact.

방법의 일부 실시예에서, 포크시트 구조물은 제1 나노구조물, 제2 나노구조물, 및 제1 나노구조물과 제2 나노구조물 사이의 유전체 벽을 포함하고, 제1 소스/드레인 영역은 제1 나노구조물에 인접하며, 방법은 포크시트 구조물에서 제2 소스/드레인 영역을 성장시키는 단계를 더 포함하고, 제2 소스/드레인 영역은 제2 나노구조물에 인접하며, 유전체 벽은 제1 소스/드레인 영역과 제2 소스/드레인 영역 사이에 배치된다. 일부 실시예에서, 본 방법은, 제1 나노구조물 주위에 제1 게이트 구조물을 형성하는 단계; 및 제2 나노구조물 주위에 제2 게이트 구조물을 형성하는 단계를 더 포함하며, 제2 게이트 구조물은 제1 게이트 구조물에 접속된다. 본 방법의 일부 실시예에서, 제1 나노구조물, 제2 나노구조물 및 유전체 벽은 제1 방향으로 평행한 종축을 갖고, 유전체 벽은 제2 방향으로 제1 소스/드레인 영역과 제2 소스/드레인 영역 사이에 배치되며, 제1 방향은 제2 방향에 수직이다. 본 방법의 일부 실시예에서, 전력 레일 콘택을 형성하는 단계는, 포크시트 구조물 상에 그리고 이에 인접하여 전도성층을 퇴적하는 단계; 및 포크시트 구조물 상의 전도성층의 부분을 제거하는 단계를 포함하며, 전력 레일 콘택은 포크시트 구조물에 인접하게 남아있는 전도성층의 부분을 포함한다. 본 방법의 일부 실시예에서, 격리 영역을 형성하는 단계는, 포크시트 구조물 및 전력 레일 콘택 상에 유전체층을 퇴적하는 단계; 및 포크시트 구조물 상의 유전체층의 부분을 제거하는 단계를 포함하고, 격리 영역은 전력 레일 콘택 상에 남아 있는 유전체층의 부분을 포함한다. 본 방법의 일부 실시예에서, 포크시트 구조물을 형성하는 단계는, 기판으로부터 연장되는 제1 핀 구조물 및 제2 핀 구조물을 형성하는 단계; 제1 핀 구조물과 제2 핀 구조물 위에 그리고 이들 사이에 유전체층을 퇴적하는 단계; 및 제1 핀 구조물과 제2 핀 구조물 사이에 남아있는 유전체층의 부분을 포함하는 유전체 벽을 형성하기 위해 제1 핀 구조물 및 제2 핀 구조물 위의 유전체층의 부분을 제거하는 단계를 포함한다. 일부 실시예에서, 본 방법은, 격리 영역 상에 유전체 핀을 형성하는 단계 - 제1 소스/드레인 영역을 성장시킨 후 제1 소스/드레인 영역은 유전체 핀으로부터 분리됨 -; 및 제1 소스/드레인 영역을 성장시킨 후, 유전체 핀과 제1 소스/드레인 영역 사이에 유전체층을 퇴적하는 단계를 더 포함하고, ILD는 유전체층 상에 퇴적된다. 본 방법의 일부 실시예에서, 소스/드레인 콘택을 형성하는 단계는, ILD, 유전체층 및 격리 영역을 관통하는 개구를 에칭하는 단계 - ILD 내의 개구의 부분은 제1 소스/드레인 영역의 상단 표면을 노출시키고, 유전체층 내의 개구의 부분은 제1 소스/드레인 영역의 측부 표면을 노출시키며, 격리 영역 내의 개구의 부분은 전력 레일 콘택을 노출시킴 -; 제1 소스/드레인 영역 상에 그리고 개구 내에 금속-반도체 합금 영역을 형성하는 단계 - 제1 소스/드레인 영역의 상단 표면 상의 금속-반도체 합금 영역의 부분은 제1 두께를 가지고, 제1 소스/드레인 영역의 측부 표면 상의 금속-반도체 합금 영역의 부분은 제2 두께를 가지며, 제1 두께는 제2 두께 이상임 -; 및 금속-반도체 합금 영역 및 개구에 의해 노출되는 전력 레일 콘택의 부분 상에 소스/드레인 콘택을 형성하는 단계를 포함한다.In some embodiments of the method, the forksheet structure includes first nanostructures, second nanostructures, and a dielectric wall between the first nanostructures and the second nanostructures, and wherein the first source/drain regions include the first nanostructures. wherein the method further comprises growing a second source/drain region in the forksheet structure, wherein the second source/drain region is adjacent to the second nanostructure, and wherein the dielectric wall comprises the first source/drain region and the disposed between the second source/drain regions. In some embodiments, the method includes forming a first gate structure around the first nanostructure; and forming a second gate structure around the second nanostructure, wherein the second gate structure is connected to the first gate structure. In some embodiments of the method, the first nanostructures, the second nanostructures and the dielectric wall have longitudinal axes parallel to the first direction, the dielectric wall having the first source/drain regions and the second source/drain regions in the second direction disposed between the regions, wherein the first direction is perpendicular to the second direction. In some embodiments of the method, forming the power rail contact comprises depositing a conductive layer on and adjacent the forksheet structure; and removing a portion of the conductive layer on the forksheet structure, wherein the power rail contact includes a portion of the conductive layer remaining adjacent the forksheet structure. In some embodiments of the method, forming the isolation region comprises depositing a dielectric layer over the forksheet structure and the power rail contact; and removing a portion of the dielectric layer on the forksheet structure, wherein the isolation region includes a portion of the dielectric layer remaining on the power rail contact. In some embodiments of the method, forming the fork seat structure includes: forming a first fin structure and a second fin structure extending from the substrate; depositing a dielectric layer over and between the first and second fin structures; and removing the portion of the dielectric layer over the first fin structure and the second fin structure to form a dielectric wall including a portion of the dielectric layer remaining between the first fin structure and the second fin structure. In some embodiments, the method includes forming a dielectric fin on the isolation region, the first source/drain region being separated from the dielectric fin after growing the first source/drain region; and after growing the first source/drain regions, depositing a dielectric layer between the dielectric fin and the first source/drain regions, wherein the ILD is deposited over the dielectric layer. In some embodiments of the method, forming the source/drain contacts comprises: etching an opening through the ILD, the dielectric layer and the isolation region, a portion of the opening in the ILD exposing a top surface of the first source/drain region wherein portions of the openings in the dielectric layer expose side surfaces of the first source/drain regions, and portions of the openings in the isolation regions expose power rail contacts; forming a metal-semiconductor alloy region on the first source/drain region and within the opening, a portion of the metal-semiconductor alloy region on a top surface of the first source/drain region having a first thickness, wherein the first source/drain region has a first thickness. the portion of the metal-semiconductor alloy region on the side surface of the region has a second thickness, the first thickness being greater than or equal to the second thickness; and forming source/drain contacts on the metal-semiconductor alloy region and the portion of the power rail contact exposed by the opening.

실시예에서, 디바이스는, 전력 레일 콘택; 전력 레일 콘택 상의 격리 영역; 격리 영역 상의 제1 유전체 핀; 격리 영역 및 전력 레일 콘택에 인접한 제2 유전체 핀; 제2 유전체 핀 상의 제1 소스/드레인 영역; 및 제1 소스/드레인 영역과 제1 유전체 핀 사이의 소스/드레인 콘택을 포함하고, 소스/드레인 콘택은 제1 소스/드레인 영역의 상단 표면, 제1 소스/드레인 영역의 측부 표면, 및 전력 레일 콘택의 상단 표면과 접촉한다.In an embodiment, a device comprises: a power rail contact; an isolation region on the power rail contact; a first dielectric fin on the isolation region; a second dielectric pin adjacent the isolation region and the power rail contact; a first source/drain region on the second dielectric fin; and a source/drain contact between the first source/drain region and the first dielectric fin, the source/drain contact comprising a top surface of the first source/drain region, a side surface of the first source/drain region, and a power rail contact the top surface of the contact.

일부 실시예에서, 디바이스는, 제1 유전체 핀과 격리 영역 및 전력 레일 콘택 각각 사이에 배치된 라이너층을 더 포함한다. 일부 실시예에서, 디바이스는, 소스/드레인 콘택과 제1 소스/드레인 영역 사이의 금속-반도체 합금 영역을 더 포함하고, 제1 소스/드레인 영역의 상단 표면 상의 금속-반도체 합금 영역의 부분은 제1 두께를 가지고, 제1 소스/드레인 영역의 측부 표면 상의 금속-반도체 합금 영역의 부분은 제2 두께를 가지며, 제1 두께는 제2 두께 이상이다. 디바이스의 일부 실시예에서, 제1 두께 및 제2 두께는 2.5nm 내지 7.5nm의 범위 내이다. 디바이스의 일부 실시예에서, 전력 레일 콘택 및 제2 유전체 핀의 후면 표면은 공면이다. 일부 실시예에서, 디바이스는, 전력 레일 콘택 및 제1 유전체 핀의 후면 표면 상의 제2 유전체층; 및 제2 유전체층 내의 전력 레일 라인을 더 포함하고, 전력 레일 라인은 전력 레일 콘택에 접속된다. 디바이스의 일부 실시예에서, 전력 레일 콘택의 표면에는 금속-반도체 합금 영역이 없다. 일부 실시예에서, 디바이스는, 제1 유전체 핀과 제1 소스/드레인 영역 사이에 측방향으로 배치된 유전체층 - 소스/드레인 콘택은 유전체층을 관통해 연장됨 -; 및 유전체층, 제1 유전체 핀, 및 제2 유전체 핀 상의 층간 유전체(ILD)를 더 포함하고, 소스/드레인 콘택은 ILD를 관통해 연장된다.In some embodiments, the device further includes a liner layer disposed between the first dielectric fin and each of the isolation region and the power rail contact. In some embodiments, the device further comprises a metal-semiconductor alloy region between the source/drain contact and the first source/drain region, wherein the portion of the metal-semiconductor alloy region on a top surface of the first source/drain region comprises a second 1 thickness, the portion of the metal-semiconductor alloy region on the side surface of the first source/drain regions has a second thickness, the first thickness being greater than or equal to the second thickness. In some embodiments of the device, the first thickness and the second thickness are in a range of 2.5 nm to 7.5 nm. In some embodiments of the device, the back surface of the power rail contact and the second dielectric fin are coplanar. In some embodiments, the device comprises: a second dielectric layer on the back surface of the power rail contact and the first dielectric fin; and a power rail line in the second dielectric layer, the power rail line connected to the power rail contact. In some embodiments of the device, the surface of the power rail contact is free of metal-semiconductor alloy regions. In some embodiments, the device comprises: a dielectric layer laterally disposed between the first dielectric fin and the first source/drain regions, the source/drain contacts extending therethrough; and an interlayer dielectric (ILD) on the dielectric layer, the first dielectric fin, and the second dielectric fin, the source/drain contacts extending through the ILD.

실시예에서, 디바이스는 금속화 패턴을 포함하는 제1 상호접속 구조물; 전력 레일 라인을 포함하는 제2 상호접속 구조물; 제1 상호접속 구조물과 제2 상호접속 구조물 사이의 디바이스 층을 포함하고, 디바이스 층은, 소스/드레인 영역을 포함하는 트랜지스터; 전력 레일 라인에 접속된 전력 레일 콘택; 및 전력 레일 콘택, 소스/드레인 영역, 및 금속화 패턴에 접속된 소스/드레인 콘택을 포함한다.In an embodiment, a device includes a first interconnect structure comprising a metallization pattern; a second interconnect structure comprising a power rail line; a device layer comprising a device layer between the first interconnect structure and the second interconnect structure, the device layer comprising: a transistor comprising source/drain regions; a power rail contact connected to the power rail line; and a source/drain contact connected to the power rail contact, the source/drain region, and the metallization pattern.

디바이스의 일부 실시예에서, 디바이스 층은 트랜지스터를 디바이스 층의 다른 트랜지스터로부터 격리하는 격리 영역을 더 포함하고, 전력 레일 콘택은 격리 영역에 매립된다. 디바이스의 일부 실시예에서, 소스/드레인 영역은 패싯된 상단 표면 및 패싯된 측부 표면을 가지며, 소스/드레인 콘택은 패싯된 상단 표면 및 패싯된 측부 표면을 따라 연장된다.In some embodiments of the device, the device layer further includes an isolation region that isolates the transistor from other transistors of the device layer, and the power rail contacts are embedded in the isolation region. In some embodiments of the device, the source/drain region has a faceted top surface and a faceted side surface, and the source/drain contacts extend along the faceted top surface and the faceted side surface.

전술된 설명은, 당업자가 본 개시의 양상을 더 잘 이해할 수 있도록 여러 실시예의 피처를 서술한다. 당업자는, 자신이 본 명세서에서 소개된 실시예의 동일한 목적을 수행하고 그리고/또는 동일한 이점을 달성하기 위한 다른 프로세스와 구조물을 설계 또는 수정하기 위한 기초로서 본 개시를 쉽게 이용할 수 있다는 것을 인식해야 한다. 또한, 당업자들은 이러한 등가의 구성이 본 개시의 취지 및 범위를 벗어나지 않으며, 본 개시의 취지 및 범위를 벗어나지 않으면서 다양한 변화, 대체 및 변경을 이룰 수 있음을 알아야 한다.The foregoing description sets forth features of several embodiments so that those skilled in the art may better understand aspects of the present disclosure. Those of ordinary skill in the art should appreciate that they may readily use the present disclosure as a basis for designing or modifying other processes and structures for carrying out the same purposes and/or achieving the same advantages of the embodiments introduced herein. Moreover, those skilled in the art should appreciate that such equivalent constructions do not depart from the spirit and scope of the present disclosure, and that various changes, substitutions, and alterations can be made without departing from the spirit and scope of the present disclosure.

실시예Example

1. 방법에 있어서,One. In the method,

기판 위에 포크시트 구조물(forksheet structure)을 형성하는 단계;forming a forksheet structure on the substrate;

상기 포크시트 구조물에 인접한 전력 레일 콘택을 형성하는 단계;forming power rail contacts adjacent the fork seat structure;

상기 전력 레일 콘택 상에 격리 영역을 형성하는 단계 - 상기 포크시트 구조물은 상기 격리 영역으로부터 돌출함 -;forming an isolation region over the power rail contact, wherein the forksheet structure protrudes from the isolation region;

상기 포크시트 구조물에서 제1 소스/드레인 영역을 성장시키는 단계;growing a first source/drain region in the forksheet structure;

상기 제1 소스/드레인 영역 상에 층간 유전체(interlayer dielectric; ILD)를 퇴적하는 단계; 및depositing an interlayer dielectric (ILD) over the first source/drain regions; and

상기 ILD 및 상기 격리 영역을 관통해 소스/드레인 콘택을 형성하는 단계forming source/drain contacts through the ILD and the isolation region;

를 포함하고, 상기 소스/드레인 콘택은 상기 제1 소스/드레인 영역 및 상기 전력 레일 콘택에 접속되는 것인, 방법.wherein the source/drain contact is connected to the first source/drain region and the power rail contact.

2. 제1항에 있어서,2. The method of claim 1,

상기 포크시트 구조물은 제1 나노구조물, 제2 나노구조물, 및 상기 제1 나노구조물과 상기 제2 나노구조물 사이의 유전체 벽을 포함하고, 상기 제1 소스/드레인 영역은 제1 나노구조물에 인접하며, 상기 방법은,wherein the forksheet structure includes first nanostructures, second nanostructures, and a dielectric wall between the first nanostructures and the second nanostructures, the first source/drain regions adjacent the first nanostructures; , the method is

상기 포크시트 구조물에서 제2 소스/드레인 영역을 성장시키는 단계를 포함하고, 상기 제2 소스/드레인 영역은 상기 제2 나노구조물에 인접하며, 상기 유전체 벽은 상기 제1 소스/드레인 영역과 상기 제2 소스/드레인 영역 사이에 배치되는 것인, 방법.growing a second source/drain region in the forksheet structure, wherein the second source/drain region is adjacent the second nanostructure, and the dielectric wall comprises the first source/drain region and the second nanostructure. 2 , disposed between the source/drain regions.

3. 제2항에 있어서,3. 3. The method of claim 2,

상기 제1 나노구조물 주위에 제1 게이트 구조물을 형성하는 단계; 및forming a first gate structure around the first nanostructure; and

상기 제2 나노구조물 주위에 제2 게이트 구조물을 형성하는 단계forming a second gate structure around the second nanostructure;

를 더 포함하고, 상기 제2 게이트 구조물은 상기 제1 게이트 구조물에 접속되는 것인, 방법.further comprising, wherein the second gate structure is connected to the first gate structure.

4. 제2항에 있어서,4. 3. The method of claim 2,

상기 제1 나노구조물, 상기 제2 나노구조물 및 상기 유전체 벽은 제1 방향으로 평행한 종축을 갖고, 상기 유전체 벽은 제2 방향으로 상기 제1 소스/드레인 영역과 상기 제2 소스/드레인 영역 사이에 배치되며, 상기 제1 방향은 상기 제2 방향에 수직인 것인, 방법.wherein the first nanostructures, the second nanostructures and the dielectric wall have longitudinal axes parallel to a first direction, and wherein the dielectric wall is between the first source/drain region and the second source/drain region in a second direction. and wherein the first direction is perpendicular to the second direction.

5. 제1항에 있어서, 상기 전력 레일 콘택을 형성하는 단계는,5. The method of claim 1 , wherein forming the power rail contact comprises:

상기 포크시트 구조물 상에 그리고 이에 인접하여 전도성층을 퇴적하는 단계; 및depositing a conductive layer on and adjacent the forksheet structure; and

상기 포크시트 구조물 상의 상기 전도성층의 부분을 제거하는 단계removing a portion of the conductive layer on the fork seat structure;

를 포함하며, 상기 전력 레일 콘택은 상기 포크시트 구조물에 인접하게 남아있는 상기 전도성층의 부분을 포함하는 것인, 방법.wherein the power rail contact comprises a portion of the conductive layer remaining adjacent the forksheet structure.

6. 제1항에 있어서, 상기 격리 영역을 형성하는 단계는,6. The method of claim 1 , wherein forming the isolation region comprises:

상기 포크시트 구조물 및 상기 전력 레일 콘택 상에 유전체층을 퇴적하는 단계; 및depositing a dielectric layer over the forksheet structure and the power rail contact; and

상기 포크시트 구조물 상의 상기 유전체층의 부분을 제거하는 단계removing a portion of the dielectric layer on the forksheet structure;

를 포함하고, 상기 격리 영역은 상기 전력 레일 콘택 상에 남아있는 상기 유전체층의 부분을 포함하는 것인, 방법.and wherein the isolation region comprises a portion of the dielectric layer remaining on the power rail contact.

7. 제1항에 있어서, 상기 포크시트 구조물을 형성하는 단계는,7. The method of claim 1, wherein the forming of the fork seat structure comprises:

상기 기판으로부터 연장되는 제1 핀 구조물 및 제2 핀 구조물을 형성하는 단계;forming a first fin structure and a second fin structure extending from the substrate;

상기 제1 핀 구조물과 상기 제2 핀 구조물 위에 그리고 이들 사이에 유전체층을 퇴적하는 단계; 및depositing a dielectric layer over and between the first fin structure and the second fin structure; and

상기 제1 핀 구조물과 상기 제2 핀 구조물 사이에 남아있는 상기 유전체층의 부분을 포함하는 유전체 벽을 형성하기 위해 상기 제1 핀 구조물 및 상기 제2 핀 구조물 위의 상기 유전체층의 부분을 제거하는 단계removing a portion of the dielectric layer over the first fin structure and the second fin structure to form a dielectric wall comprising a portion of the dielectric layer remaining between the first fin structure and the second fin structure;

를 포함하는 것인, 방법.A method comprising:

8. 제1항에 있어서,8. The method of claim 1,

상기 격리 영역 상에 유전체 핀을 형성하는 단계 - 상기 제1 소스/드레인 영역을 성장시킨 후 상기 제1 소스/드레인 영역은 상기 유전체 핀으로부터 분리됨 -; 및forming a dielectric fin on the isolation region, the first source/drain region being separated from the dielectric fin after growing the first source/drain region; and

상기 제1 소스/드레인 영역을 성장시킨 후, 상기 유전체 핀과 상기 제1 소스/드레인 영역 사이에 유전체층을 퇴적하는 단계 - 상기 ILD는 상기 유전체층 상에 퇴적됨 - after growing the first source/drain regions, depositing a dielectric layer between the dielectric fin and the first source/drain regions, wherein the ILD is deposited on the dielectric layer.

를 더 포함하는, 방법.A method further comprising:

9. 제8항에서, 상기 소스/드레인 콘택을 형성하는 단계는,9. The method of claim 8, wherein the forming of the source/drain contact comprises:

상기 ILD, 상기 유전체층 및 상기 격리 영역을 관통하는 개구를 에칭하는 단계 - 상기 ILD 내의 상기 개구의 부분은 상기 제1 소스/드레인 영역의 상단 표면을 노출시키고, 상기 유전체층 내의 상기 개구의 부분은 상기 제1 소스/드레인 영역의 측부 표면을 노출시키며, 상기 격리 영역 내의 상기 개구의 부분은 상기 전력 레일 콘택을 노출시킴 -etching an opening through the ILD, the dielectric layer and the isolation region, wherein a portion of the opening in the ILD exposes a top surface of the first source/drain region, and wherein a portion of the opening in the dielectric layer comprises the second 1 expose a side surface of a source/drain region, and a portion of the opening in the isolation region exposes the power rail contact;

상기 제1 소스/드레인 영역 상에 그리고 상기 개구 내에 금속-반도체 합금 영역 형성하는 단계 - 상기 제1 소스/드레인 영역의 상단 표면 상의 상기 금속-반도체 합금 영역의 부분은 제1 두께를 가지고, 상기 제1 소스/드레인 영역의 측부 표면 상의 상기 금속-반도체 합금 영역의 부분은 제2 두께를 가지며, 상기 제1 두께는 제2 두께 이상임 -; 및forming a metal-semiconductor alloy region on the first source/drain region and within the opening, wherein a portion of the metal-semiconductor alloy region on a top surface of the first source/drain region has a first thickness; 1 the portion of the metal-semiconductor alloy region on the side surface of the source/drain regions has a second thickness, the first thickness being at least a second thickness; and

상기 금속-반도체 합금 영역 및 상기 개구에 의해 노출되는 상기 전력 레일 콘택의 부분 상에 상기 소스/드레인 콘택을 형성하는 단계forming the source/drain contacts on the metal-semiconductor alloy region and the portion of the power rail contact exposed by the opening;

를 포함하는 것인, 방법.A method comprising:

10. 디바이스에 있어서,10. In the device,

전력 레일 콘택;power rail contacts;

상기 전력 레일 콘택 상의 격리 영역;an isolation region on the power rail contact;

상기 격리 영역 상의 제1 유전체 핀;a first dielectric fin on the isolation region;

상기 격리 영역 및 상기 전력 레일 콘택에 인접한 제2 유전체 핀;a second dielectric fin adjacent the isolation region and the power rail contact;

상기 제2 유전체 핀 상의 제1 소스/드레인 영역; 및a first source/drain region on the second dielectric fin; and

상기 제1 소스/드레인 영역과 상기 제1 유전체 핀 사이의 소스/드레인 콘택으로서, 상기 제1 소스/드레인 영역의 상단 표면, 상기 제1 소스/드레인 영역의 측부 표면, 및 상기 전력 레일 콘택의 상단 표면과 접촉하는 상기 소스/드레인 콘택a source/drain contact between the first source/drain region and the first dielectric fin, a top surface of the first source/drain region, a side surface of the first source/drain region, and a top of the power rail contact the source/drain contact in contact with the surface

을 포함하는, 디바이스.A device comprising a.

11. 제10항에 있어서,11. 11. The method of claim 10,

제1 유전체 핀과 상기 격리 영역 및 상기 전력 레일 콘택 각각 사이에 배치된 라이너층을 더 포함하는, 디바이스.and a liner layer disposed between a first dielectric fin and each of the isolation region and the power rail contact.

12. 제10항에 있어서,12. 11. The method of claim 10,

상기 소스/드레인 콘택과 상기 제1 소스/드레인 영역 사이의 금속-반도체 합금 영역을 더 포함하고, 상기 제1 소스/드레인 영역의 상단 표면 상의 상기 금속-반도체 합금 영역의 부분은 제1 두께를 가지고, 상기 제1 소스/드레인 영역의 측부 표면 상의 상기 금속-반도체 합금 영역의 부분은 제2 두께를 가지며, 상기 제1 두께는 상기 제2 두께 이상인 것인, 디바이스.a metal-semiconductor alloy region between the source/drain contact and the first source/drain region, wherein a portion of the metal-semiconductor alloy region on a top surface of the first source/drain region has a first thickness , wherein the portion of the metal-semiconductor alloy region on the side surface of the first source/drain region has a second thickness, the first thickness being at least the second thickness.

13. 제12항에 있어서,13. 13. The method of claim 12,

상기 제1 두께 및 상기 제2 두께는 2.5nm 내지 7.5nm의 범위 내인 것인, 디바이스.wherein the first thickness and the second thickness are in the range of 2.5 nm to 7.5 nm.

14. 제10항에 있어서,14. 11. The method of claim 10,

상기 전력 레일 콘택 및 상기 제2 유전체 핀의 후면 표면은 공면(coplanar)인 것인, 디바이스.and the back surface of the power rail contact and the second dielectric fin are coplanar.

15. 제14항에 있어서,15. 15. The method of claim 14,

상기 전력 레일 콘택 및 상기 제1 유전체 핀의 상기 후면 표면 상의 제2 유전체층; 및a second dielectric layer on the back surface of the power rail contact and the first dielectric fin; and

상기 제2 유전체층 내의 전력 레일 라인으로서, 상기 전력 레일 콘택에 접속되는 상기 전력 레일 라인a power rail line in the second dielectric layer, the power rail line connected to the power rail contact

을 더 포함하는, 디바이스.A device further comprising a.

16. 제14항에 있어서,16. 15. The method of claim 14,

상기 전력 레일 콘택의 표면에는 금속-반도체 합금 영역이 없는 것인, 디바이스.wherein the surface of the power rail contact is free of metal-semiconductor alloy regions.

17. 제10항에 있어서,17. 11. The method of claim 10,

상기 제1 유전체 핀과 상기 제1 소스/드레인 영역 사이에 측방향으로 배치된 유전체층 - 상기 소스/드레인 콘택은 상기 유전체층을 관통해 연장됨 -; 및a dielectric layer laterally disposed between the first dielectric fin and the first source/drain regions, the source/drain contacts extending therethrough; and

상기 유전체층, 제1 유전체 핀, 및 상기 제2 유전체 핀 상의 층간 유전체(interlayer dielectric; ILD) - 상기 소스/드레인 콘택은 상기 ILD를 관통해 연장됨 -an interlayer dielectric (ILD) on the dielectric layer, the first dielectric fin, and the second dielectric fin, the source/drain contacts extending through the ILD;

를 더 포함하는, 디바이스.Further comprising, the device.

18. 디바이스에 있어서,18. In the device,

금속화 패턴(metallization patterns)을 포함하는 제1 상호접속 구조물;a first interconnect structure comprising metallization patterns;

전력 레일 라인을 포함하는 제2 상호접속 구조물; a second interconnect structure comprising a power rail line;

상기 제1 상호접속 구조물과 상기 제2 상호접속 구조물 사이의 디바이스 층a device layer between the first interconnect structure and the second interconnect structure

을 포함하고, 상기 디바이스 층은,comprising, the device layer comprising:

소스/드레인 영역을 포함하는 트랜지스터; a transistor comprising source/drain regions;

상기 전력 레일 라인에 접속된 전력 레일 콘택; 및 a power rail contact connected to the power rail line; and

상기 전력 레일 콘택, 상기 소스/드레인 영역, 및 상기 금속화 패턴에 접속된 소스/드레인 콘택 A source/drain contact connected to the power rail contact, the source/drain region, and the metallization pattern.

을 포함하는 것인, 디바이스.A device comprising a.

19. 제18항에 있어서, 상기 디바이스 층은,19. 19. The method of claim 18, wherein the device layer comprises:

상기 트랜지스터를 상기 디바이스 층의 다른 트랜지스터로부터 격리하는 격리 영역을 더 포함하고, 상기 전력 레일 콘택은 상기 격리 영역에 매립되는 것인, 디바이스.and an isolation region isolating the transistor from other transistors in the device layer, wherein the power rail contact is buried in the isolation region.

20. 제18항에 있어서,20. 19. The method of claim 18,

상기 소스/드레인 영역은 패싯된(faceted) 상단 표면 및 패싯된 측부 표면을 가지며, 상기 소스/드레인 콘택은 상기 패싯된 상단 표면 및 상기 패싯된 측부 표면을 따라 연장되는 것인, 디바이스.wherein the source/drain region has a faceted top surface and a faceted side surface, and wherein the source/drain contacts extend along the faceted top surface and the faceted side surface.

Claims (10)

방법에 있어서,
기판 위에 포크시트 구조물(forksheet structure)을 형성하는 단계;
상기 포크시트 구조물에 인접한 전력 레일 콘택을 형성하는 단계;
상기 전력 레일 콘택 상에 격리 영역을 형성하는 단계 - 상기 포크시트 구조물은 상기 격리 영역으로부터 돌출함 -;
상기 포크시트 구조물에서 제1 소스/드레인 영역을 성장시키는 단계;
상기 제1 소스/드레인 영역 상에 층간 유전체(interlayer dielectric; ILD)를 퇴적하는 단계; 및
상기 ILD 및 상기 격리 영역을 관통해 소스/드레인 콘택을 형성하는 단계
를 포함하고, 상기 소스/드레인 콘택은 상기 제1 소스/드레인 영역 및 상기 전력 레일 콘택에 접속되는 것인, 방법.
In the method,
forming a forksheet structure on the substrate;
forming power rail contacts adjacent the fork seat structure;
forming an isolation region over the power rail contact, wherein the forksheet structure protrudes from the isolation region;
growing a first source/drain region in the forksheet structure;
depositing an interlayer dielectric (ILD) over the first source/drain regions; and
forming source/drain contacts through the ILD and the isolation region;
wherein the source/drain contact is connected to the first source/drain region and the power rail contact.
제1항에 있어서,
상기 포크시트 구조물은 제1 나노구조물, 제2 나노구조물, 및 상기 제1 나노구조물과 상기 제2 나노구조물 사이의 유전체 벽을 포함하고, 상기 제1 소스/드레인 영역은 제1 나노구조물에 인접하며, 상기 방법은,
상기 포크시트 구조물에서 제2 소스/드레인 영역을 성장시키는 단계를 더 포함하고, 상기 제2 소스/드레인 영역은 상기 제2 나노구조물에 인접하며, 상기 유전체 벽은 상기 제1 소스/드레인 영역과 상기 제2 소스/드레인 영역 사이에 배치되는 것인, 방법.
According to claim 1,
wherein the forksheet structure includes first nanostructures, second nanostructures, and a dielectric wall between the first nanostructures and the second nanostructures, the first source/drain regions adjacent the first nanostructures; , the method is
growing a second source/drain region in the forksheet structure, wherein the second source/drain region is adjacent the second nanostructure, and the dielectric wall comprises the first source/drain region and the disposed between the second source/drain regions.
제2항에 있어서,
상기 제1 나노구조물 주위에 제1 게이트 구조물을 형성하는 단계; 및
상기 제2 나노구조물 주위에 제2 게이트 구조물을 형성하는 단계
를 더 포함하고, 상기 제2 게이트 구조물은 상기 제1 게이트 구조물에 접속되는 것인, 방법.
3. The method of claim 2,
forming a first gate structure around the first nanostructure; and
forming a second gate structure around the second nanostructure;
further comprising, wherein the second gate structure is connected to the first gate structure.
제2항에 있어서,
상기 제1 나노구조물, 상기 제2 나노구조물 및 상기 유전체 벽은 제1 방향으로 평행한 종축을 갖고, 상기 유전체 벽은 제2 방향으로 상기 제1 소스/드레인 영역과 상기 제2 소스/드레인 영역 사이에 배치되며, 상기 제1 방향은 상기 제2 방향에 수직인 것인, 방법.
3. The method of claim 2,
wherein the first nanostructures, the second nanostructures and the dielectric wall have longitudinal axes parallel to a first direction, and wherein the dielectric wall is between the first source/drain region and the second source/drain region in a second direction. and wherein the first direction is perpendicular to the second direction.
제1항에 있어서, 상기 전력 레일 콘택을 형성하는 단계는,
상기 포크시트 구조물 상에 그리고 이에 인접하여 전도성층을 퇴적하는 단계; 및
상기 포크시트 구조물 상의 상기 전도성층의 부분을 제거하는 단계
를 포함하며, 상기 전력 레일 콘택은 상기 포크시트 구조물에 인접하게 남아있는 상기 전도성층의 부분을 포함하는 것인, 방법.
The method of claim 1 , wherein forming the power rail contact comprises:
depositing a conductive layer on and adjacent the forksheet structure; and
removing a portion of the conductive layer on the fork seat structure;
wherein the power rail contact comprises a portion of the conductive layer remaining adjacent the forksheet structure.
제1항에 있어서, 상기 격리 영역을 형성하는 단계는,
상기 포크시트 구조물 및 상기 전력 레일 콘택 상에 유전체층을 퇴적하는 단계; 및
상기 포크시트 구조물 상의 상기 유전체층의 부분을 제거하는 단계
를 포함하고, 상기 격리 영역은 상기 전력 레일 콘택 상에 남아있는 상기 유전체층의 부분을 포함하는 것인, 방법.
The method of claim 1 , wherein forming the isolation region comprises:
depositing a dielectric layer over the forksheet structure and the power rail contact; and
removing a portion of the dielectric layer on the forksheet structure;
and wherein the isolation region comprises a portion of the dielectric layer remaining on the power rail contact.
제1항에 있어서, 상기 포크시트 구조물을 형성하는 단계는,
상기 기판으로부터 연장되는 제1 핀 구조물 및 제2 핀 구조물을 형성하는 단계;
상기 제1 핀 구조물과 상기 제2 핀 구조물 위에 그리고 이들 사이에 유전체층을 퇴적하는 단계; 및
상기 제1 핀 구조물과 상기 제2 핀 구조물 사이에 남아있는 상기 유전체층의 부분을 포함하는 유전체 벽을 형성하기 위해 상기 제1 핀 구조물 및 상기 제2 핀 구조물 위의 상기 유전체층의 부분을 제거하는 단계
를 포함하는 것인, 방법.
The method of claim 1, wherein the forming of the fork seat structure comprises:
forming a first fin structure and a second fin structure extending from the substrate;
depositing a dielectric layer over and between the first and second fin structures; and
removing a portion of the dielectric layer over the first fin structure and the second fin structure to form a dielectric wall comprising a portion of the dielectric layer remaining between the first fin structure and the second fin structure;
A method comprising:
제1항에 있어서,
상기 격리 영역 상에 유전체 핀을 형성하는 단계 - 상기 제1 소스/드레인 영역을 성장시킨 후 상기 제1 소스/드레인 영역은 상기 유전체 핀으로부터 분리됨 -; 및
상기 제1 소스/드레인 영역을 성장시킨 후, 상기 유전체 핀과 상기 제1 소스/드레인 영역 사이에 유전체층을 퇴적하는 단계 - 상기 ILD는 상기 유전체층 상에 퇴적됨 -
를 더 포함하는, 방법.
According to claim 1,
forming a dielectric fin on the isolation region, the first source/drain region being separated from the dielectric fin after growing the first source/drain region; and
after growing the first source/drain regions, depositing a dielectric layer between the dielectric fin and the first source/drain regions, wherein the ILD is deposited on the dielectric layer.
A method further comprising:
디바이스에 있어서,
전력 레일 콘택;
상기 전력 레일 콘택 상의 격리 영역;
상기 격리 영역 상의 제1 유전체 핀;
상기 격리 영역 및 상기 전력 레일 콘택에 인접한 제2 유전체 핀;
상기 제2 유전체 핀 상의 제1 소스/드레인 영역; 및
상기 제1 소스/드레인 영역과 상기 제1 유전체 핀 사이의 소스/드레인 콘택으로서, 상기 제1 소스/드레인 영역의 상단 표면, 상기 제1 소스/드레인 영역의 측부 표면, 및 상기 전력 레일 콘택의 상단 표면과 접촉하는 상기 소스/드레인 콘택
을 포함하는, 디바이스.
In the device,
power rail contacts;
an isolation region on the power rail contact;
a first dielectric fin on the isolation region;
a second dielectric fin adjacent the isolation region and the power rail contact;
a first source/drain region on the second dielectric fin; and
a source/drain contact between the first source/drain region and the first dielectric fin, a top surface of the first source/drain region, a side surface of the first source/drain region, and a top of the power rail contact the source/drain contact in contact with the surface
A device comprising a.
디바이스에 있어서,
금속화 패턴(metallization patterns)을 포함하는 제1 상호접속 구조물;
전력 레일 라인을 포함하는 제2 상호접속 구조물;
상기 제1 상호접속 구조물과 상기 제2 상호접속 구조물 사이의 디바이스 층
을 포함하고, 상기 디바이스 층은,
소스/드레인 영역을 포함하는 트랜지스터;
상기 전력 레일 라인에 접속된 전력 레일 콘택; 및
상기 전력 레일 콘택, 상기 소스/드레인 영역, 및 상기 금속화 패턴에 접속된 소스/드레인 콘택
을 포함하는 것인, 디바이스.
In the device,
a first interconnect structure comprising metallization patterns;
a second interconnect structure comprising a power rail line;
a device layer between the first interconnect structure and the second interconnect structure
comprising, the device layer comprising:
a transistor comprising source/drain regions;
a power rail contact connected to the power rail line; and
a source/drain contact connected to the power rail contact, the source/drain region, and the metallization pattern
A device comprising a.
KR1020210020607A 2020-05-27 2021-02-16 Semiconductor device and method KR102515314B1 (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202063030544P 2020-05-27 2020-05-27
US63/030,544 2020-05-27
US17/127,095 US11532703B2 (en) 2020-05-27 2020-12-18 Semiconductor device and method
US17/127,095 2020-12-18

Publications (2)

Publication Number Publication Date
KR20210147861A true KR20210147861A (en) 2021-12-07
KR102515314B1 KR102515314B1 (en) 2023-03-29

Family

ID=77527712

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020210020607A KR102515314B1 (en) 2020-05-27 2021-02-16 Semiconductor device and method

Country Status (4)

Country Link
KR (1) KR102515314B1 (en)
CN (1) CN113363215A (en)
DE (1) DE102020134570A1 (en)
TW (1) TWI782473B (en)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20230268403A1 (en) * 2022-02-22 2023-08-24 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device having front side and back side source/drain contacts
EP4391040A1 (en) * 2022-12-19 2024-06-26 IMEC vzw An integrated circuit device and a method for forming the same

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20190015269A (en) * 2016-07-01 2019-02-13 인텔 코포레이션 Rear contact resistance reduction for semiconductor devices with metallization on both sides
US20190096887A1 (en) * 2017-09-26 2019-03-28 Taiwan Semiconductor Manufacturing Co., Ltd. Epitaxial source and drain structures for high voltage devices
KR20190089386A (en) * 2018-01-22 2019-07-31 삼성전자주식회사 Semiconductor device including insulating layers and method of manufacturing the same
KR20200025538A (en) * 2018-08-30 2020-03-10 삼성전자주식회사 Semiconductor devices

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10170554B2 (en) * 2014-12-26 2019-01-01 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device and manufacturing method thereof
US9755030B2 (en) * 2015-12-17 2017-09-05 International Business Machines Corporation Method for reduced source and drain contact to gate stack capacitance
US9911697B2 (en) * 2016-05-02 2018-03-06 Taiwan Semiconductor Manufacturing Co., Ltd. Power strap structure for high performance and low current density
US10290548B2 (en) * 2017-08-31 2019-05-14 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure with semiconductor wire
US10833078B2 (en) * 2017-12-04 2020-11-10 Tokyo Electron Limited Semiconductor apparatus having stacked gates and method of manufacture thereof
US10418449B2 (en) * 2018-01-10 2019-09-17 Globalfoundries Inc. Circuits based on complementary field-effect transistors
US10461186B1 (en) * 2018-05-31 2019-10-29 Globalfoundries Inc. Methods of forming vertical field effect transistors with self-aligned contacts and the resulting structures
US10861750B2 (en) * 2018-07-02 2020-12-08 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
KR102647231B1 (en) * 2018-08-02 2024-03-13 삼성전자주식회사 Semiconductor device and method of manufacturing the same
US10672665B2 (en) * 2018-09-28 2020-06-02 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor device structure and method for forming the same
US10998238B2 (en) * 2018-10-31 2021-05-04 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuits with buried interconnect conductors
US11063041B2 (en) * 2018-10-31 2021-07-13 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit device including a power supply line and method of forming the same

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20190015269A (en) * 2016-07-01 2019-02-13 인텔 코포레이션 Rear contact resistance reduction for semiconductor devices with metallization on both sides
US20190096887A1 (en) * 2017-09-26 2019-03-28 Taiwan Semiconductor Manufacturing Co., Ltd. Epitaxial source and drain structures for high voltage devices
KR20190089386A (en) * 2018-01-22 2019-07-31 삼성전자주식회사 Semiconductor device including insulating layers and method of manufacturing the same
KR20200025538A (en) * 2018-08-30 2020-03-10 삼성전자주식회사 Semiconductor devices

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
2017 IEEE INTERNATIONAL ELECTRON DEVICES MEETING (IEDM) *

Also Published As

Publication number Publication date
DE102020134570A1 (en) 2021-12-02
TW202145365A (en) 2021-12-01
KR102515314B1 (en) 2023-03-29
TWI782473B (en) 2022-11-01
CN113363215A (en) 2021-09-07

Similar Documents

Publication Publication Date Title
US11810917B2 (en) Self-aligned etch in semiconductor devices
US11450600B2 (en) Semiconductor devices including decoupling capacitors
US11664374B2 (en) Backside interconnect structures for semiconductor devices and methods of forming the same
KR102568602B1 (en) Semiconductor device and method
US11251308B2 (en) Semiconductor device and method
TWI775278B (en) Semiconductor device and method for fabricating the same
US20220367454A1 (en) Backside Interconnect Structures for Semiconductor Devices and Methods of Forming the Same
US20230386993A1 (en) Semiconductor Devices Including Decoupling Capacitors
KR102515314B1 (en) Semiconductor device and method
US20240194559A1 (en) Thermal dissipation in semiconductor devices
US11532703B2 (en) Semiconductor device and method
KR102437248B1 (en) Semiconductor device and method
US20240096805A1 (en) Semiconductor devices with backside routing and method of forming same
CN115084019A (en) Back side source/drain contact and method of forming the same
US11355410B2 (en) Thermal dissipation in semiconductor devices
US20230013764A1 (en) Semiconductor Devices Including Backside Capacitors and Methods of Manufacture
US11862561B2 (en) Semiconductor devices with backside routing and method of forming same
US20220310785A1 (en) Semiconductor Devices Including Backside Power Rails and Methods of Manufacture
US20230387012A1 (en) Semiconductor Devices Including Backside Power Via and Methods of Forming the Same
US20240072052A1 (en) Dielectric Walls for Complementary Field Effect Transistors
TW202414552A (en) Semiconductor device and method of manufacturing thereof

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant