KR20210089243A - 제조 프로세스를 제어하기 위한 방법 및 관련 장치 - Google Patents

제조 프로세스를 제어하기 위한 방법 및 관련 장치 Download PDF

Info

Publication number
KR20210089243A
KR20210089243A KR1020217018442A KR20217018442A KR20210089243A KR 20210089243 A KR20210089243 A KR 20210089243A KR 1020217018442 A KR1020217018442 A KR 1020217018442A KR 20217018442 A KR20217018442 A KR 20217018442A KR 20210089243 A KR20210089243 A KR 20210089243A
Authority
KR
South Korea
Prior art keywords
data
metrology data
exposure
training
processing
Prior art date
Application number
KR1020217018442A
Other languages
English (en)
Other versions
KR102612908B1 (ko
Inventor
니콜라스 페트루스 마르쿠스 브란티어스
마티스 콕스
보리스 멘치트치코브
사이러스 에밀 타베리
유핑 장
이 저우
천시 린
야나 청
사이먼 필립 스펜서 헤이스팅스
막심 필립 프레데릭 게닌
Original Assignee
에이에스엠엘 네델란즈 비.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠엘 네델란즈 비.브이. filed Critical 에이에스엠엘 네델란즈 비.브이.
Publication of KR20210089243A publication Critical patent/KR20210089243A/ko
Application granted granted Critical
Publication of KR102612908B1 publication Critical patent/KR102612908B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70633Overlay, i.e. relative alignment between patterns printed by separate exposures in different layers, or in the same layer in multiple exposures or stitching
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F9/00Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
    • G03F9/70Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically for microlithography
    • G03F9/7003Alignment type or strategy, e.g. leveling, global alignment
    • G03F9/7046Strategy, e.g. mark, sensor or wavelength selection

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Engineering & Computer Science (AREA)
  • Artificial Intelligence (AREA)
  • Evolutionary Computation (AREA)
  • Health & Medical Sciences (AREA)
  • Computer Vision & Pattern Recognition (AREA)
  • Medical Informatics (AREA)
  • Software Systems (AREA)
  • Automation & Control Theory (AREA)

Abstract

개시된 반도체 제조 프로세스의 성능 메트릭에 관련된 정정을 결정하기 위한 방법으로서, 처리전 계측 데이터의 제 1 세트를 획득하는 단계; 처리전 계측 데이터를, a) 성능 메트릭에 상관되거나, b) 상기 반도체 제조 프로세스의 일부인 제어 프로세스에 의해 적어도 부분적으로 정정가능한 하나 이상의 컴포넌트로 분해하는 것에 의하여, 처리전 계측 데이터의 제 1 세트를 처리하는 단계; 및 상기 반도체 제조 프로세스에 대한 정정을 결정하도록, 트레이닝된 모델을 처리전 계측 데이터의 처리된 제 1 세트에 적용하는 단계를 포함하는, 정정 결정 방법이 개시된다.

Description

제조 프로세스를 제어하기 위한 방법 및 관련 장치
관련 출원에 대한 상호 참조
본 출원은 2018 년 12 월 19 일에 출원된 EP 출원 번호 제 18214013.7 및 2019 년 2 월 8 일에 출원된 미국 출원 번호 제 62/802,866의 우선권을 주장하고, 이들은 그 전체 내용이 원용되어 본원에 통합된다.
본 발명은, 예를 들어 반도체 디바이스를 생산하기 위한 기판의 처리에 관한 것이다.
리소그래피 장치는 원하는 패턴을 기판에 적용하도록 구성된 기계이다. 리소그래피 장치는 예컨대 집적회로 IC의 제조 시에 사용될 수 있다. 리소그래피 장치는 예를 들어 패터닝 디바이스(예를 들어 마스크)에서의 패턴("디자인 레이아웃" 또는 "디자인"이라고도 불림)을 기판(예를 들어, 웨이퍼) 위에 제공된 방사선-감응 재료(레지스트)의 층에 투영시킬 수 있다.
기판에 패턴을 투영하기 위하여, 리소그래피 장치는 방사선을 사용할 수 있다. 이러한 방사선의 파장이 기판 상에 형성될 수 있는 피쳐의 최소 크기를 결정한다. 현재 사용되는 통상적인 파장은 약 365 nm(i-라인), 약 248 nm, 약 193 nm 및 약 13 nm이다. 4 - 20 nm의 범위, 예를 들어 6.7 nm 또는 13.5 nm에 속하는 파장을 가지는 극자외(EUV) 방사선을 사용하는 리소그래피 장치는, 예를 들어 약 193 nm의 파장을 가지는 전자기 방사선을 사용하는 리소그래피 장치보다 더 작은 피쳐를 기판 위에 형성하기 위해 사용될 수 있다.
리소그래피 장치의 전통적인 분해능 한계보다 작은 치수의 피쳐를 처리하기 위하여 저-k1 리소그래피가 사용될 수 있다. 이러한 프로세스에서, 분해능 공식은 CD = k1×λ/NA로 표현될 수 있는데, λ는 채용된 방사선의 파장이고, NA는 리소그래피 장치 내의 투영 광학기의 개구수이며, CD는 "임계 치수"(일반적으로 인쇄된 최소 피쳐 크기이지만 이러한 경우에는 하프-피치임)이고, k1은 경험적 분해능 인자이다. 일반적으로, k1이 더 작을수록 특정한 전기적 기능성과 성능을 얻기 위해서 회로 디자이너에 의하여 계획된 형상과 치수를 닮은 패턴을 기판 상에 재현하는 것은 더 어려워진다. 이러한 문제점을 해결하기 위하여, 복잡한 미세-튜닝 단계들이 리소그래피 투영 장치 및/또는 설계 레이아웃에 적용될 수 있다. 예를 들어, 이것은 개구수(NA), 맞춤화된 조명 방식, 페이즈 시프트 패터닝 디바이스의 사용, 설계 레이아웃에서의 광학 근접 보정(optical proximity correction; OPC)과 같은 설계 레이아웃의 최적화, 또는 일반적으로 "분해능 향상 기법(resolution enhancement techniques; RET)"이라고 규정되는 다른 방법을 포함하지만, 이들로 한정되는 것은 아니다. 추가적으로 또는 대안적으로, 리소그래피 장치의 안정성을 제어하기 위한 하나 이상의 정밀 제어 루프가 낮은 k1에서 패턴의 재현을 개선하기 위하여 사용될 수 있다.
리소그래피 장치의 제어의 유용성은 개별 기판의 특성에 따라 달라질 수 있다. 예를 들어, 리소그래피 장치(또는, 본 명세서에서는 제조 프로세스 단계라고 불리는 제조 프로세스의 임의의 다른 프로세스 단계)에 의해 처리되기 전에 제 1 처리 툴에 의해 처리되는 제 1 기판은, 리소그래피 장치에 의한 처리 이전에 제 2 처리 툴에 의해 처리된 제 2 기판과는 (다소) 상이한 제어 파라미터의 혜택을 볼 수 있다.
기판 상의 패턴의 정확한 배치는 회로 컴포넌트 및 리소그래피에 의해 생성될 수 있는 다른 산물의 크기를 줄이기 위한 주된 시험대이다. 특히, 이미 배치된 기판 상의 피쳐를 정확하게 측정하는 것은, 작동하는 디바이스를 높은 수율로 생산하기에 충분할 만큼 정확하게 피쳐들의 연속 층들을 중첩하여 정렬할 수 있기 위해서 중요한 단계이다. 일반적으로, 소위 오버레이는 오늘날의 서브-마이크론 반도체 디바이스에서 수십 나노미터 내에서, 가장 중요한 층에서는 수 나노미터까지 좁게 달성돼야 한다.
결과적으로, 현대의 리소그래피 장치는, 실제 노광 또는 타겟 위치에서 기판을 패터닝하는 단계 이전에 광범위한 측정 또는 '매핑' 동작을 수반한다. 소위 진보된 정렬 모델은, 처리 단계 및/또는 리소그래피 장치 자체에 의해 야기되는 웨이퍼 격자의 비선형 뒤틀림을 더 정확하게 모델링하고 정정하도록 발전되어 왔고 앞으로도 그럴 것이다. 노광 중에 모든 뒤틀림이 정정될 수 있는 것은 아니지만, 이러한 뒤틀림의 원인들을 가능한 많이 추적하고 제거하는 것은 여전히 중요하다.
웨이퍼 격자의 이러한 뒤틀림은 마크 위치와 연관된 측정 데이터에 의해 표현된다. 측정 데이터는 웨이퍼의 측정치로부터 얻어진다. 이러한 측정의 일 예는 노광 이전에 리소그래피 장치 내의 정렬 시스템을 사용하여 수행되는 정렬 마크의 정렬 측정이다. 이러한 측정의 다른 예는 노광 후에 계측 시스템을 사용하여 수행되는 오버레이 타겟의 오버레이 측정이다.
처리 콘텍스트와 프로세스의 대상이 되는 기판의 하나 이상의 특성(예컨대, 오버레이, CD, 에지 배치 오차(EPE) 등.)에 대한 관측된 영향사이의 인과관계를 인식하기 위하여 머신 러닝 기법이 활용될 수 있다. 그러면, 처리 후속 기판에서 오차를 예측하고 정정하기 위해서 이러한 패턴이 사용될 수 있다. 이러한 시스템의 일부 예들이 PCT 특허 출원 공개 번호 제 WO 2017/060080에서 기술되고, 이것은 본 명세서에서 원용에 의해 통합된다.
본 발명의 제 1 양태에서, 개시된 반도체 제조 프로세스의 성능 메트릭에 관련된 정정을 결정하기 위한 방법으로서, 처리전 계측 데이터의 제 1 세트를 획득하는 단계; 처리전 계측 데이터를, a) 성능 메트릭에 상관되거나, b) 상기 반도체 제조 프로세스의 일부인 제어 프로세스에 의해 적어도 부분적으로 정정가능한 하나 이상의 컴포넌트로 분해하는 것에 의하여, 처리전 계측 데이터의 제 1 세트를 처리하는 단계; 및 상기 반도체 제조 프로세스에 대한 정정을 결정하도록, 트레이닝된 모델을 처리전 계측 데이터의 처리된 제 1 세트에 적용하는 단계를 포함하는, 정정 결정 방법이 제공된다.
본 발명의 제 2 양태에서, 리소그래피 프로세스의 성능 메트릭에 관련된 성능 메트릭 정정을 결정하기 위한 적어도 하나의 트레이닝된 모델을 획득하는 방법으로서, 조밀한 노광전 계측 데이터를 포함하는 노광전 계측 데이터의 제 1 트레이닝 세트를 포함하는 트레이닝 데이터를 획득하고, 상기 성능 메트릭에 관련된 처리후 계측 데이터의 대응하는 트레이닝 세트를 획득하는 단계; 상기 성능 메트릭에 관련되는 노광전 계측 데이터의 하나 이상의 컴포넌트를 포함하는, 처리된 노광전 계측 데이터를 획득하도록 상기 트레이닝 데이터를 처리하는 단계; 및 상기 모델을 처리된 트레이닝 데이터로 트레이닝하는 단계를 포함하는, 방법이 제공된다.
본 발명의 추가적인 양태에서, 적절한 장치에서 실행될 때 제 1 및/또는 제 2 양태의 방법을 수행하도록 동작가능한 프로그램 명령을 포함하는 컴퓨터 프로그램이 제공된다.
본 발명의 실시예는 첨부된 개략적인 도면을 참조하여 이제 예시하는 방식으로만 설명될 것이다:
도 1은 리소그래피 장치의 개략적인 개요를 도시한다;
도 2는 리소그래피 셀의 개략적인 개요를 도시한다;
도 3은 도 1 및 도 2의 리소그래피 장치 및 리소그래피 셀을, 예를 들어 반도체 디바이스용 제조 설비를 형성하는 하나 이상의 다른 장치와 함께 사용하는 것을 개략적으로 보여주고, 이러한 설비는 본 발명의 일 실시형태에 따른 제어 전략을 구현한다; 그리고
도 4는 모델을 교정하고, 상기 모델을 프로세스 제어에서 사용하기 위한, 본 발명의 일 실시형태에 따른 방법의 흐름도이다.
도 1은 리소그래피 장치(LA)를 개략적으로 묘사한다. 리소그래피 장치(LA)는 방사선 빔(B)(예를 들어, UV 방사선 또는 DUV 방사선 또는 EUV 방사선)을 조절하도록 구성되는 조명 시스템(조명기(IL)라고도 불림), 패터닝 디바이스(예를 들어, 마스크)(MA)를 지지하도록 구성되고 특정 파라미터에 따라서 패터닝 디바이스(MA)를 정확하게 위치설정하도록 구성되는 제 1 위치설정기(PM)에 연결되는 지지대(예를 들어, 마스크 테이블)(T), 기판(예를 들어, 레지스트-코팅된 웨이퍼)(W)을 홀딩하도록 구성되고 특정 파라미터에 따라서 기판 지지대를 정확하게 위치설정하도록 구성되는 제 2 위치설정기(PW)에 연결되는 하나 이상의 기판 지지대(예를 들어, 웨이퍼 테이블)(WTa 및 WTb), 및 패터닝 디바이스(MA)에 의하여 방사선 빔(B)에 부여된 패턴을 기판(W)의 타겟부(C)(예를 들어, 하나 이상의 다이를 포함) 상에 투영하도록 구성되는 투영 시스템(예를 들어, 굴절형 투영 렌즈 시스템)(PS)을 포함한다.
동작 시에, 조명 시스템(IL)은 방사선 빔을 빔 전달 시스템(BD)을 통해 방사선 소스(SO)로부터 수광한다. 조명 시스템(IL)은 방사선을 지향시키고, 성형(shaping)하며, 또는 제어하기 위한 다양한 유형의 광 컴포넌트, 예컨대 굴절식, 반사, 자기적, 전자기, 정전기 및/또는 다른 유형의 광 컴포넌트, 및/또는 이들의 임의의 조합을 포함할 수도 있다. 조명기(IL)는 방사선 빔(B)이 패터닝 디바이스(MA)의 평면 상에 그 단면에서 원하는 공간 및 각도 세기 분포를 가지도록 조정하기 위하여 사용될 수도 있다.
본 명세서에서 사용되는 "투영 시스템(PS)"이라는 용어는, 이용되고 있는 노광 방사선(exposure radiation)에 대해 적합하거나 또는 침지액(immersion liquid)의 사용 또는 진공의 사용과 같은 다른 요인들에 대해 적합한, 굴절식, 반사식, 반사 굴절식(catadioptric), 애너모픽(anamorphic), 자기식, 전자기식, 및/또는 정전식 광학 시스템, 및/또는 이들의 임의의 조합을 포함하는 다양한 타입의 투영 시스템도 포함하는 것으로 넓게 해석되어야 한다. 본 명세서에서 "투영 렌즈"라는 용어의 모든 사용은 더 일반적인 용어인 "투영 시스템(PS)"과 같은 의미인 것으로 간주될 수도 있다.
리소그래피 장치(LA)는, 투영 시스템(PS)과 기판(W) 사이의 공간을 채우기 위해 기판의 적어도 일부분이 상대적으로 높은 굴절률을 가진 액체, 예컨대 물에 의해 커버될 수 있는 유형일 수 있으며 이것은 침지 리소그래피라고도 불린다. 침지 기법에 대한 더 많은 정보가 본 명세서에서 원용에 의해 통합되는 미국 특허 번호 제 6,952,253에 제공된다.
이러한 예에서 리소그래피 장치(LA)는 두 개의 기판 테이블(WTa 및 WTb)과 그들 사이에서 기판 테이블이 이동될 수 있는 두 개의 스테이션 - 노광 스테이션 및 측정 스테이션 - 을 가지는, 소위 듀얼 스테이지 타입이다. 하나의 기판 테이블에 있는 하나의 기판이 노광 스테이션(EXP)에서 노광되는 동안, 다른 기판이 다른 기판 테이블 상에서 측정 스테이션(MEA) 또는 다른 위치(미도시)에 로딩될 수 있거나, 측정 스테이션(MEA)에서 처리될 수 있다. 기판이 있는 기판 테이블은 다양한 예비 단계들이 수행될 수 있도록 측정 스테이션(MEA)에 위치될 수 있다. 준비 단계는 레벨 센서(LS)를 사용하여 기판의 표면 높이를 매핑하는 것 및/또는 정렬 센서(AS)를 사용하여 기판 상의 정렬 마크의 위치를 측정하는 것을 포함할 수도 있다. 공칭적으로, 정렬 마크는 규칙적인 격자 패턴으로 배치된다. 그러나, 마크를 생성할 때 생기는 부정확성과 처리되는 동안 발생하는 기판의 변형 때문에, 정렬 마크들은 이상적인 격자로부터 벗어날 수 있다. 결과적으로, 장치(LA)가 제품 피쳐를 높은 정확도로 올바른 위치에 인쇄하려면, 기판의 위치 및 배향을 측정하는 것에 추가하여, 실무상 정렬 센서는 기판 면적에 걸쳐 많은 마크의 위치를 자세하게 측정할 수 있다. 그러므로, 정렬 마크를 측정하는 것은 시간이 많이 걸리는 작업일 수 있고, 두 개의 기판 테이블을 제공하면 장치의 쓰루풋이 크게 증가하게 될 수 있다. 기판 테이블이 측정 스테이션과 노광 스테이션에 있는 동안 기판 테이블의 위치를 위치 센서(IF)가 측정할 수 없다면, 이러한 스테이션 양자 모두에서의 기판 테이블의 위치를 측정할 수 있도록 제 2 위치 센서가 제공될 수 있다. 본 발명의 실시예는 하나의 기판 테이블만을 가지거나 세 개 이상의 기판 테이블을 가지는 장치에 적용될 수 있다.
하나 이상의 기판 지지대를 가지는 것에 추가하여, 리소그래피 장치(LA)는 측정 스테이지(미도시)를 포함할 수 있다. 측정 스테이지는 센서 및/또는 세정 디바이스를 홀딩하도록 구성된다. 센서는 투영 시스템(PS)의 속성 또는 방사선 빔(B)의 속성을 측정하도록 구성될 수 있다. 측정 스테이지는 다수의 센서를 홀딩할 수 있다. 세정 디바이스는 리소그래피 장치의 부분, 예를 들어 투영 시스템(PS)의 부분 또는 침지액을 제공하는 시스템의 부분을 세정하도록 구성될 수 있다. 측정 스테이지는, 기판 지지대(WT)가 투영 시스템(PS)으로부터 멀어질 때 투영 시스템(PS) 아래에서 이동할 수 있다.
방사선 빔(B)은 지지 구조체(예를 들어, 마스크 테이블(MT) 상에 홀딩되는 패터닝 디바이스(예를 들어, 마스크(MA) 상에 입사하고, 그리고 패터닝 디바이스에 의하여 패터닝된다. 패터닝 디바이스(MA)를 가로지르면, 방사선 빔(B)은 기판(W)의 타겟부(C) 상에 빔을 포커싱하는 투영 시스템(PS)을 통과한다. 제 2 위치설정기(PW) 및 위치 센서(IF)(예를 들어, 간섭측정 측정 디바이스, 선형 인코더, 또는 용량성 센서)의 도움을 받아, 예를 들어 방사선 빔(B)의 경로에 상이한 타겟부들(C)을 위치설정하기 위하여, 기판 테이블(WTa/WTb)이 정확하게 이동될 수 있다. 이와 유사하게, 제 1 위치설정기 및 다른 위치 센서(도 1에는 명확하게 묘사되지 않음)는, 예를 들어 마스크 라이브러리로부터의 기계적 탐색 이후에, 또는 스캔 동안에, 방사선 빔(B)의 경로에 대하여 패터닝 디바이스(MA)를 정확하게 위치설정하기 위하여 사용될 수 있다. 일반적으로, 지지 구조체(MT)의 이동은, 제 1 위치설정기(PM)의 일부를 형성하는 롱-스트로크 모듈(long-stroke module; 개략적 위치 설정) 및 숏-스트로크 모듈(short-stroke module; 미세 위치 설정)을 이용하여 실현될 수도 있다. 마찬가지로, 기판 테이블(WTa/WTb)의 이동은 제 2 위치설정기(PW)의 일부를 형성하는 롱-스트로크 모듈 및 숏-스트로크 모듈을 이용하여 실현될 수도 있다. 스테퍼의 경우(스캐너와 반대로) 지지 구조체(MT)는 숏-스트로크 액추에이터에만 연결될 수도 있고, 또는 고정될 수도 있다. 패터닝 장치(MA) 및 기판(W)은 패터닝 장치 정렬 마크(M1, M2) 및 기판 정렬 마크(P1, P2)를 이용하여 정렬될 수 있다. 비록 도시된 바와 같이 기판 정렬 마크들이 전용 타겟부를 점유하지만, 이들은 타겟부 사이의 공간(이들은 스크라이브 레인(scribe-lane) 정렬 마크로 알려짐)에 위치될 수도 있다. 마찬가지로, 마스크(MA)에 두 개 이상의 다이가 제공되는 경우, 마스크 정렬 마크들은 다이들 사이에 위치될 수도 있다.
이러한 장치는 리소그래피 장치의 다양한 액츄에이터 및 센서(설명되는 바와 같음)의 모든 이동 및 측정을 제어하는 리소그래피 장치 제어 유닛(LACU)을 더 포함한다. 제어 유닛(LACU)은 이러한 장치의 동작과 관련된 원하는 계산을 구현하는 신호 처리와 데이터 처리 능력을 더 포함한다. 실무상, 제어 유닛(LACU)은, 이러한 장치 내의 서브시스템 또는 컴포넌트의 실시간 데이터 획득, 처리 및 제어를 각각 처리하는 많은 서브유닛들의 시스템으로서 구현될 것이다. 예를 들어, 하나의 처리 서브시스템이 기판 위치설정기(PW)의 서보 제어를 전담할 수 있다. 분리 유닛은 개략적 액츄에이터와 미세 액츄에이터, 또는 상이한 축들을 다룰 수도 있다. 다른 유닛은 위치 센서(IF)의 판독을 전담할 수도 있다. 이러한 장치의 전체 제어는, 이러한 서브-시스템 처리 유닛, 오퍼레이터, 및 리소그래피 제조 프로세스에 수반되는 다른 장치들과 통신하는 중앙 처리 유닛에 의하여 제어될 수 있다.
도 2에 도시된 것처럼, 리소그래피 장치(LA)는 리소셀 또는 (리소)클러스터라고도 지칭되는 리소그래피 셀(LC)의 일부를 형성할 수 있고, 이는 또한 기판(W) 상에서 노광 전 그리고 노광 후 프로세스를 수행하기 위한 장치를 포함한다. 통상적으로, 이러한 장치는, 예를 들어 레지스트층 내의 솔벤트를 조절하기 위해서 예를 들어 기판(W)의 온도를 조절하기 위하여, 레지스트층을 증착하기 위한 하나 이상의 스핀 코터(spin coater; SC), 노광된 레지스트를 현상하기 위한 하나 이상의 현상기(DE), 하나 이상의 냉각 플레이트(chill plate; CH), 및 하나 이상의 베이크 플레이트(bake plate; BK)를 포함한다. 기판 핸들러 또는 로봇(RO)이 입력/출력 포트(I/O1, I/O2)로부터 기판(W)을 픽업하여, 이들을 상이한 처리 장치들 간에 이동시키며, 기판(W)을 리소그래피 장치(LA)의 로딩 베이(loading bay; LB)에 전달한다. 통칭하여 트랙으로도 지칭되는, 리소셀 내의 디바이스는 통상적으로 감독 제어 시스템(supervisory control system; SCS)에 의해 제어될 수 있는 트랙 제어 유닛(TCU)의 제어 하에 있게 되며, 감독 제어 시스템은 또한 리소그래피 제어 유닛(LACU)을 통해 리소그래피 장치(LA)를 제어할 수 있다.
리소그래피 장치(LA)에 의해 노광되는 기판이 정확하고 일정하게 노광되도록 하기 위해서는, 기판을 검사하여 후속 층들 사이의 오버레이 오차, 라인 두께, 임계 치수(CD) 등과 같은, 패터닝된 구조체의 속성을 측정하는 것이 바람직할 수 있다. 이러한 목적을 위해, 하나 이상의 검사 툴(미도시)이 리소셀(LC) 내에 포함될 수 있다. 오차가 검출되면, 특히 검사가 동일한 배치 또는 로트의 다른 기판(W)이 여전히 노광되거나 처리되어야 하기 전에 이루어진다면, 예를 들어 후속 기판의 노광에 또는 기판(W) 상에서 수행될 다른 프로세스 단계에 조절이 이루어질 수 있다.
계측 장치 또는 계측 툴이라고도 불릴 수 있는 검사 장치(MET)가, 기판(W)의 하나 이상의 속성, 및 구체적으로 상이한 기판(W)의 하나 이상의 속성이 또는 동일 기판(W)의 상이한 층과 연관된 하나 이상의 속성이 층에 따라 어떻게 변화하는지를 결정하기 위해 사용된다. 검사 장치는 기판(W) 상의 결점을 식별하도록 구성될 수 있고, 예를 들어 리소셀(LC)의 일부일 수 있으며, 또는 리소그래피 장치(LA)에 통합될 수 있고, 또는 심지어 독립형 디바이스일 수도 있다. 검사 장치는 잠상(노광 후의 레지스트 층 내의 이미지), 또는 반-잠상(노광후 베이크 단계 후의 레지스트 층 내의 이미지), 또는 현상된 레지스트 이미지(레지스트의 노광되거나 비노광된 부분이 제거되었음), 또는 심지어 에칭된 이미지(에칭과 같은 패턴 전송 단계 이후)의 하나 이상의 속성을 측정할 수 있다.
도 3은 예를 들어, 반도체 제품용 산업용 제조 설비의 콘텍스트에 있는 리소그래피 장치(LA) 및 리소셀(LC)을 도시한다. 리소그래피 장치(또는 간략히 "리소 툴(200)") 내에는 202에 측정 스테이션(MEA)이 도시되고, 204에 노광 스테이션(EXP)이 도시된다. 제어 유닛(LACU)은 206에 도시된다. 전술된 바와 같이, 리소 툴(200)은, 이러한 장치(200)에 의한 패터닝을 위해서 감광성 레지스트 및/또는 하나 이상의 다른 코팅을 기판(W)에 도포하도록 구성되는 코팅 장치(SC; 208)를 역시 포함하는 "리소 셀" 또는 "리소 클러스터"의 일부를 형성한다. 장치(200)의 출력측에는, 노광된 패턴을 물리적 레지스트 패턴으로 현상하기 위해서 베이킹 장치(BK; 210) 및 현상 장치(DE; 212)가 제공된다. 도 3에 도시되는 다른 컴포넌트는 명확화를 위하여 생략된다.
패턴이 적용되고 현상되면, 패터닝된 기판(220)은 222, 224, 226에 예시되는 것과 같은 다른 처리 장치로 전달된다. 통상적인 제조 설비 내에는 다양한 장치에 의해 광범위한 프로세스 단계들이 구현된다. 예시를 위하여, 이러한 실시예에서 장치(222)는 에칭 스테이션이고, 및 장치(224)는 에칭후 어닐링 단계를 수행한다. 추가적인 물리적 및/또는 화학적 프로세스 단계들이 다른 장치(226 등)에 적용된다. 재료의 증착, 표면 재료 특성의 변경(산화, 도핑, 이온 주입 등), 화학적-기계적 연마(CMP) 등과 같은 여러 타입의 동작들이 실제 디바이스를 제작하기 위해 요구될 수 있다. 실무상, 장치(226)는 하나 이상의 장치에서 수행되는 일련의 상이한 프로세스 단계를 나타낸다.
패터닝 프로세스 단계들의 시퀀스를 포함하는 설명된 반도체 제조 프로세스는 본 명세서에 개시된 기법이 적용될 수 있는 산업 프로세스의 하나의 예일 뿐이다. 반도체 제조 프로세스는 일련의 패터닝 단계를 포함한다. 각각의 패터닝 프로세스 단계는 패터닝 동작, 예를 들어 리소그래피 패터닝 동작, 및 그 밖의 여러 화학적 및/또는 물리적 동작을 포함한다.
반도체 디바이스를 제작하려면, 적합한 재료 및 패턴을 가지는 디바이스 구조체를 기판 위에 층별로 쌓아올리기 위해 이러한 처리들의 반복이 수반된다. 현대의 디바이스 제조 프로세스는, 예를 들어 40 가지 또는 50 가지의 개별 패터닝 단계를 포함할 수 있다. 이에 따라, 리소 클러스터에 도달하는 기판(230)은 새롭게 준비된 기판일 수도 있고, 또는 기판은 이러한 클러스터(232) 또는 완전히 다른 장치에서 이전에 처리된 기판일 수도 있다. 이와 유사하게, 요구되는 처리에 따라서, 장치(226)를 떠나는 기판은 동일한 리소 클러스터(232)(예컨대 기판) 내에서의 후속 패터닝 동작을 위해 반환될 수 있거나, 상이한 클러스터(234)(예컨대 기판) 내에서의 패터닝 동작을 위해 보내질 수 있거나, 다이싱 및 패키징을 위해 보내져야 할 마감된 제품(예컨대 기판(234))일 수도 있다.
제품 구조체의 각각의 층은 통상적으로 상이한 세트의 프로세스 단계를 수반하고, 각각의 층에서 사용되는 장치는 타입이 완전히 다를 수도 있다. 더 나아가, 장치에 의해 적용될 프로세스 단계들이 공칭적으로 동일한 경우에도, 큰 설비에서는 상이한 기판들에 처리를 수행하도록 병렬적으로 동작하는, 동일해 보이는 여러 머신들이 존재할 수도 있다. 이러한 머신들 사이에서 셋-업 또는 고장에 있어서 작은 차이가 발생한다는 것은, 다른 기판들이 다른 방식으로 영향받게 된다는 것을 의미할 수 있다. 심지어, 에칭(장치(222))과 같이 각각의 층에 대해 상대적으로 공통인 단계들도, 공칭적으로 동일하지만 병렬적으로 작동하여 쓰루풋을 최대화하는 여러 에칭 장치들에 의해 구현될 수 있다. 병렬 처리는 더 큰 장치 내의 상이한 챔버에서 수행할 수도 있다. 더욱이, 실무 상, 다른 층들은 흔히, 에칭될 재료의 세부사항 및 예를 들어 이방성 에칭과 같은 특수한 요구사항에 따른 상이한 에칭 프로세스, 예를 들어 화학적 에칭, 플라즈마 에칭 등을 수반한다.
선행 및/또는 후속 프로세스는 전술된 것처럼 다른 리소그래피 장치에서 수행될 수 있고, 심지어 상이한 타입의 리소그래피 장치에서 수행될 수도 있다. 예를 들어, 분해능 및/또는 오버레이의 관점에서 매우 중요한 하나 이상의 층들은 디바이스 제작 프로세스 중에 덜 중요한 하나 이상의 층들 보다 더 진보된 리소그래피 툴에서 처리될 수 있다. 그러므로 하나 이상의 층들이 침지 타입 리소그래피 툴에서 노광될 수 있는 반면에 하나 이상의 다른 층들은 '건식' 툴에서 노광된다. 하나 이상의 층들은(DUV) 파장에서 동작하는 툴 안에서 노광될 수 있는 반면에, 하나 이상의 다른 층들은(EUV) 파장 방사선을 사용하여 노광된다.
도 3에는 제조 프로세스의 원하는 스테이지에서 제품의 파라미터를 측정하기 위해 제공되는 계측 장치(MET; 240)도 역시 도시된다. 현대의 리소그래피 제조 설비 내에 있는 계측 스테이션의 공통적인 예는, 예를 들어 각도-분해된 산란계 또는 분광식 산란계인데, 이것은 장치(222)에서의 에칭 이전에 220에서 현상된 기판의 하나 이상의 특성을 측정하기 위해 적용될 수 있다. 계측 장치(240)를 사용하면, 성능 파라미터 데이터(PDAT; 252)가 결정될 수 있다. 이러한 성능 파라미터 데이터(PDAT; 252)로부터, 성능 파라미터, 예컨대 오버레이 또는 임계 치수(CD)가 현상된 레지스트에서의 특정한 정확도 요구 사항을 만족하지 않는다는 것이 더 결정될 수 있다. 에칭 단계 이전에, 현상된 레지스트를 벗겨내고 리소 클러스터를 통해 하나 이상의 기판(220)을 재처리할 기회가 있다. 더욱이, 계측 장치(240)로부터의 계측 결과는, 시간이 지남에 따라서 작게 조절함으로써 리소 클러스터 내에서의 패터닝 동작의 정확한 수행을 유지하며, 이를 통하여 제품이 사양에 벗어나게 제조되거나 재작업이 요구되는 위험을 감소시키거나 최소화하도록 사용될 수 있다. 물론, 계측 장치(240) 및/또는 하나 이상의 다른 계측 장치(미도시)는 처리된 기판(232, 234), 및/또는 인입하는 기판(230)의 하나 이상의 특성을 측정하기 위해 적용될 수 있다.
통상적으로, 리소그래피 장치(LA) 내에서의 패터닝 프로세스는, 기판(W) 상의 구조체의 높은 치수 및 배치 정확도를 수반하는, 처리 중 가장 중요한 단계들 중 하나이다. 이러한 높은 정확도를 보장하는 것을 돕기 위하여, 개략적으로 도 3에서 도시되는 것과 같은 제어 환경에서 세 가지 시스템이 통합될 수 있다. 이러한 시스템 중 하나는 계측 장치(240)(제 2 시스템) 및 컴퓨터 시스템(CL; 250)(제 3 시스템)에 (가상적으로) 연결되는 리소그래피 툴(200)이다. 이러한 환경의 목적은, 소위 전체 "프로세스 윈도우"를 개선하고 리소그래피 장치(LA)에 의해 수행되는 패터닝이 프로세스 윈도우 내에 유지되도록 보장하는 것을 돕기 위한 하나 이상의 정밀 제어 루프를 제공하기 위하여, 이러한 세 개의 시스템들 사이의 협력을 최적화하거나 개선하는 것이다. 프로세스 윈도우는, 그 안에서 특정 제조 프로세스가 규정된 결과(예를 들어 기능성 반도체 디바이스)를 산출해 내게 되는 복수 개의 프로세스 파라미터(예를 들어 선량, 초점, 오버레이 등에서 선택된 두 개 이상)의 값들의 범위를 규정한다 - 통상적으로 그 안에서 리소그래피 프로세스 또는 패터닝 프로세스가 적합한 구조체를 산출해 내면서 변하도록 허용되는 프로세스 파라미터의 값들의 범위임(예를 들어, CD의 허용가능한 범위로 규정됨(예컨대 공칭 CD의 +- 10%).
컴퓨터 시스템(CL)은, 사용할 하나 이상의 분해능 향상 기법을 예측하기 위하여 패터닝될 디자인 레이아웃(또는 그 일부)을 사용하고, 어떤 패터닝 디바이스 레이아웃 및 리소그래피 장치 설정이 패터닝 프로세스의 최대 전체 프로세스 윈도우를 획득하는지를 결정하기 위하여(도 3에서 제 1 다이얼(SC1)에서의 이중 화살표로 도시됨) 계산적 리소그래피 시뮬레이션 및 연산을 수행할 수 있다. 통상적으로, 분해능 향상 기법은 리소그래피 장치(LA)의 패터닝 가능성과 매칭되도록 구현된다. 또한, 컴퓨터 시스템(CL)은, 예를 들어 최적에 미달하는 처리에 기인하여(도 3에서 제 2 다이얼(SC2)에서 "0"을 가리키는 화살표로 표시됨) 결함이 존재할 수 있는지 여부를 예측하기 위해서, 프로세스 윈도우 내의 어디에서 리소그래피 장치(LA)가 현재 동작하고 있는지를 검출하기 위해 사용될 수 있다(예를 들어 계측 툴(MET)로부터의 입력을 사용함).
계측 툴(MET)은 정확한 시뮬레이션 및 예측을 가능하게 하는 입력을 컴퓨터 시스템(CL)에 제공할 수 있고, 예를 들어 리소그래피 장치(LA)의 교정 상태에 있을 수 있는 드리프트(도 3에서 제 3 다이얼(SC3)에서 여러 화살표로 표시됨)를 식별하기 위한 피드백을 리소그래피 장치(LA)에 제공할 수 있다.
컴퓨터 시스템(250)은 (i) 기판이 주어진 처리 단계(예를 들어 리소그래피 단계)에서 처리되기 전에 기판과 연관되는 "처리전 계측 데이터(pre-processing metrology data)"(예를 들어, 스캐너 계측 데이터 LADAT(254), 및 외부 처리전 계측 ExDAT(260)를 포함함) 및 (ii) 기판이 처리된 후의 기판과 연관되는 성능 데이터 또는 "처리후 데이터(post-processing data)" PDAT(252)에 기반하여 프로세스의 제어를 구현할 수 있다.
처리전 계측 데이터 LADAT의 제 1 세트(254)(리소그래피 장치 LA(200) 또는 스캐너에 의해 생성되는 데이터이기 때문에 본 명세서에서는 스캐너 계측 데이터라고 불림)는 종래에는 측정 스테이션(202) 내의 정렬 센서(AS)를 사용하여 리소그래피 장치 LA(200)에 의해 획득된 정렬 데이터를 포함할 수 있다. 대안적으로 또는 정렬 데이터에 추가하여, 스캐너 계측 데이터(LADAT; 254)는 레벨 센서(LS)를 사용하여 획득된 높이 데이터, 및/또는 정렬 센서(AS) 등으로부터의 "웨이퍼 품질" 신호를 포함할 수 있다. 이와 같이, 스캐너 계측 데이터 LADAT(254)는 기판에 대한 정렬 격자, 및 기판 변형에 관련된 데이터(평평도)를 포함할 수 있다. 예를 들어, 스캐너 계측 데이터 LADAT(254)는 노광에 앞서서 트윈 스테이지 리소그래피 장치 LA(200)의 측정 스테이션 MEA(202)(예를 들어, 이것이 통상적으로 정렬 센서 및 레벨링 센서를 포함하기 때문임)에 의해 생성될 수 있고, 동시 측정 및 노광 동작을 가능하게 한다. 이러한 트윈 스테이지 리소그래피 장치는 주지되어 있다.
점점 더 많은 (예를 들어 독립형) 외부 노광전 계측 툴 ExM(270)이 노광 전에 리소그래피 장치를 계측하기 위해서 사용된다. 이러한 외부 노광전 계측 툴 ExM(270)은 트윈 스테이지 리소그래피 장치 LA(200)의 측정 스테이션 MEA(202)와 별개이다. 트랙 내에서 수행된 임의의 노광전 측정도 역시 외부 측정이라고 여겨진다. 노광 쓰루풋을 충분한 레벨에서 유지하기 위하여, 측정 스테이션 MEA(202)에 의해 측정된 스캐너 계측 데이터 LADAT(예를 들어, 정렬 격자 및 기판 변형 격자)는 바람직하게는 측정들의 더 성긴 세트에 기반한다. 이것은 통상적으로, 이러한 측정 스테이션이 더 높은 차수의 정정, 그리고 특히 3차를 넘는 정정을 위한 충분한 측정 데이터를 수집할 수 없다는 것을 의미한다. 이에 추가하여, 불투명한 하드 마스크를 사용하면 정렬된 기판 격자를 정확하게 측정하는 것이 어려워질 수 있다.
외부 노광전 계측 툴 ExM(270)은, 노광 이전에 각각의 기판에 더 조밀한 측정이 이루어질 수 있게 한다. 이러한 노광전 계측 툴 ExM(270)은 스캐너 이상의 쓰루풋에서, 그리고 정렬 센서 및 레벨 센서를 사용하여 달성될 수 있는 것보다 훨씬 높은 측정 밀도로(이러한 센서가 별개의 측정 스테이션 MEA(202) 내에 포함되는 경우에도) 웨이퍼 격자 변형을 측정 및/또는 예측한다. 노광전 계측 툴은, 예를 들어 기판 형상 검사 툴 및/또는 독립형 정렬 스테이션을 포함한다.
도 3은 성능 데이터 PDAT, 스캐너 계측 데이터 LADAT 및 외부 노광전 데이터 ExDAT의 각각을 위한 별개의 저장소(252, 254, 260)를 보여주지만, 이러한 상이한 타입의 데이터가 하나의 공통 저장소 유닛에 저장될 수 있거나, 데이터의 특정 아이템이 요구될 때 그로부터 취출될 수 있는 더 많은 개수의 저장소 유닛에 걸쳐서 분산될 수 있다는 것이 이해될 것이다.
예를 들어 많은 수의 필름층(예를 들어, 50 개 초과, 일부 경우에는 100 개에 달하는 필름층)이 있는 높은 구조체의 경우, 예컨대 3D NAND의 경우, 이러한 필름층에 의해 부과되는 스트레스의 결과로서 더 높은 차수의 뒤틀림(그리고 특히 면내 뒤틀림)이 점점 중요해지게 된다는 것이 관측되었다. 이러한 프로세스에서는 5차 오차가 우세한 것으로 보이고, 더 높은 차수도 역시 중요해질 것이라는 것이 기대된다. 이러한 경우에, 표준 웨이퍼 정렬(예를 들어, 측정 스테이션 MEA(202)에 의한 것과 같이 스캐너 내에서 수행됨)은 모든 형상을 측정할 수 없고 및/또는 기판 격자를, 특히 기판의 에지를 향해 적합하게 외삽할 수 없다.
정렬, 기판 클램핑(처킹) 및/또는 클램핑 모델에서의 차이 때문에 조밀한 오프라인 노광후(예를 들어, 오버레이) 측정이 실제 제품상 오버레이(on-product overlay; OPO)와 정밀하게 매칭되지 않는다. 또한, 오프라인 측정은 가끔 다수의 처리 단계의 영향을 측정하는데, OPO는 이러한 단계들의 서브세트에 의해서만 영향받는다.
(예를 들어, 처리된) 노광전 계측 데이터의 제 2 세트 내에 존재하는 패턴의 인식에 기반하여 프로세스 파라미터의 예측을 개선할 수 있는 방법이 제안되는데, 여기에서 노광전 계측 데이터의 제 2 세트는 리소그래피 장치 내에서 수행되는 것과 같은 표준 기판 정렬 및/또는 레벨링(예를 들어, 리소그래피 장치 LA(200) 내에서 수행되는, 그리고 특히 측정 스테이션 MEA(202)에 의해 측정되는 표준 기판 정렬 및/또는 레벨링)과 별개인 노광전 측정으로부터의 데이터를 포함한다. 이러한 방법은, 머신 러닝을 사용하여 오버레이(예를 들어, 특히 OPO)와 같은 프로세스 파라미터에 대한 값을 노광전 계측 데이터의 제 2 세트로부터 예측할 수 있는 적절한 모델을 트레이닝하는 것을 포함한다.
좀 더 구체적으로는, 노광전 계측 데이터의 제 2 세트는 독립형 정렬 스테이션 및/또는 기판 변형 계측 스테이션(예를 들어, 하나 이상의 외부 노광전 계측 툴 ExM(270))과 같은 하나 이상의 외부 (예를 들어, 독립형) 노광전 계측 스테이션/툴로부터의 외부 노광전 데이터를 포함할 수 있다. 이와 같이, 외부 노광전 계측 데이터는 이러한 툴에 의해 측정된 조밀한 정렬 데이터 및/또는 기판 형상 데이터를 포함할 수 있다.
더 특정한 실시형태에서, 외부 노광전 계측 데이터는, 특히 노광전 계측 툴에 의해 수행된 측정으로부터 유도되는 면내 뒤틀림 데이터로 처리되는 처리된 노광전 계측 데이터를 포함할 수 있다. 추가적으로 또는 대안적으로, 처리된 노광전 계측 데이터는 (예를 들어, 표준) 정렬 모델과 관련되는 데이터를 필터링 아웃하기 위하여 처리될 수 있다. 이것은, 과도한 근사화(overfitting) 및 이중 정정을 막기 위하여 정렬 및/또는 레벨링(예를 들어, 표준 정렬 및/또는 레벨링 전략 및 제어 루프)에 의해 정정될 임의의 데이터(예를 들어, 형상/공간 주파수)를 제거하는 것을 포함한다. 이러한 필터링 아웃된 데이터는, 예를 들어 외부 노광전 계측 데이터로부터의 1차 콘텐츠를 포함할 수 있다. 그러나, 더 복잡한 정렬 전략은 다른 형상 그리고, 따라서 추가적인 (특정한) 더 높은 차수의 콘텐츠를 필터링하는 것을 요구할 수 있다.
도 4는 일 실시형태에 따르는 방법을 기술하는 흐름도이다. 교정 스테이지(400) 내에서, 트레이닝 데이터가 단계 405에서 획득된다. 트레이닝 데이터는 설명된 바와 같은 외부 노광전 계측 데이터(예를 들어, 제 1 세트 노광전 계측 데이터 또는 스캐너 계측 데이터와 별개인 노광전 계측 데이터의 제 2 세트) 및 대응하는 처리후 데이터(예를 들어, 동일한 기판(들)에 관련됨), 예컨대 오버레이 데이터를 포함할 수 있다.
단계 410에서, 선택적인 제 1 전처리 단계는 외부 노광전 계측 데이터(예를 들어, 기판 뒤틀림/형상 데이터 및/또는 정렬 격자 데이터를 포함함)를 면내 뒤틀림의 예측(prediction)(면내 뒤틀림 데이터)으로 변환하는 것을 포함한다. 이러한 단계는, 예를 들어 스토니(Stoney) 모델 또는 다른 처킹/클램핑 모델을 사용하는 것을 포함할 수 있다.
단계 415에서, 제 2 전처리 단계는 트레이닝 데이터(외부 노광전 계측 데이터 및 노광후 계측 데이터/성능 데이터 양자 모두)를 정렬 모델 콘텐츠, 즉 정렬 모델에 의해 정정될 데이터(형상/공간 주파수)에 대하여 필터링하는 것을 포함한다. 가장 단순한 실시형태에서, 필터링된 데이터는, 예를 들어 1차 콘텐츠를 포함할 수 있다. 이것은, (예를 들어) 정렬 모델링이 6-파라미터 정렬 모델 등을 사용하여 수행되는 경우일 수 있다. 필터링된 데이터는, 정렬 모델에 의해 정정될 특정한 더 높은 차수의 형상/공간 주파수에 관련되는 데이터를 더 포함할 수 있다. 이것은, (예를 들어) 정렬 모델링이 더 높은 차수의 정렬 모델(예를 들어, 더 높은 차수의 웨이퍼 정렬 HOWA 등)을 사용하여 수행되는 경우일 수 있다.
단계(415)는, 예를 들어 처리후 데이터 또는 오버레이 데이터를 정렬 모델 정정 콘텐츠에 대하여 "정정해제(de-correcting)"하는 것을 포함할 수 있다. 이러한 단계는, 외부 노광전 계측 데이터에 대한 기판 정렬의 효과(예를 들어, 사용된 정렬 모델의 효과)를 모사하는 것(mimicking) 및 외부 노광전 계측 데이터로부터 정렬 모델 콘텐츠를 제거하는 것을 더 포함할 수 있다. 예를 들어, 정렬 모델이 6-파라미터 모델을 포함하는 경우, 처리된 외부 노광전 계측 데이터는 더 이상 6-파라미터 모델 콘텐츠를 포함하지 않을 것이고, 이와 유사하게 정렬 모델이 HOWA 모델을 포함하는 경우, 처리된 외부 노광전 계측 데이터는 HOWA 모델 콘텐츠를 더 이상 포함하지 않을 것이다.
단계 420에서, 피쳐 추출 단계가 수행된다(머신 러닝 방법에 의존하여). 피쳐 추출 단계(420)는, 예를 들어 머신 러닝 단계(425)가 모델 매핑 단계 또는 유사한 것인 경우에 수행될 수 있다. 그러나, 머신 러닝 단계가 인공 지능 및/또는 딥러닝 네트워크(예를 들어, 신경망)의 트레이닝에 관련된다면, 이러한 단계는 제거될 수 있다. 피쳐 추출 단계(420)는 머신 러닝을 위한 (처리된) 트레이닝 데이터(예를 들어 선택된 제르니케, 다항식, 및/또는 이력 모델) 내의 미리 정의된 피쳐를 선택하는 것을 포함할 수 있다.
머신 러닝 단계(425)는 외부 노광전 계측 데이터를 노광후 계측 데이터와 상관시키는 것을 포함할 수 있다. 이것은, 이전의 단계의 추출된 피쳐에 모델 매핑을 수행하는 것을 포함할 수 있다. 좀 더 구체적으로는, 이러한 단계는 외부 노광전 계측 데이터로부터 추출된 제 1 피쳐 및 노광후 계측 데이터로부터 추출된 제 2 피쳐 사이의 상관을 나타내는 매핑 매트릭스를 결정하는 것을 포함할 수 있다. 웨이퍼 정렬 모델 매핑의 개념은 본 명세서에서 원용에 의해 통합되는, Menchtchikov B. 등에 의한 공개 문헌인 "Reduction in overlay error from mark asymmetry using simulation, ORION, and alignment models" Proceedings Volume 10587, Optical Microlithography XXXI; 105870C (2018)에 기술된다.
대안적으로, 이러한 단계가 인공 지능 및/또는 딥러닝 네트워크를 트레이닝하는 것을 포함하는 경우, 추출된 피쳐가 요구되지 않고, 처리된(예를 들어, 단계 415에서 그리고 선택적으로 단계 410에 의하여 정렬 모델 콘텐츠를 적어도 제거하도록 처리된) 트레이닝 데이터가 공지된 인공 지능 트레이닝 기법을 사용하여 모델을 트레이닝하기 위해서 직접적으로 사용될 수 있다. 예를 들어, 트레이닝 데이터는 자신의 대응하는 처리된 노광후 데이터에 의해 라벨링되는 처리된 외부 노광전 데이터를 포함할 수 있다. 어떤 머신 러닝 기법이 사용되더라도, 트레이닝 단계는 모델이 프로세스 성능에 관련된 출력 데이터를 추론할 수 있도록(예를 들어, 대응하는 2차 또는 외부 노광전 계측 데이터 패턴, 특성(traits) 및/또는 값으로부터 기판 격자 변형, 노광후 계측 데이터 패턴, 특성 및/또는 값(예를 들어, 성능 데이터 또는 오버레이 패턴, 특성 및/또는 값)을 추론하도록) 모델을 트레이닝할 것이다.
이제, 생산 또는 작동 스테이지(430)가 설명될 것이다. 생산 또는 작동 스테이지(430)의 단계들이 피드-포워드 제어 방법의 일부로서 기판-투-기판 제어에서 기판별로 수행되는 것이 제안된다. 이와 같이, 기판별로, 예측된 오버레이 및/또는 결과적인 정정이 해당 기판에 관련된 외부 노광전 계측 데이터에 기반하여 노광 전에 결정되고, 그 후에 해당 기판에서의 노광을 개선(즉, 예측된 오버레이 오차를 정정)하기 위하여 사용될 수 있다.
생산 또는 작동 스테이지(430)는, 제 2 또는 외부 노광전 계측 데이터가 제조 프로세스의 일부로서 기판별로(예를 들어, 생산 기판별로) 측정되는 데이터 수집 단계(435)를 포함한다. 선택적인 제 1 전처리 단계(440)(외부 노광전 계측 데이터를 면내 뒤틀림 데이터로 변환함) 및 제 2 전처리 단계(445)(단계 435에서 획득된 외부 노광전 계측 데이터로부터 정렬 모델 콘텐츠를 제거함)는 교정 페이즈(400)에서의 등가 단계(410, 415)를 미러링한다. 이와 같이, 제 1 전처리 단계(440)는, 모델이 이러한 면내 뒤틀림 데이터에 대해서 교정된 경우(예를 들어, 단계 410 이 수행된 경우)에만 수행될 필요가 있다. 피쳐 추출 단계(450)도 교정 페이즈(400)의 등가 단계를 미러링하고, 따라서 모델이 트레이닝된 (처리된) 외부 노광전 계측 데이터 내의 미리 규정된 피쳐를 선택하는 것을 포함한다. 이러한 단계는 모델이 추출된 피쳐를 사용하여 트레이닝되지 않았으면 수행되지 않는다.
단계 455에서, 트레이닝된 모델이 기판별로, (처리된) 외부 노광전 계측 데이터에 적용되어, 처리후 계측(예를 들어, 격자 변형 및/또는 오버레이)을 예측한다. 그러면, 이것들이 노광 중에 대응하는 정정을 결정하기 위하여 사용될 수 있다. 이러한 단계는, 예를 들어 트레이닝된 모델을 사용하여, OPO에 고차수 제어(예를 들어, 고차수 정렬 제어)를 수행한 영향을 예측하는 것을 포함할 수 있다. 트레이닝된 모델이 인공 지능(예를 들어, 트레이닝된 신경망)이면, 이러한 단계는 단계(445)에 후속하여 처리된 외부 노광전 계측을 트레이닝된 모델에 입력하여, 이것이 예측된 OPO 또는 관련된 메트릭을 출력하게 하는 것을 포함할 수 있다.
모델 매핑 기법의 경우, 예를 들어 트레이닝된 모델의 OPO에 대한 영향을 시뮬레이션함으로써 단계(455)가 달성될 수 있다. 이것은, 작동될 오버레이 정정(예를 들어, 이전의 기판 및/또는 로트로부터 결정되지만, 하지만 표준 정렬 제어의 영향에 대해서 "정정해제된(de-corrected)" 표준 오버레이 정정)에 대한 더 높은 차수의 정렬 제어(예를 들어, 더 높은 차수의 정렬 모델)의 영향을 더 효과적으로 시뮬레이션하기 위하여 이러한 모델을 적용하는 것을 포함할 수 있다. 이것은, 격자 뒤틀림(예를 들어, 면내 뒤틀림)에 대한 더 높은 차수의 정렬 모델의 영향을 우선 시뮬레이션하여 시뮬레이션된 뒤틀림 모델을 결정하고, 이러한 뒤틀림 모델을 OPO로 매핑하여 OPO 정정을 결정하는 것을 포함할 수 있다. 견실성을 위하여, 더 높은 차수의 정렬 제어가 상이한 정렬 모델에 기반하여 시뮬레이션될 수 있다. 더 높은 차수의 정렬 모델은, 예를 들어 3차보다 높은 차수의 모델일 수 있다(또는 포함할 수 있음).
이와 같이, 이러한 단계는, 예를 들어 뒤틀림(예를 들어, 막-유도(film-induced) 스트레스)과 같은 노광전 기판 효과로부터 초래되고, 표준 정렬/레벨링 제어 루프에 의해 정정되지 않는(예를 들어, 더 높은 차수의 효과) 오버레이 기여도(기판 격자 변형 기여도)를 예측할 수 있다. 대부분의 실시형태들이 정렬 컴포넌트를 제거하기 위한 처리를 기술하고 있지만, 이러한 처리는, 예를 들어 웨이퍼 높이 맵을 포함하는 처리전 계측 데이터의 제 1 세트로부터 스캐너의 초점 기여도(표준 초점 레벨링 제어를 사용하여 정정될 것임)를 마찬가지로 제거할 수 있다는 것에 주의한다. 그러면, 트레이닝된 모델이 처리된 웨이퍼 높이 맵에 적용되어 정렬, 오버레이 또는 다른 파라미터의 정정을 결정할 수 있다.
앞선 설명이 처리전 계측 데이터의 제 1 세트가 외부적으로 측정된 데이터를 포함하는 실시형태에 관한 것이지만, 본 발명의 개념은 이와 같이 제한되지 않는다. 본 발명의 개념은, 처리전 계측 데이터의 제 1 세트(예를 들어, 조밀한 데이터)가 스캐너 내에서 측정되지만, 이에 대해서 별개의 제어 프로세스(예를 들어, 정렬)에 의해 별개로 정정되는 제어 컴포넌트가 제거된 실시형태에도 동등하게 적용가능하다. 처리전 계측 데이터의 제 1 세트가 외부적으로 및 내부적으로 측정된 데이터 양자 모두를 포함하도록 두 가지 접근법들을 조합하는 것도 역시 가능하다. 이러한 처리된 내부 처리전 계측 데이터는 이제 오버레이 또는 다른 파라미터의 예측을 위하여 적절한 트레이닝된 모델에 입력될 수 있다. 이러한 내부 처리전 계측 데이터는, 예를 들어 스캐너 내의 하나 이상의 추가 센서에 의해 생성된 데이터(예를 들어, 레벨 센서 또는 하이퍼-스펙트럼 센서와 유사한 방식으로 수평 정정을 하기 위한 데이터)를 포함할 수 있다. 이러한 센서에 의해 생성된 데이터는 설명된 방법을 사용하여, 정렬 제어와 상호 최적화되는 피드-포워드 제어 에서도 사용될 수 있다.
앞선 내용에서, 처리 단계는 리소그래피 프로세스의 일부인 제어 프로세스에 의해 적어도 부분적으로 정정가능한 컴포넌트(예를 들어, 정렬 및/또는 레벨링 모델에 의해 정정될 컴포넌트)를 제거하는 것을 주로 포함했다. 그러나, 본 명세서에서 설명되는 개념은 더 일반적으로, 오차 기여자(error contributor)에 관련된 처리전 데이터(예를 들어, 정렬 데이터) 내의 컴포넌트를 식별하고, 이러한 컴포넌트를 수율과 상관시키기 위하여 적용될 수 있다. 정렬 데이터는, 예를 들어 세기 및 기판 변위 측정치를 포함하며 모든 기판에 대해서 측정된다. 또한, 통상적인 정렬 프로세스는, 복수 개의 파장 및 편광을 포함하는 방사선을 사용하여 정렬 마크의 측정을 수행하는 것 및 이들 중 적어도 일부를 검출하여(예를 들어, 검출 설정에 의존하여), 측정 정확도와 관련된 정보가 수집되게 하는 것(그리고 예를 들어, 마크 변형 등을 정정하는 것)을 포함한다(예를 들어, 동시에).
처리전 계측 데이터(예를 들어, 웨이퍼 정렬 및/또는 레벨링 데이터)의 제 1 세트가, 수율/성능과 더 양호하게 상관되고(단독으로 또는 특정한 조합으로), 따라서 데이터 세트 전체와 비교할 때 스캐너 정정을 위한 기반으로서 더 적합한 지문(즉, 컴포넌트)으로 분해될 수 있다는 것이 제안된다. 분해는 특정 설정(예를 들어, 특정 조명 설정을 위한 설정)으로부터의 결과에 기반하여, 및/또는 컴포넌트 분석 기법(예를 들어, 이론적 컴포넌트 분석)과 같은 적절한 분해 기법을 사용하여 수행될 수 있다. 지문은, 예를 들어 변위 오차, 검출 설정(검출된 파장, 편광 및 이들의 조합), 및 색상-투-색상 지문(두 개의 검출 설정의 각각에서의 특정 타겟의 측정된 위치(물론 동일해야 함)의 차이) 및/또는 검출된 신호 특성(예를 들어, 세기 서명 또는 그 컴포넌트)로부터 유도될 수 있다. . 이러한 컴포넌트들 각각은 프로세스 오차 기여자의 상이한 컴포넌트를 기술할 수 있고, 이것은 수율과 개별적으로 상관될 수 있다.
제안된 방법은, 컴포넌트들 중 하나 이상(별개로, 또는 특정한(예를 들어, 유용한) 조합으로)을 수율과 각각 상관시키는 하나 이상의 모델을 트레이닝하는 것을 포함할 수 있다. 이러한 교정은 트레이닝 데이터, 예컨대 레퍼런스/이력(historic) 수율 데이터 및/또는 오차 계측 데이터를 사용하여, 머신 러닝 기법(예를 들어, 전술된 바와 같은 기법)을 사용함으로써 수행될 수 있다. 모델은, 예를 들어 이러한 컴포넌트 중 하나 이상의 기능을 포함할 수 있다. 교정은(예를 들어, 실제 트레이닝 이전에), 수율과 양호한 상관을 가지는 단일 컴포넌트 및/또는 컴포넌트들의 특정 조합을 식별하고, 모델(들)을 이러한 컴포넌트 및/또는 조합에 기반하여 트레이닝하는 것을 포함할 수 있다. 이것은, 컴포넌트들 및/또는 조합들 각각에 대한 상관 메트릭을 결정하고, 상관 메트릭들을 비교함으로써 달성될 수 있다.
상관이 구축되고 모델이 트레이닝되면, 프로세스 제어는 수율을 예측하기 위하여 트레이닝된 모델을 정렬 결과에 적용함으로써 개선될 수 있다. 각각의 기판에 특이적인 노광전 데이터를 사용함으로써, 수율이 노광 이전에 각각의 기판에 대해서 예측될 수 있다. 기판-특이적인 예측된 수율에 기반하여, 기대된 정렬/레벨링 지문의 최소화에 기반한 정정 대신에, 수율 최적화에 표적화된 스캐너 정정이 결정될 수 있다. 이러한 정정은, 예를 들어 적용된 정정이 수율을 웨이퍼-투-웨이퍼 기반으로 개선하게 하는, 하나 이상의 스캐너/프로세스 세트포인트에 대한 조절에 관련될 수 있다.
이와 같이, 이러한 실시형태는 웨이퍼별 수율 인식 제어(yield aware control)를 가능하게 한다. 이전의 층으로부터의 처리전 계측 데이터(예를 들어, 정렬 데이터)가 사용되면, (일 실시형태에서) 스택에 걸쳐 프로세스를 제어하기 위하여 상이한(예를 들어, 연속적인) 층들로부터의 모델들을 결합하는 것이 제안된다.
본 발명의 추가적인 실시형태가 다음의 번호가 부여된 절들의 두 개의 별개의 목록에 개시된다:
번호가 부여된 절들의 제 1 목록
1. 리소그래피 프로세스의 성능 메트릭에 관련된 성능 메트릭 정정을 결정하기 위한 방법으로서,
노광전 계측 데이터의 제 1 세트를 획득하는 단계 - 상기 노광전 계측 데이터의 제 1 세트는 조밀한 노광전 계측 데이터를 포함함 -;
성능 메트릭에 관련되는 노광전 계측 데이터의 하나 이상의 컴포넌트를 포함하는 처리된 노광전 계측 데이터를 획득하도록, 상기 노광전 계측 데이터의 제 1 세트를 처리하는 단계; 및
상기 기판에 대한 상기 성능 메트릭 정정을 결정하도록, 적어도 하나의 트레이닝된 모델을 상기 처리된 노광전 계측 데이터에 적용하는 단계를 포함하는, 정정 결정 방법.
2. 제 1 절에 있어서,
상기 방법은 제조 프로세스에서 각각의 기판에 대하여 개별적으로 수행되는, 정정 결정 방법.
3. 제 1 절 또는 제 2 절에 있어서,
상기 처리 단계는, 리소그래피 프로세스의 일부인 제어 프로세스에 의해 적어도 부분적으로 정정가능한 하나 이상의 제어 컴포넌트를 제거하는 것을 포함하는, 정정 결정 방법.
4. 제 3 절에 있어서,
상기 노광전 계측 데이터의 제 1 세트는 기판의 뒤틀림에 관련된 데이터를 포함하는, 정정 결정 방법.
5. 제 3 절 또는 제 4 절에 있어서,
상기 노광전 계측 데이터의 제 1 세트는, 리소그래피 프로세스의 일부인 상기 제어 프로세스에 대해 측정된 것과 유사한 타입이지만 더 조밀하게 측정되는 노광전 계측 데이터인, 정정 결정 방법.
6. 제 3 절 내지 제 5 절 중 어느 한 절에 있어서,
상기 노광전 계측 데이터의 제 1 세트는, 노광 프로세스 및 제어 프로세스를 수행하는 노광 장치에 의해 기판에서 측정된 바 있는, 정정 결정 방법.
7. 제 3 절 내지 제 5 절 중 어느 한 절에 있어서,
상기 노광전 계측 데이터의 제 1 세트는 기판 상에 구조체를 노광하기 위한 노광 프로세스의 외부인 프로세스에서, 기판에서 측정된 바 있는, 정정 결정 방법.
8. 제 7 절에 있어서,
상기 노광전 계측 데이터의 제 1 세트는, 노광전 계측 데이터의 제 2 세트와 별개인 적어도 외부 정렬 데이터를 적어도 포함하고,
상기 노광전 계측 데이터의 제 2 세트는, 노광 프로세스 및 제어 프로세스를 수행하는 노광 장치에 의해 기판 상에서 측정된 스캐너 정렬 데이터를 적어도 포함하는, 정정 결정 방법.
9. 제 7 절 또는 제 8 절에 있어서,
상기 노광전 계측 데이터의 제 1 세트는, 노광전 계측 데이터의 제 2 세트와 별개인 적어도 레벨링 데이터를 적어도 포함하고,
상기 노광전 계측 데이터의 제 2 세트는, 노광 프로세스 및 제어 프로세스를 수행하는 노광 장치에 의해 기판 상에서 측정된 스캐너 레벨링 데이터를 적어도 포함하는, 정정 결정 방법.
10. 제 3 절 내지 제 9 절 중 어느 한 절에 있어서,
리소그래피 프로세스의 일부인 제어 프로세스에 의해 적어도 부분적으로 정정가능한 상기 하나 이상의 제어 컴포넌트는, 정렬 성능 메트릭 정정을 위해 사용되는 모델 및/또는 공간 주파수에 관련되는 컴포넌트 데이터를 포함하는, 정정 결정 방법.
11. 제 1 절 또는 제 2 절에 있어서,
상기 처리는,
상기 하나 이상의 컴포넌트를 유도하도록 상기 노광전 계측 데이터의 제 1 세트를 분해하여, 상기 하나 이상의 컴포넌트 및/또는 이들의 조합이 상기 성능 메트릭과 상관되게 하는 것을 포함하는, 정정 결정 방법.
12. 제 11 절에 있어서,
상기 방법은,
상기 하나 이상의 컴포넌트 및/또는 이들의 조합의 각각에 대한 상관 메트릭을 결정하는 단계, 및
상기 적어도 하나의 트레이닝된 모델을 획득하도록, 상기 트레이닝을 위한 상기 컴포넌트 및/또는 이들의 조합 중 하나 이상을 부여된(attributed) 상관 메트릭에 기반하여 선택하는 단계를 포함하는, 정정 결정 방법.
13. 제 11 절 또는 제 12 절에 있어서,
상기 하나 이상의 컴포넌트의 각각의 컴포넌트는:
특정 검출 설정 컴포넌트, 두 개의 검출 설정 컴포넌트 사이의 측정된 위치의 차이, 세기 컴포넌트, 임의의 다른 검출된 신호 특성 컴포넌트, 변위 오차 컴포넌트
중 하나 이상에 관련되는, 정정 결정 방법.
14. 제 11 절 내지 제 13 절 중 어느 한 절에 있어서,
적어도 하나의 트레이닝된 모델을 상기 처리된 노광전 계측 데이터에 적용하는 단계는,
대응하는 모델을 상기 컴포넌트 및/또는 이들의 조합 중 하나 이상의 각각에 적용하는 것을 포함하는, 정정 결정 방법.
15. 제 11 절 내지 제 14 절 중 어느 한 절에 있어서,
상기 노광전 계측 데이터의 제 1 세트는 정렬 데이터 및/또는 레벨링 데이터를 포함하는, 정정 결정 방법.
16. 제 15 절에 있어서,
상기 노광전 계측 데이터 스캐너 정렬 데이터 및/또는 레벨링 데이터의 제 1 세트는 노광 프로세스 및 제어 프로세스를 수행하는 노광 장치에 의해 기판에서 측정된 바 있는, 정정 결정 방법.
17. 제 1 절 내지 제 16 절 중 어느 한 절에 있어서,
상기 트레이닝된 모델을 적용하는 단계는,
상기 처리된 노광전 계측 데이터로부터 추출된 제 1 피쳐에 기반하여 모델 매핑을 수행하는 것을 포함하고,
상기 모델 매핑은 상기 제 1 피쳐를, 상기 성능 메트릭에 관련된 처리후 계측 데이터에서 이전에 관측된 대응하는 제 2 피쳐로 매핑하도록 동작가능하며,
상기 처리후 계측 데이터는 상기 모델을 트레이닝하기 위하여 사용된 것인, 정정 결정 방법.
18. 제 17 절에 있어서,
상기 제 1 피쳐 및 제 2 피쳐는 형상, 다항식 표현, 제르니케 표현, 지문 또는 이들의 임의의 조합 중 하나 이상에 관련되는, 정정 결정 방법.
19. 제 1 절 내지 제 17 절 중 어느 한 절에 있어서,
상기 트레이닝된 모델은 트레이닝된 신경망 모델을 포함하는, 정정 결정 방법.
20. 제 19 절에 있어서,
상기 방법은,
상기 노광전 계측 데이터의 제 1 세트와 동등한, 노광전 계측 데이터의 제 1 트레이닝 세트를 포함하는 트레이닝 데이터를 획득하고, 상기 성능 메트릭에 관련된 처리후 계측 데이터의 대응하는 트레이닝 세트를 획득하는 단계 - 상기 노광전 계측 데이터의 제 1 세트는 상기 처리후 계측 데이터의 대응하는 트레이닝 세트에 의해 라벨링됨 -;
처리된 노광전 계측 데이터를 획득하도록, 노광전 계측 데이터의 제 1 세트의 상기 처리에 대응하는 방식으로 상기 트레이닝 데이터를 처리하는 단계; 및
상기 모델을 처리된 트레이닝 데이터로 트레이닝하는 단계를 포함하는, 정정 결정 방법.
21. 제 1 절 내지 제 18 절 중 어느 한 절에 있어서,
상기 방법은,
트레이닝된 모델을 트레이닝하는 초기 단계를 포함하고, 상기 트레이닝은,
상기 노광전 계측 데이터의 제 1 세트와 동등한, 노광전 계측 데이터의 제 1 트레이닝 세트를 포함하는 트레이닝 데이터를 획득하고, 상기 성능 메트릭에 관련된 처리후 계측 데이터의 대응하는 트레이닝 세트를 획득하는 것;
처리된 노광전 계측 데이터를 획득하도록, 노광전 계측 데이터의 제 1 세트의 상기 처리에 대응하는 방식으로 상기 트레이닝 데이터를 처리하는 것; 및
상기 노광전 계측 데이터의 제 1 트레이닝 세트를 상기 처리후 계측 데이터의 대응하는 트레이닝 세트와 상관시킴으로써, 상기 모델을 처리된 트레이닝 데이터로 트레이닝하는 것을 포함하는, 정정 결정 방법.
22. 제 21 절에 있어서,
상관하는 단계는,
모델 매핑을 사용하여 상기 노광전 계측 데이터의 트레이닝 세트로부터 추출된 제 1 피쳐를 상기 처리후 계측 데이터의 트레이닝 세트 내의 대응하는 제 2 피쳐에 상관시키는 것을 포함하는, 정정 결정 방법.
23. 제 22 절에 있어서,
상기 모델의 트레이닝은, 제 1 피쳐 및 제 2 피쳐 사이의 상관을 나타내는 매핑 매트릭스를 결정하는 것을 포함하는, 정정 결정 방법.
24. 리소그래피 프로세스의 성능 메트릭에 관련된 성능 메트릭 정정을 결정하기 위한 적어도 하나의 트레이닝된 모델을 획득하는 방법으로서,
노광전 계측 데이터의 제 1 트레이닝 세트를 포함하는 트레이닝 데이터를 획득하고, 상기 성능 메트릭에 관련된 처리후 계측 데이터의 대응하는 트레이닝 세트를 획득하는 단계;
상기 성능 메트릭에 관련되는 노광전 계측 데이터의 하나 이상의 컴포넌트를 포함하는, 처리된 노광전 계측 데이터를 획득하도록 상기 트레이닝 데이터를 처리하는 단계; 및
상기 모델을 처리된 트레이닝 데이터로 트레이닝하는 단계를 포함하는, 트레이닝된 모델 획득 방법.
25. 제 24 절에 있어서,
상기 처리 단계는, 리소그래피 프로세스의 일부인 제어 프로세스에 의해 적어도 부분적으로 정정가능한 제어 컴포넌트를 제거하는 것을 포함하는, 트레이닝된 모델 획득 방법.
26. 제 25 절에 있어서,
상기 노광전 계측 데이터의 트레이닝 세트는, 리소그래피 프로세스의 일부인 상기 제어 프로세스에 대해 측정된 것과 유사한 타입이지만 더 조밀하게 측정되는 노광전 계측 데이터인, 트레이닝된 모델 획득 방법.
27. 제 25 절 또는 제 26 절에 있어서,
데이터는 기판 상에 구조체를 노광하기 위한 노광 프로세스 외부의(external) 프로세스에서 복수 개의 기판에서 측정된 바 있는, 트레이닝된 모델 획득 방법.
28. 제 27 절에 있어서,
상기 노광전 계측 데이터의 제 1 세트는, 노광전 계측 데이터의 제 2 세트와 별개인 적어도 외부 정렬 데이터를 적어도 포함하고,
상기 노광전 계측 데이터의 제 2 세트는 노광 프로세스 및 예비적 제어 프로세스를 수행하는 노광 장치에 의해 기판 상에서 측정된 스캐너 정렬 데이터를 적어도 포함하는, 트레이닝된 모델 획득 방법.
29. 제 27 절 또는 제 28 절에 있어서,
상기 노광전 계측 데이터의 제 1 세트는, 노광전 계측 데이터의 제 2 세트와 별개인 적어도 레벨링 데이터를 적어도 포함하고,
상기 노광전 계측 데이터의 제 2 세트는 노광 프로세스 및 예비적 제어 프로세스를 수행하는 노광 장치에 의해 기판 상에서 측정된 스캐너 레벨링 데이터를 적어도 포함하는, 트레이닝된 모델 획득 방법.
30. 제 24 절에 있어서,
상기 처리는,
상기 하나 이상의 컴포넌트를 유도하도록 상기 노광전 계측 데이터의 제 1 트레이닝 세트를 분해하여, 상기 하나 이상의 컴포넌트 및/또는 이들의 조합이 상기 성능 메트릭과 상관되게 하는 것을 포함하는, 트레이닝된 모델 획득 방법.
31. 제 30 절에 있어서,
상기 방법은,
복수 개의 상기 컴포넌트 및/또는 이들의 조합 중 상기 하나 이상에 대하여 모델을 트레이닝하는 단계를 더 포함하는, 트레이닝된 모델 획득 방법.
32. 제 31 절에 있어서,
상기 방법은,
상기 하나 이상의 컴포넌트 및/또는 이들의 조합의 각각에 대한 상관 메트릭을 결정하는 초기 단계, 및
상기 트레이닝을 위한 상기 컴포넌트 및/또는 이들의 조합 중 하나 이상을 부여된(attributed) 상관 메트릭에 기반하여 선택하는 단계를 포함하는, 트레이닝된 모델 획득 방법.
33. 제 31 절 또는 제 32 절에 있어서,
상기 하나 이상의 컴포넌트의 각각의 컴포넌트는:
특정 검출 설정 컴포넌트, 두 개의 검출 설정 컴포넌트 사이의 측정된 위치의 차이, 세기 컴포넌트, 임의의 다른 검출된 신호 특성 컴포넌트, 변위 오차 컴포넌트
중 하나 이상에 관련되는, 트레이닝된 모델 획득 방법.
34. 제 30 절 내지 제 33 절 중 어느 한 절에 있어서,
상기 노광전 계측 데이터의 제 1 세트는 정렬 데이터 및/또는 레벨링 데이터를 포함하는, 트레이닝된 모델 획득 방법.
35. 제 24 절 내지 제 34 절 중 어느 한 절에 있어서,
상기 모델의 트레이닝은,
노광전 계측 데이터의 제 1 트레이닝 세트를 처리후 계측 데이터의 대응하는 트레이닝 세트와 상관시키는 것을 포함하는, 트레이닝된 모델 획득 방법.
36. 제 35 절에 있어서,
상관하는 단계는,
모델 매핑을 사용하여 상기 노광전 계측 데이터의 트레이닝 세트로부터 추출된 제 1 피쳐를 상기 처리후 계측 데이터의 트레이닝 세트 내의 대응하는 제 2 피쳐에 상관시키는 것을 포함하는, 트레이닝된 모델 획득 방법.
37. 제 36 절에 있어서,
상기 모델의 트레이닝은, 제 1 피쳐 및 제 2 피쳐 사이의 상관을 나타내는 매핑 매트릭스를 결정하는 것을 포함하는, 트레이닝된 모델 획득 방법.
38. 제 24 절 내지 제 34 절 중 어느 한 절에 있어서,
상기 모델은 신경망 모델을 포함하고,
상기 트레이닝 데이터는 처리후 계측 데이터의 대응하는 트레이닝 세트에 의해 라벨링된 상기 노광전 계측 데이터의 제 1 세트를 포함하는, 트레이닝된 모델 획득 방법.
39. 제 1 절 내지 제 38 절 중 어느 한 절에 있어서,
상기 처리 단계 중 임의의 단계는,
상기 노광전 계측 데이터의 제 1 트레이닝 세트를 상기 기판의 면내 뒤틀림의 예측으로 변환하는 초기 단계를 더 포함하는, 트레이닝된 모델 획득 방법.
40. 제 1 절 내지 제 39 절 중 어느 한 절에 있어서,
상기 성능 메트릭은 오버레이 메트릭 또는 수율 메트릭을 포함하는, 트레이닝된 모델 획득 방법.
41. 제 1 절 내지 제 10 절 중 어느 한 절의 방법을 수행하도록 동작가능한 리소그래피 노광 셀로서,
노광전 계측 데이터의 제 1 세트를 획득하도록 상기 기판을 측정하도록 동작가능한 외부 계측 스테이션; 및
상기 기판 상에 구조체를 노광할 때 상기 성능 메트릭 정정을 사용하도록 동작가능한 리소그래피 장치; 및
적어도 상기 처리 및 적용 단계를 수행하도록 동작가능한 처리 디바이스를 포함하는, 리소그래피 노광 셀.
42. 적절한 장치에서 실행될 때, 제 1 절 내지 제 40 절 중 어느 한 절의 방법을 수행하도록 동작가능한 프로그램 명령을 포함하는 컴퓨터 프로그램.
43. 제 42 절의 컴퓨터 프로그램을 포함하는 비-일시적 컴퓨터 프로그램 캐리어.
44. 제 42 절의 컴퓨터 프로그램을 실행시키도록 동작가능한 처리 디바이스.
45. 반도체 제조 프로세스의 성능 메트릭에 관련된 정정을 결정하기 위한 방법으로서,
처리전 계측 데이터의 제 1 세트를 획득하는 단계;
처리전 계측 데이터를,
a) 성능 메트릭에 상관되거나,
b) 상기 반도체 제조 프로세스의 일부인 제어 프로세스에 의해 적어도 부분적으로 정정가능한
하나 이상의 컴포넌트로 분해하는 것에 의하여, 처리전 계측 데이터의 제 1 세트를 처리하는 단계; 및
상기 반도체 제조 프로세스에 대한 정정을 결정하도록, 트레이닝된 모델을 처리전 계측 데이터의 처리된 제 1 세트에 적용하는 단계를 포함하는, 정정 결정 방법.
46. 제 45 절에 있어서,
상기 반도체 제조 프로세스는 리소그래피 프로세스이고,
상기 처리전 계측 데이터는 상기 리소그래피 프로세스의 대상이 되는 기판과 연관된 노광전 계측 데이터이며,
상기 리소그래피 프로세스는 구조체를 기판에 노광하기 위한 노광 프로세스를 포함하는, 정정 결정 방법.
47. 제 46 절에 있어서,
상기 하나 이상의 컴포넌트는, 상기 리소그래피 프로세스의 일부인 제어 프로세스에 의하여 적어도 부분적으로 정정가능하고,
상기 처리하는 단계는,
상기 노광전 계측 데이터의 제 1 세트로부터 하나 이상의 컴포넌트를 제거하는 것을 더 포함하는, 정정 결정 방법.
48. 제 46 절에 있어서,
상기 방법은,
상기 리소그래피 프로세스의 대상이 되는 각각의 기판에 대하여 개별적으로 수행되는, 정정 결정 방법.
49. 제 46 절에 있어서,
상기 노광전 계측 데이터의 제 1 세트는 기판의 뒤틀림에 관련된 데이터를 포함하는, 정정 결정 방법.
50. 제 47 절에 있어서,
상기 노광전 계측 데이터의 제 1 세트는, 리소그래피 프로세스의 일부인 상기 제어 프로세스에 대해 측정된 것과 유사한 타입이지만 더 조밀하게 측정되는 노광전 계측 데이터인, 정정 결정 방법.
51. 제 47 절에 있어서,
상기 노광전 계측 데이터의 제 1 세트는 상기 노광 프로세스 외부의(external) 프로세스에서 기판 상에서 측정된 것인, 정정 결정 방법.
52. 제 51 절에 있어서,
상기 노광전 계측 데이터의 제 1 세트는, 노광전 계측 데이터의 제 2 세트와 별개인 적어도 외부 정렬 데이터를 포함하고,
상기 노광전 계측 데이터의 제 2 세트는, 노광 프로세스 및 제어 프로세스를 수행하는 노광 장치에 의해 기판 상에서 측정된 스캐너 정렬 데이터를 적어도 포함하는, 정정 결정 방법.
53. 제 51 절에 있어서,
상기 노광전 계측 데이터의 제 1 세트는, 노광전 계측 데이터의 제 2 세트와 별개인 적어도 레벨링 데이터를 적어도 포함하고,
상기 노광전 계측 데이터의 제 2 세트는, 노광 프로세스 및 제어 프로세스를 수행하는 노광 장치에 의해 기판 상에서 측정된 스캐너 레벨링 데이터를 적어도 포함하는, 정정 결정 방법.
54. 제 47 절에 있어서,
상기 리소그래피 프로세스의 일부인 제어 프로세스에 의해 적어도 부분적으로 정정가능한 하나 이상의 컴포넌트는, 정렬 성능 메트릭 정정을 위해 사용되는 모델 및/또는 공간 주파수에 관련되는 컴포넌트 데이터를 포함하는, 정정 결정 방법.
55. 제 45 절 또는 제 46 절에 있어서,
상기 트레이닝된 모델을 적용하는 단계는,
상기 처리된 노광전 계측 데이터로부터 추출된 제 1 피쳐에 기반하여 모델 매핑을 수행하는 것을 포함하고,
상기 모델 매핑은 상기 제 1 피쳐를, 상기 성능 메트릭에 관련된 처리후 계측 데이터에서 이전에 관측된 대응하는 제 2 피쳐로 매핑하도록 동작가능하며,
상기 처리후 계측 데이터는 상기 모델을 트레이닝하기 위하여 사용된 것인, 정정 결정 방법.
56. 제 46 절에 있어서,
상기 트레이닝된 모델은 트레이닝된 신경망 모델을 포함하는, 정정 결정 방법.
57. 제 56 절에 있어서,
상기 방법은,
상기 노광전 계측 데이터의 제 1 세트와 동등한, 노광전 계측 데이터의 제 1 트레이닝 세트를 포함하는 트레이닝 데이터를 획득하고, 상기 성능 메트릭에 관련된 처리후 계측 데이터의 대응하는 트레이닝 세트를 획득하는 단계 - 상기 노광전 계측 데이터의 제 1 세트는 상기 처리후 계측 데이터의 대응하는 트레이닝 세트에 의해 라벨링됨 -;
처리된 노광전 계측 데이터를 획득하도록, 노광전 계측 데이터의 제 1 세트의 상기 처리에 대응하는 방식으로 상기 트레이닝 데이터를 처리하는 단계; 및
상기 모델을 처리된 트레이닝 데이터로 트레이닝하는 단계를 포함하는, 정정 결정 방법.
58. 제 46 절에 있어서,
상기 방법은,
트레이닝된 모델을 트레이닝하는 초기 단계를 포함하고, 상기 트레이닝은,
상기 노광전 계측 데이터의 제 1 세트와 동등한, 노광전 계측 데이터의 제 1 트레이닝 세트를 포함하는 트레이닝 데이터를 획득하고, 상기 성능 메트릭에 관련된 처리후 계측 데이터의 대응하는 트레이닝 세트를 획득하는 것;
처리된 노광전 계측 데이터를 획득하도록, 노광전 계측 데이터의 제 1 세트의 상기 처리에 대응하는 방식으로 상기 트레이닝 데이터를 처리하는 것; 및
상기 노광전 계측 데이터의 제 1 트레이닝 세트를 상기 처리후 계측 데이터의 대응하는 트레이닝 세트와 상관시킴으로써, 상기 모델을 처리된 트레이닝 데이터로 트레이닝하는 것을 포함하는, 정정 결정 방법.
59. 리소그래피 프로세스의 성능 메트릭에 관련된 정정을 결정하기 위한 적어도 하나의 트레이닝된 모델을 획득하는 방법으로서,
노광전 계측 데이터의 제 1 트레이닝 세트를 포함하는 트레이닝 데이터를 획득하고, 상기 성능 메트릭에 관련된 처리후 계측 데이터의 대응하는 트레이닝 세트를 획득하는 단계;
상기 성능 메트릭에 상관되는 노광전 계측 데이터의 하나 이상의 컴포넌트를 포함하는, 처리된 노광전 계측 데이터를 획득하도록 상기 트레이닝 데이터를 처리하는 단계; 및
상기 모델을 처리된 트레이닝 데이터로 트레이닝하는 단계를 포함하는, 트레이닝된 모델 획득 방법.
60. 제 59 절에 있어서,
상기 모델은 신경망 모델을 포함하고,
상기 트레이닝 데이터는 처리후 계측 데이터의 대응하는 트레이닝 세트에 의해 라벨링된 상기 노광전 계측 데이터의 제 1 세트를 포함하는, 트레이닝된 모델 획득 방법.
61. 제 45 절 또는 제 46 절에 있어서,
상기 성능 메트릭은 오버레이 메트릭 또는 수율 메트릭을 포함하는, 트레이닝된 모델 획득 방법.
62. 적합한 장치에서 실행되면 제 45 절 또는 제 46 절의 방법을 수행하도록 동작가능한 프로그램 명령을 포함하는 컴퓨터 프로그램.
63. 제 62 절의 컴퓨터 프로그램을 포함하는 비-일시적 컴퓨터 프로그램 캐리어.
64. 제 62 절의 컴퓨터 프로그램을 실행시키도록 동작가능한 처리 디바이스.
번호가 부여된 절들의 제 2 목록:
1. 리소그래피 프로세스의 성능 메트릭에 관련된 성능 메트릭 정정을 결정하기 위한 방법으로서,
노광전 계측 데이터의 제 1 세트를 획득하는 단계 - 상기 노광전 계측 데이터의 제 1 세트는 조밀한 노광전 계측 데이터를 포함함 -;
처리된 노광전 계측 데이터를 획득하도록, 리소그래피 프로세스의 일부인 제어 프로세스에 의해 적어도 부분적으로 정정가능한 제어 컴포넌트를 제거하도록 상기 노광전 계측 데이터의 제 1 세트를 처리하는 단계; 및
상기 기판에 대한 상기 성능 메트릭 정정을 결정하도록, 트레이닝된 모델을 상기 처리된 노광전 계측 데이터에 적용하는 단계를 포함하는, 정정 결정 방법.
2. 제 1 절에 있어서,
상기 노광전 계측 데이터의 제 1 세트는 기판의 뒤틀림에 관련된 데이터를 포함하는, 정정 결정 방법.
3. 제 1 절 또는 제 2 절에 있어서,
상기 노광전 계측 데이터의 세트는, 리소그래피 프로세스의 일부인 상기 제어 프로세스에 대해 측정된 것과 유사한 타입이지만 더 조밀하게 측정되는 노광전 계측 데이터인, 정정 결정 방법.
4. 제 1 절 내지 제 3 절 중 어느 한 절에 있어서,
상기 노광전 계측 데이터의 제 1 세트는 기판 상에 구조체를 노광하기 위한 노광 프로세스의 외부인 프로세스에서, 기판에서 측정된 바 있는, 정정 결정 방법.
5. 제 4 절에 있어서,
상기 노광전 계측 데이터의 제 1 세트는, 노광전 계측 데이터의 제 2 세트와 별개인 적어도 외부 정렬 데이터를 적어도 포함하고,
상기 노광전 계측 데이터의 제 2 세트는, 노광 프로세스 및 제어 프로세스를 수행하는 노광 장치에 의해 기판 상에서 측정된 스캐너 정렬 데이터를 적어도 포함하는, 정정 결정 방법.
6. 제 4 절 또는 제 5 절에 있어서,
상기 노광전 계측 데이터의 제 1 세트는, 노광전 계측 데이터의 제 2 세트와 별개인 적어도 레벨링 데이터를 적어도 포함하고,
상기 노광전 계측 데이터의 제 2 세트는, 노광 프로세스 및 제어 프로세스를 수행하는 노광 장치에 의해 기판 상에서 측정된 스캐너 레벨링 데이터를 적어도 포함하는, 정정 결정 방법.
7. 제 1 절 내지 제 6 절 중 어느 한 절에 있어서,
상기 방법은 제조 프로세스에서 각각의 기판에 대하여 개별적으로 수행되는, 정정 결정 방법.
8. 제 1 절 내지 제 7 절 중 어느 한 절에 있어서,
상기 트레이닝된 모델을 적용하는 단계는,
상기 처리된 노광전 계측 데이터로부터 추출된 제 1 피쳐에 기반하여 모델 매핑을 수행하는 것을 포함하고,
상기 모델 매핑은 상기 제 1 피쳐를, 상기 성능 메트릭에 관련된 처리후 계측 데이터에서 이전에 관측된 대응하는 제 2 피쳐로 매핑하도록 동작가능하며,
상기 처리후 계측 데이터는 상기 모델을 트레이닝하기 위하여 사용된 것인, 정정 결정 방법.
9. 제 8 절에 있어서,
상기 제 1 피쳐 및 제 2 피쳐는 형상, 다항식 표현, 제르니케 표현, 지문 또는 이들의 임의의 조합 중 하나 이상에 관련되는, 정정 결정 방법.
10. 제 1 절 내지 제 8 절 중 어느 한 절에 있어서,
상기 트레이닝된 모델은 트레이닝된 신경망 모델을 포함하는, 정정 결정 방법.
11. 제 10 절에 있어서,
상기 방법은,
상기 노광전 계측 데이터의 제 1 세트와 동등한, 노광전 계측 데이터의 제 1 트레이닝 세트를 포함하는 트레이닝 데이터를 획득하고, 상기 성능 메트릭에 관련된 처리후 계측 데이터의 대응하는 트레이닝 세트를 획득하는 단계 - 상기 노광전 계측 데이터의 제 1 세트는 상기 처리후 계측 데이터의 대응하는 트레이닝 세트에 의해 라벨링됨 -;
처리된 노광전 계측 데이터를 획득하게끔, 리소그래피 프로세스의 일부인 제어 프로세스에 의하여 적어도 부분적으로 정정가능한 제어 컴포넌트를 제거하도록 상기 트레이닝 데이터를 처리하는 단계; 및
상기 모델을 처리된 트레이닝 데이터로 트레이닝하는 단계를 포함하는, 정정 결정 방법.
12. 제 1 절 내지 제 9 절 중 어느 한 절에 있어서,
상기 방법은,
트레이닝된 모델을 트레이닝하는 초기 단계를 포함하고, 상기 트레이닝은,
상기 노광전 계측 데이터의 제 1 세트와 동등한, 노광전 계측 데이터의 제 1 트레이닝 세트를 포함하는 트레이닝 데이터를 획득하고, 상기 성능 메트릭에 관련된 처리후 계측 데이터의 대응하는 트레이닝 세트를 획득하는 것;
처리된 노광전 계측 데이터를 획득하게끔, 리소그래피 프로세스의 일부인 제어 프로세스에 의하여 적어도 부분적으로 정정가능한 제어 컴포넌트를 제거하도록 상기 트레이닝 데이터를 처리하는 것; 및
상기 노광전 계측 데이터의 제 1 트레이닝 세트를 상기 처리후 계측 데이터의 대응하는 트레이닝 세트와 상관시킴으로써, 상기 모델을 처리된 트레이닝 데이터로 트레이닝하는 것을 포함하는, 정정 결정 방법.
13. 제 12 절에 있어서,
상관하는 단계는,
모델 매핑을 사용하여 상기 노광전 계측 데이터의 트레이닝 세트로부터 추출된 제 1 피쳐를 상기 처리후 계측 데이터의 트레이닝 세트 내의 대응하는 제 2 피쳐에 상관시키는 것을 포함하는, 정정 결정 방법.
14. 제 13 절에 있어서,
상기 모델의 트레이닝은, 제 1 피쳐 및 제 2 피쳐 사이의 상관을 나타내는 매핑 매트릭스를 결정하는 것을 포함하는, 정정 결정 방법.
15. 리소그래피 프로세스의 성능 메트릭에 관련된 성능 메트릭 정정을 결정하기 위한 적어도 하나의 트레이닝된 모델을 획득하는 방법으로서,
조밀한 노광전 계측 데이터를 포함하는 노광전 계측 데이터의 제 1 트레이닝 세트를 포함하는 트레이닝 데이터를 획득하고, 상기 성능 메트릭에 관련된 처리후 계측 데이터의 대응하는 트레이닝 세트를 획득하는 단계;
처리된 노광전 계측 데이터를 획득하게끔, 리소그래피 프로세스의 일부인 제어 프로세스에 의하여 적어도 부분적으로 정정가능한 제어 컴포넌트를 제거하도록 상기 트레이닝 데이터를 처리하는 단계; 및
상기 모델을 처리된 트레이닝 데이터로 트레이닝하는 단계를 포함하는, 트레이닝된 모델 획득 방법.
16. 제 15 절에 있어서,
상기 노광전 계측 데이터의 트레이닝 세트는, 리소그래피 프로세스의 일부인 상기 제어 프로세스에 대해 측정된 것과 유사한 타입이지만 더 조밀하게 측정되는 노광전 계측 데이터인, 트레이닝된 모델 획득 방법.
17. 제 15 절 또는 제 16 절에 있어서,
데이터는 기판 상에 구조체를 노광하기 위한 노광 프로세스 외부의(external) 프로세스에서 복수 개의 기판에서 측정된 바 있는, 트레이닝된 모델 획득 방법.
18. 제 17 절에 있어서,
상기 노광전 계측 데이터의 제 1 세트는, 노광전 계측 데이터의 제 2 세트와 별개인 적어도 외부 정렬 데이터를 적어도 포함하고,
상기 노광전 계측 데이터의 제 2 세트는 노광 프로세스 및 예비적 제어 프로세스를 수행하는 노광 장치에 의해 기판 상에서 측정된 스캐너 정렬 데이터를 적어도 포함하는, 트레이닝된 모델 획득 방법.
19. 제 17 절 또는 제 18 절에 있어서,
상기 노광전 계측 데이터의 제 1 세트는, 노광전 계측 데이터의 제 2 세트와 별개인 적어도 레벨링 데이터를 적어도 포함하고,
상기 노광전 계측 데이터의 제 2 세트는 노광 프로세스 및 예비적 제어 프로세스를 수행하는 노광 장치에 의해 기판 상에서 측정된 스캐너 레벨링 데이터를 적어도 포함하는, 트레이닝된 모델 획득 방법.
20. 제 15 절 내지 제 19 절 중 어느 한 절에 있어서,
상기 모델의 트레이닝은,
노광전 계측 데이터의 제 1 트레이닝 세트를 처리후 계측 데이터의 대응하는 트레이닝 세트와 상관시키는 것을 포함하는, 트레이닝된 모델 획득 방법.
21. 제 20 절에 있어서,
상관하는 단계는,
모델 매핑을 사용하여 상기 노광전 계측 데이터의 트레이닝 세트로부터 추출된 제 1 피쳐를 상기 처리후 계측 데이터의 트레이닝 세트 내의 대응하는 제 2 피쳐에 상관시키는 것을 포함하는, 트레이닝된 모델 획득 방법.
22. 제 21 절에 있어서,
상기 모델의 트레이닝은, 제 1 피쳐 및 제 2 피쳐 사이의 상관을 나타내는 매핑 매트릭스를 결정하는 것을 포함하는, 트레이닝된 모델 획득 방법.
23. 제 15 절 내지 제 19 절 중 어느 한 절에 있어서,
상기 모델은 신경망 모델을 포함하고,
상기 트레이닝 데이터는 처리후 계측 데이터의 대응하는 트레이닝 세트에 의해 라벨링된 상기 노광전 계측 데이터의 제 1 세트를 포함하는, 트레이닝된 모델 획득 방법.
24. 제 1 절 내지 제 23 절 중 어느 한 절에 있어서,
상기 처리 단계 중 임의의 단계는,
상기 노광전 계측 데이터의 제 1 트레이닝 세트를 상기 기판의 면내 뒤틀림의 예측으로 변환하는 초기 단계를 더 포함하는, 트레이닝된 모델 획득 방법.
25. 제 1 절 내지 제 24 절 중 어느 한 절에 있어서,
상기 성능 메트릭은 오버레이 메트릭을 포함하는, 트레이닝된 모델 획득 방법.
26. 제 1 절 내지 제 25 절 중 어느 한 절에 있어서,
상기 스캐너 콘텐츠는, 기판 정렬 제어를 포함하는 상기 예비적 제어 프로세스에서의 정렬 성능 메트릭 정정을 위해 사용되는 모델 및/또는 공간 주파수와 관련된 데이터를 포함하는, 트레이닝된 모델 획득 방법.
27. 제 1 절 내지 제 12 절 중 어느 한 절의 방법을 수행하도록 동작가능한 리소그래피 노광 셀로서,
노광전 계측 데이터의 제 1 세트를 획득하도록 상기 기판을 측정하도록 동작가능한 외부 계측 스테이션; 및
상기 기판 상에 구조체를 노광할 때 상기 성능 메트릭 정정을 사용하도록 동작가능한 리소그래피 장치; 및
적어도 상기 처리 및 적용 단계를 수행하도록 동작가능한 처리 디바이스를 포함하는, 리소그래피 노광 셀.
28. 적절한 장치에서 실행될 때, 제 1 절 내지 제 26 절 중 어느 한 절의 방법을 수행하도록 동작가능한 프로그램 명령을 포함하는 컴퓨터 프로그램.
29. 제 28 절의 컴퓨터 프로그램을 포함하는 비-일시적 컴퓨터 프로그램 캐리어.
30. 제 28 절의 컴퓨터 프로그램을 실행시키도록 동작가능한 처리 디바이스.
본 명세서에서 IC를 제조하는 분야에 리소그래피 장치를 이용하는 것에 대해 특히 언급될 수 있지만, 본원에서 기술된 리소그래피 장치는 다른 응용예를 가질 수 있음이 이해돼야 한다. 가능한 다른 적용예는 집적 광학 시스템, 자기 도메인 메모리(magnetic domain memory) 용 가이드 및 검출 패턴(guidance and detection pattern), 평판 디스플레이, LCD(Liquid Crystal Display), 박막 자기 헤드 등의 제조를 포함한다. 이러한 관점에서, 처리된 "기판"은 반도체 웨이퍼일 수 있고, 또는 이들은 제조되는 제품의 타입에 따른 다른 기판일 수도 있다.
비록 본 명세서에서 리소그래피 장치의 맥락에서 본 발명의 실시예가 특정하게 참조되었지만, 본 발명의 실시예는 다른 장치에서도 사용될 수 있다. 본 발명의 실시예는 패터닝 디바이스 검사 장치, 계측 장치, 또는 웨이퍼(또는 다른 기판) 또는 마스크(또는 다른 패터닝 디바이스)와 같은 대상물을 측정하거나 처리하는 임의의 장치의 일부가 될 수 있다. 이러한 장치는 일반적으로 리소그래피 툴이라고 지칭될 수 있다. 이러한 리소그래피 툴은 진공 조건 또는 주변(비-진공) 조건을 사용할 수 있다.
본 명세서에서, "방사선" 및 "빔"이라는 용어는 자외 방사선(예를 들어 365, 248, 193, 157 또는 126 nm의 파장을 가지는 방사선) 및 EUV(예를 들어 약 5-100 nm 범위의 파장을 가지는 극자외 방사선)를 포함하는 모든 타입의 방사선을 망라하도록 사용된다.
"레티클", "마스크" 또는 "패터닝 디바이스"라는 용어는 본 명세서에서 채용될 때, 인입하는 방사선 빔에 기판의 타겟부 내에 생성될 패턴에 대응하여 패터닝된 단면을 부여하기 위하여 사용될 수 있는 일반적 패터닝 디바이스를 지칭하는 것으로 넓게 해석될 수 있다. "광 밸브"라는 용어도 이러한 콘텍스트에서 사용될 수 있다. 전통적인 마스크(투과성 또는 반사성; 이진, 페이즈-시프트, 하이브리드 등) 외에, 다른 이러한 패터닝 디바이스들의 예에는 프로그램가능 미러 어레이 및 프로그램가능(LCD) 어레이가 포함된다.
비록 특정한 참조가 위에서 광 리소그래피의 콘텍스트에서의 본 발명의 실시예의 사용에 대하여 이루어졌지만, 콘텍스트가 허용하는 경우 본 발명은 광학 리소그래피로 한정되지 않고, 다른 애플리케이션, 예를 들어 임프린트(imprint) 리소그래피에서 사용될 수도 있다는 것이 인정될 것이다.
본 명세서에서 사용될 때 "최적화하기" 및 "최적화"라는 용어는 결과 및/또는 프로세스가 기판 상의 설계 패턴의 투영의 더 높은 정확도, 더 넓은 프로세스 윈도우 등과 같은 더 바람직한 특성을 가지도록, 장치(예를 들어, 리소그래피 장치), 프로세스 등을 조절하는 것을 가리키거나 의미한다. 따라서, "최적화함(optimizing)" 및 "최적화(optimization)" 라는 용어는 본 명세서에서 사용될 때, 하나 이상의 파라미터에 대한 하나 이상의 값들의 초기 세트와 비교할 때, 적어도 하나의 관련된 메트릭에서, 개선, 예를 들어 국지적인 최적값을 제공하는, 그러한 하나 이상의 파라미터에 대한 하나 이상의 값들을 식별하는 프로세스를 가리키거나 의미한다. "최적" 및 다른 관련된 용어는 이에 상응하게 해석되어야 한다. 일 실시예에서, 최적화 단계는 하나 이상의 메트릭에서 추가적인 개선을 제공하도록 반복적으로 적용될 수 있다.
본 발명의 양태들은 임의의 편리한 형태로 구현될 수 있다. 예를 들어, 일 실시형태는 유형의 캐리어 매체(예를 들어 디스크) 또는 무형의 캐리어 매체(예를 들어 통신 신호)일 수 있는 적절한 캐리어 매체에 소지될 수 있는 하나 이상의 적절한 컴퓨터 프로그램에 의해 구현될 수 있다. 본 발명의 실시예는 특히 본 명세서에서 설명된 바와 같은 방법을 구현하도록 구성되는 컴퓨터 프로그램을 실행하는 프로그래밍가능한 컴퓨터의 형태를 띨 수 있는 적합한 장치를 사용하여 구현될 수 있다.
블록도에서, 예시된 컴포넌트들은 이산 기능성 블록으로서 도시되지만, 실시예들은 본 명세서에서 설명된 기능성이 도시된 바와 같이 조직된 시스템으로 한정되지 않는다. 컴포넌트들 각각에 의해 제공되는 기능성은 현재 설명된 것 다르게 조직된 소프트웨어 또는 하드웨어 모듈에 의해 제공될 수 있고, 예를 들어 이러한 소프트웨어 또는 하드웨어는 상호 혼합(intermingled), 결합(conjoined), 복제(replicated), 분해(broken up), 분산되거나(예를 들어 데이터 센터 내에 또는 지리적으로), 그렇지 않으면 다르게 조직화될 수 있다. 본 명세서에서 설명된 기능성은 유형의(tangible) 비-일시적 머신 판독가능 매체에 저장된 코드를 실행하는 하나 이상의 컴퓨터의 하나 이상의 프로세서에 의해 제공될 수 있다. 일부 경우에, 제 3 자 콘텐츠 전달 네트워크가 네트워크들을 거쳐 전달되는 정보의 일부 또는 전부를 호스팅할 수 있는데, 이러한 경우에, 정보(예를 들어, 콘텐츠)가 공급되거나 다른 방식으로 제공된다고 언급되는 범위에서, 이러한 정보는 해당 정보를 콘텐츠 전달 네트워크로부터 취출하라는 명령을 전송함으로써 제공한다.
명백하게 달리 진술되지 않는 한, 본 명세서로부터 명백한 것처럼, 명세서 전체를 통해 "처리" "계산" "연산" "결정" 등과 같은 용어를 활용한 설명은 또는 특수 목적 컴퓨터 또는 유사한 특수 목적 전자적 처리/계산 디바이스와 같은 특정 장치의 동작 또는 프로세스를 가리키는 것이라는 것이 이해된다.
독자는 본 발명이 여러 개의 발명을 기술한다는 것을 이해해야 한다. 그들의 관련된 기술 요지가 출원 프로세스에서 경제성을 스스로 가질 수 있기 때문에, 그러한 발명들을 다수의 개별 특허 출원으로 분리하는 것보다, 이러한 발명들은 단일 문서 내에 그룹화되었다. 하지만 이러한 발명들의 별개의 장점 및 양태들은 합쳐져서는 안 된다. 일부 경우에, 실시예들은 본 명세서에 지적되지 않은 흠결들 모두를 해결하지만, 이러한 발명들이 독립적으로 유용하며, 일부 실시예는 이러한 문제점들의 부분 세트만을 해결하거나, 본 명세서를 검토한 당업자에게는 명백하게 이해될 언급되지 않은 다른 장점들을 제공한다는 것이 이해되어야 한다. 비용 제약 때문에, 본 명세서에 개시된 일부 발명은 현 상태로는 청구되지 않으며, 계속 출원과 같은 후속하는 출원에서 또는 현재의 청구항을 보정함으로써 청구될 수 있다. 유사하게, 공간 제약 때문에, 본 명세서의 요약서 및 요약 섹션은 이러한 모든 발명들의 광범위한 목록 또는 이러한 발명들의 모든 양태들을 포함하고 있는 것으로 여겨져서는 안 된다.
상세한 설명 및 도면은 본 발명을 개시된 특정한 형태로 한정시키려는 의도가 전혀 없으며, 그 반대로 첨부된 청구범위에 규정되는 바와 같은 본 발명의 사상 및 범위에 속하는 모든 변형예, 균등물, 및 대체예들을 포함하는 것이 의도된다는 것이 이해되어야 한다.
본 발명의 다양한 양태의 변형 및 대안적 실시예는 본 명세서를 참조하면 당업자들에게 명백하게 이해될 것이다. 따라서, 이러한 상세한 설명 및 도면은 오직 예를 들기 위한 것이고 당업자들에게 본 발명을 실시하는 일반적인 방식을 알려주기 위한 것으로 해석되어야 한다. 본 명세서에서 도시되고 설명되는 본 발명의 형태들이 실시예들의 예로서 간주되어야 한다는 것이 이해되어야 한다.
상세한 설명의 장점을 가지면 당업자에게 명백해질 수 있는 것처럼, 요소 및 재료는 본 명세서에서 예시되고 설명되는 것들을 대체할 수 있고, 부분들과 프로세스들은 반전되거나 생략될 수 있으며, 특정 특징들은 독립적으로 활용될 수 있고, 실시예들 또는 실시예의 특징들은 결합될 수 있다. 후속하는 청구범위에서 기술되는 바와 같은 본 발명의 사상 및 범위에서 벗어나지 않으면서, 본 명세서에서 설명되는 요소는 변경될 수 있다. 본 명세서의 각주는 기관의 편의만을 위한 것이고 본 발명의 범위를 한정하는 것을 의미하지 않는다.
본 명세서 전체에서 사용될 때, "~ 수 있다(may)"는 단어는 강제적인 의미(즉, 해야 함(must)을 의미)하는 것이 아니라 허용하는 의미(즉, 가능성이 있음을 의미)에서 사용된다. 단어 "포함", "포함하는", 및 "포함한다" 등은, 포함하지만 그것으로 제한되는 것은 아니라는 것을 의미한다. 본 명세서 전체에서 사용될 때, 단수 형태인 "한" "하나" 및 "그것"은 문맥이 그렇지 않다고 명백하게 표시하지 않으면 복수의 참조 부재를 포함한다. 따라서, 예를 들어 "하나의(an)" 요소 또는 "한(a)" 요소를 가리키는 것은, "하나 이상의"와 같이 하나 이상의 요소에 대해서 다른 용어 및 어구가 있지만, 두 개 이상의 요소의 조합을 포함한다. 용어 "또는"은, 그렇지 않다고 표시되지 않으면, 비-배타적이고, 즉, "및"과 "또는" 양자 모두를 망라한다. 조건 관계를 설명하는 용어, 예를 들어 "X에 응답하여 Y가", "X의 경우, Y가", "X면, Y가," "X일 경우, Y가" 등은, 선행사가 결과의 필요적 인과 조건이거나, 선행사가 충분한 인과 조건이거나, 또는 선행사가 원인이 되는(constributory) 인과 조건인 인과 관계들을 망라하는데, 예를 들어 "조건 Y가 달성되면 상태 X가 발생한다"는 "Y의 경우에만 X가 발생한다 " 및 Y 및 Z의 경우 "X가 발생한다"에 대한 통칭이다. 이러한 조건 관계는 선행사가 달성되는 것에 바로 후속하는 결과로 한정되지 않는데 이것은 일부 결과가 지연될 수 있기 때문이고, 조건부 진술에서, 선행사는 그 결과와 연결되는데, 예를 들어 선행사는 결과가 발생할 가능성과 관련된다. 달리 표시되지 않는 한, 복수 개의 속성 또는 기능이 복수 개의 대상물로 매핑되는 작업 명령(예를 들어, 하나 이상의 프로세서가 단계 A, B, C, 및 D를 수행하는 것)은 모든 이러한 속성 또는 기능이 모든 이러한 대상물로 매핑되는 것 및 속성 또는 기능의 서브세트가 속성 또는 기능의 서브세트로 매핑되는 것 양자 모두를 망라한다(예를 들어, 모든 프로세서가 단계 A 내지 D를 각각 수행하는 것과, 프로세서 1이 단계 A를 수행하고, 프로세서 2가 단계 B 및 단계 C의 일부를 수행하며, 프로세서 3이 단계 C의 일부 및 단계 D를 수행하는 것 양자 모두가 가능함). 더 나아가, 달리 표시되지 않는 한, 하나의 값 또는 동작이 다른 조건 또는 값에 "기초한다"는 진술은, 조건 또는 값이 유일한 인자인 경우 및 조건 또는 값이 여러 인자들 중 하나의 인자인 경우 양자 모두를 망라한다. 달리 표시되지 않는 한, 일부 콜렉션 중 "각각의" 인스턴스가 일부 특성을 가진다는 진술은, 더 큰 콜렉션의 일부의 그렇지 않으면 동일하거나 유사한 원소들이 그러한 특성을 가지지 않는 경우를 배제하는 것으로 해석되어서는 안 되고, 즉 각각이란 반드시 각각 그리고 모두를 의미하는 것은 아니다. 어떤 범위로부터 선택한다는 것은 해당 범위의 끝점들을 포함한다.
위의 설명에서, 임의의 프로세스, 설명 또는 흐름도 내의 블록은, 특정한 논리 함수 또는 프로세스 내의 단계를 구현하기 위한 하나 이상의 실행가능한 명령을 포함하는 모듈, 세그먼트 또는 코드의 부분을 나타내는 것으로 이해되어야 하고, 대안적인 구현형태들은 본 발명의 진보적인 내용들의 예시적인 실시예의 범위 내에 포함되고, 수반되는 기능에 의존하여 당업자들에 의해 이해될 수 있는 것처럼 기능들은 실질적으로 동시에 또는 반대 순서를 포함하여 도시되거나 논의된 것과 다른 순서로 실행될 수 있다.
비록 본 발명의 특정한 실시예가 위에서 설명되었지만, 본 발명은 설명된 것과 다르게 실시될 수 있다는 것이 이해될 것이다. 위의 설명은 한정적인 것이 아니라 예시적인 의도로 제공된다. 따라서, 다음 진술되는 청구항의 범위로부터 벗어나지 않으면서, 설명된 바와 같은 본 발명에 변경이 이루어질 수 있다는 것이 당업자에게는 명백할 것이다.

Claims (20)

  1. 반도체 제조 프로세스의 성능 메트릭에 관련된 정정을 결정하기 위한 방법으로서,
    처리전 계측 데이터의 제 1 세트를 획득하는 단계;
    처리전 계측 데이터를,
    a) 성능 메트릭에 상관되거나,
    b) 상기 반도체 제조 프로세스의 일부인 제어 프로세스에 의해 적어도 부분적으로 정정가능한
    하나 이상의 컴포넌트로 분해하는 것을 포함하여, 상기 처리전 계측 데이터의 제 1 세트를 처리하는 단계; 및
    상기 반도체 제조 프로세스에 대한 정정을 결정하도록, 트레이닝된 모델을 처리전 계측 데이터의 처리된 제 1 세트에 적용하는 단계를 포함하는, 정정 결정 방법.
  2. 제 1 항에 있어서,
    상기 반도체 제조 프로세스는 리소그래피 프로세스이고,
    상기 처리전 계측 데이터는 상기 리소그래피 프로세스의 대상이 되는 기판과 연관된 노광전 계측 데이터이며,
    상기 리소그래피 프로세스는 구조체를 기판에 노광하기 위한 노광 프로세스를 포함하는, 정정 결정 방법.
  3. 제 2 항에 있어서,
    상기 하나 이상의 컴포넌트는, 상기 리소그래피 프로세스의 일부인 제어 프로세스에 의하여 적어도 부분적으로 정정가능하고,
    상기 처리하는 단계는,
    상기 노광전 계측 데이터의 제 1 세트로부터 하나 이상의 컴포넌트를 제거하는 것을 더 포함하는, 정정 결정 방법.
  4. 제 2 항에 있어서,
    상기 방법은,
    상기 리소그래피 프로세스의 대상이 되는 각각의 기판에 대하여 개별적으로 수행되는, 정정 결정 방법.
  5. 제 2 항에 있어서,
    상기 노광전 계측 데이터의 제 1 세트는 기판의 뒤틀림에 관련된 데이터를 포함하는, 정정 결정 방법.
  6. 제 3 항에 있어서,
    상기 노광전 계측 데이터의 제 1 세트는, 리소그래피 프로세스의 일부인 상기 제어 프로세스에 대해 측정된 것과 유사한 타입이지만 더 조밀하게 측정되는 노광전 계측 데이터인, 정정 결정 방법.
  7. 제 3 항에 있어서,
    상기 노광전 계측 데이터의 제 1 세트는 상기 노광 프로세스 외부의(external) 프로세스에서 기판 상에서 측정된 것인, 정정 결정 방법.
  8. 제 7 항에 있어서,
    상기 노광전 계측 데이터의 제 1 세트는, 노광전 계측 데이터의 제 2 세트와 별개인 외부 정렬 데이터를 포함하고,
    상기 노광전 계측 데이터의 제 2 세트는, 노광 프로세스 및 제어 프로세스를 수행하는 노광 장치에 의해 기판 상에서 측정된 스캐너 정렬 데이터를 적어도 포함하는, 정정 결정 방법.
  9. 제 7 항에 있어서,
    상기 노광전 계측 데이터의 제 1 세트는, 노광전 계측 데이터의 제 2 세트와 별개인 외부 레벨링 데이터를 적어도 포함하고,
    상기 노광전 계측 데이터의 제 2 세트는, 노광 프로세스 및 제어 프로세스를 수행하는 노광 장치에 의해 기판 상에서 측정된 스캐너 레벨링 데이터를 적어도 포함하는, 정정 결정 방법.
  10. 제 3 항에 있어서,
    상기 리소그래피 프로세스의 일부인 제어 프로세스에 의해 적어도 부분적으로 정정가능한 하나 이상의 컴포넌트는, 정렬 성능 메트릭 정정을 위해 사용되는 모델 및/또는 공간 주파수에 관련되는 컴포넌트 데이터를 포함하는, 정정 결정 방법.
  11. 제 1 항 또는 제 2 항에 있어서,
    상기 트레이닝된 모델을 적용하는 단계는,
    상기 처리된 노광전 계측 데이터로부터 추출된 제 1 피쳐에 기반하여 모델 매핑을 수행하는 것을 포함하고,
    상기 모델 매핑은 상기 제 1 피쳐를, 상기 성능 메트릭에 관련된 처리후 계측 데이터에서 이전에 관측된 대응하는 제 2 피쳐로 매핑하도록 동작가능하며,
    상기 처리후 계측 데이터는 상기 모델을 트레이닝하기 위하여 사용된 것인, 정정 결정 방법.
  12. 제 2 항에 있어서,
    상기 트레이닝된 모델은 트레이닝된 신경망 모델을 포함하는, 정정 결정 방법.
  13. 제 12 항에 있어서,
    상기 방법은,
    상기 노광전 계측 데이터의 제 1 세트와 동등한, 노광전 계측 데이터의 제 1 트레이닝 세트를 포함하는 트레이닝 데이터를 획득하고, 상기 성능 메트릭에 관련된 처리후 계측 데이터의 대응하는 트레이닝 세트를 획득하는 단계 - 상기 노광전 계측 데이터의 제 1 세트는 상기 처리후 계측 데이터의 대응하는 트레이닝 세트에 의해 라벨링됨 -;
    처리된 노광전 계측 데이터를 획득하도록, 노광전 계측 데이터의 제 1 세트의 상기 처리에 대응하는 방식으로 상기 트레이닝 데이터를 처리하는 단계; 및
    상기 모델을 처리된 트레이닝 데이터로 트레이닝하는 단계를 포함하는, 정정 결정 방법.
  14. 제 2 항에 있어서,
    상기 방법은,
    트레이닝된 모델을 트레이닝하는 초기 단계를 포함하고, 상기 트레이닝은,
    상기 노광전 계측 데이터의 제 1 세트와 동등한, 노광전 계측 데이터의 제 1 트레이닝 세트를 포함하는 트레이닝 데이터를 획득하고, 상기 성능 메트릭에 관련된 처리후 계측 데이터의 대응하는 트레이닝 세트를 획득하는 것;
    처리된 노광전 계측 데이터를 획득하도록, 노광전 계측 데이터의 제 1 세트의 상기 처리에 대응하는 방식으로 상기 트레이닝 데이터를 처리하는 것; 및
    상기 노광전 계측 데이터의 제 1 트레이닝 세트를 상기 처리후 계측 데이터의 대응하는 트레이닝 세트와 상관시킴으로써, 상기 모델을 처리된 트레이닝 데이터로 트레이닝하는 것을 포함하는, 정정 결정 방법.
  15. 리소그래피 프로세스의 성능 메트릭에 관련된 정정을 결정하기 위한 적어도 하나의 트레이닝된 모델을 획득하는 방법으로서,
    노광전 계측 데이터의 제 1 트레이닝 세트를 포함하는 트레이닝 데이터를 획득하고, 상기 성능 메트릭에 관련된 처리후 계측 데이터의 대응하는 트레이닝 세트를 획득하는 단계;
    상기 성능 메트릭에 상관되는 노광전 계측 데이터의 하나 이상의 컴포넌트를 포함하는, 처리된 노광전 계측 데이터를 획득하도록 상기 트레이닝 데이터를 처리하는 단계; 및
    상기 모델을 처리된 트레이닝 데이터로 트레이닝하는 단계를 포함하는, 트레이닝된 모델 획득 방법.
  16. 제 15 항에 있어서,
    상기 모델은 신경망 모델을 포함하고,
    상기 트레이닝 데이터는 처리후 계측 데이터의 대응하는 트레이닝 세트에 의해 라벨링된 상기 노광전 계측 데이터의 제 1 세트를 포함하는, 트레이닝된 모델 획득 방법.
  17. 제 1 항 또는 제 2 항에 있어서,
    상기 성능 메트릭은 오버레이 메트릭 또는 수율 메트릭을 포함하는, 트레이닝된 모델 획득 방법.
  18. 적합한 장치에서 실행되면 제 1 항 또는 제 2 항의 방법을 수행하도록 동작가능한 프로그램 명령을 포함하는 컴퓨터 프로그램.
  19. 제 18 항의 컴퓨터 프로그램을 포함하는 비일시적 컴퓨터 프로그램 캐리어.
  20. 제 18 항의 컴퓨터 프로그램을 실행시키도록 동작가능한 처리 디바이스.
KR1020217018442A 2018-12-19 2019-11-14 제조 프로세스를 제어하기 위한 방법 및 관련 장치 KR102612908B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
EP18214013.7A EP3671347A1 (en) 2018-12-19 2018-12-19 Method for controling a manufacturing process and associated apparatuses
EP18214013.7 2018-12-19
US201962802866P 2019-02-08 2019-02-08
US62/802,866 2019-02-08
PCT/EP2019/081282 WO2020126242A1 (en) 2018-12-19 2019-11-14 Method for controlling a manufacturing process and associated apparatuses

Publications (2)

Publication Number Publication Date
KR20210089243A true KR20210089243A (ko) 2021-07-15
KR102612908B1 KR102612908B1 (ko) 2023-12-11

Family

ID=64746152

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020217018442A KR102612908B1 (ko) 2018-12-19 2019-11-14 제조 프로세스를 제어하기 위한 방법 및 관련 장치

Country Status (5)

Country Link
US (1) US11947266B2 (ko)
EP (2) EP3671347A1 (ko)
KR (1) KR102612908B1 (ko)
TW (1) TWI722699B (ko)
WO (1) WO2020126242A1 (ko)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20230140608A (ko) * 2018-01-24 2023-10-06 에이에스엠엘 네델란즈 비.브이. 컴퓨테이션 계측법 기반 샘플링 스킴
US11499992B2 (en) * 2018-11-27 2022-11-15 Tokyo Electron Limited Inspection system
CN112506001B (zh) * 2020-12-16 2024-01-05 上海华力集成电路制造有限公司 过滤光刻模型中特定图形无效量测数据的方法
US12009269B2 (en) * 2021-06-28 2024-06-11 Sandisk Technologies Llc Virtual metrology for feature profile prediction in the production of memory devices

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130035888A1 (en) * 2011-04-06 2013-02-07 Kla-Tencor Corporation Method and system for providing a quality metric for improved process control
WO2018072980A1 (en) * 2016-10-21 2018-04-26 Asml Netherlands B.V. Methods of determining corrections for a patterning process
KR20180073704A (ko) * 2015-11-19 2018-07-02 케이엘에이-텐코 코포레이션 설계 정보로부터의 시뮬레이션된 이미지의 생성
KR20180116388A (ko) * 2016-03-01 2018-10-24 에이에스엠엘 네델란즈 비.브이. 패터닝 프로세스 파라미터를 결정하는 방법 및 장치

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
SG2010050110A (en) 2002-11-12 2014-06-27 Asml Netherlands Bv Lithographic apparatus and device manufacturing method
CN108369412B (zh) 2015-10-08 2020-10-16 Asml荷兰有限公司 用于控制工业过程的方法和设备
EP3358415A1 (en) 2017-02-07 2018-08-08 ASML Netherlands B.V. Methods for controlling lithographic apparatus, lithographic apparatus and device manufacturing method
EP3364247A1 (en) 2017-02-17 2018-08-22 ASML Netherlands B.V. Methods & apparatus for monitoring a lithographic manufacturing process

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130035888A1 (en) * 2011-04-06 2013-02-07 Kla-Tencor Corporation Method and system for providing a quality metric for improved process control
KR20180073704A (ko) * 2015-11-19 2018-07-02 케이엘에이-텐코 코포레이션 설계 정보로부터의 시뮬레이션된 이미지의 생성
KR20180116388A (ko) * 2016-03-01 2018-10-24 에이에스엠엘 네델란즈 비.브이. 패터닝 프로세스 파라미터를 결정하는 방법 및 장치
WO2018072980A1 (en) * 2016-10-21 2018-04-26 Asml Netherlands B.V. Methods of determining corrections for a patterning process

Also Published As

Publication number Publication date
EP3899662A1 (en) 2021-10-27
CN113196174A (zh) 2021-07-30
US20220026810A1 (en) 2022-01-27
TWI722699B (zh) 2021-03-21
WO2020126242A1 (en) 2020-06-25
KR102612908B1 (ko) 2023-12-11
TW202038017A (zh) 2020-10-16
EP3671347A1 (en) 2020-06-24
EP3899662B1 (en) 2022-06-01
US11947266B2 (en) 2024-04-02

Similar Documents

Publication Publication Date Title
WO2018153711A1 (en) Computational metrology
KR102612908B1 (ko) 제조 프로세스를 제어하기 위한 방법 및 관련 장치
WO2017067748A1 (en) Method and apparatus to reduce effects of nonlinear behavior
EP3729197A1 (en) Computational metrology based correction and control
WO2017202665A1 (en) Focus and overlay improvement by modifying a patterning device
CN113196174B (zh) 用于控制制造过程的方法和相关设备
EP3654104A1 (en) Method for monitoring lithographic apparatus
EP4134746A1 (en) A method for modeling measurement data over a substrate area and associated apparatuses
TWI839789B (zh) 用於將一基板區域量測資料模型化之方法及其相關裝置
EP4071554A1 (en) A method for modeling measurement data over a substrate area and associated apparatuses
TWI824461B (zh) 將基板區域之量測資料模型化的方法及其相關設備
US20230393487A1 (en) A method for modeling measurement data over a substrate area and associated apparatuses
EP4134745A1 (en) A method for modeling measurement data over a substrate area and associated apparatuses
EP4212961A1 (en) Lithographic performance qualification and associated apparatuses
US20230221655A1 (en) A method for modeling measurement data over a substrate area and associated apparatuses
CN117120933A (zh) 对衬底区域上的测量数据进行建模的方法及相关联的装置
TW202036167A (zh) 用以根據來自處理站之個別貢獻來特徵化後處理資料的方法

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant