KR20210081123A - 위상 변환을 위한 장치 및 방법 - Google Patents

위상 변환을 위한 장치 및 방법 Download PDF

Info

Publication number
KR20210081123A
KR20210081123A KR1020190173352A KR20190173352A KR20210081123A KR 20210081123 A KR20210081123 A KR 20210081123A KR 1020190173352 A KR1020190173352 A KR 1020190173352A KR 20190173352 A KR20190173352 A KR 20190173352A KR 20210081123 A KR20210081123 A KR 20210081123A
Authority
KR
South Korea
Prior art keywords
phase shift
sub
phase
path
circuit
Prior art date
Application number
KR1020190173352A
Other languages
English (en)
Inventor
김윤건
최승호
고승태
김영섭
이영주
Original Assignee
삼성전자주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자주식회사 filed Critical 삼성전자주식회사
Priority to KR1020190173352A priority Critical patent/KR20210081123A/ko
Priority to CN202080089841.8A priority patent/CN114868307A/zh
Priority to EP20906017.7A priority patent/EP4052334A4/en
Priority to PCT/KR2020/018467 priority patent/WO2021132976A1/en
Priority to US17/124,926 priority patent/US11469499B2/en
Publication of KR20210081123A publication Critical patent/KR20210081123A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01QANTENNAS, i.e. RADIO AERIALS
    • H01Q1/00Details of, or arrangements associated with, antennas
    • H01Q1/12Supports; Mounting means
    • H01Q1/22Supports; Mounting means by structural association with other equipment or articles
    • H01Q1/24Supports; Mounting means by structural association with other equipment or articles with receiving set
    • H01Q1/241Supports; Mounting means by structural association with other equipment or articles with receiving set used in mobile communications, e.g. GSM
    • H01Q1/246Supports; Mounting means by structural association with other equipment or articles with receiving set used in mobile communications, e.g. GSM specially adapted for base stations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01QANTENNAS, i.e. RADIO AERIALS
    • H01Q3/00Arrangements for changing or varying the orientation or the shape of the directional pattern of the waves radiated from an antenna or antenna system
    • H01Q3/26Arrangements for changing or varying the orientation or the shape of the directional pattern of the waves radiated from an antenna or antenna system varying the relative phase or relative amplitude of energisation between two or more active radiating elements; varying the distribution of energy across a radiating aperture
    • H01Q3/30Arrangements for changing or varying the orientation or the shape of the directional pattern of the waves radiated from an antenna or antenna system varying the relative phase or relative amplitude of energisation between two or more active radiating elements; varying the distribution of energy across a radiating aperture varying the relative phase between the radiating elements of an array
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01QANTENNAS, i.e. RADIO AERIALS
    • H01Q15/00Devices for reflection, refraction, diffraction or polarisation of waves radiated from an antenna, e.g. quasi-optical devices
    • H01Q15/0006Devices acting selectively as reflecting surface, as diffracting or as refracting device, e.g. frequency filtering or angular spatial filtering devices
    • H01Q15/0013Devices acting selectively as reflecting surface, as diffracting or as refracting device, e.g. frequency filtering or angular spatial filtering devices said selective devices working as frequency-selective reflecting surfaces, e.g. FSS, dichroic plates, surfaces being partly transmissive and reflective
    • H01Q15/002Devices acting selectively as reflecting surface, as diffracting or as refracting device, e.g. frequency filtering or angular spatial filtering devices said selective devices working as frequency-selective reflecting surfaces, e.g. FSS, dichroic plates, surfaces being partly transmissive and reflective said selective devices being reconfigurable or tunable, e.g. using switches or diodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01QANTENNAS, i.e. RADIO AERIALS
    • H01Q21/00Antenna arrays or systems
    • H01Q21/06Arrays of individually energised antenna units similarly polarised and spaced apart
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01QANTENNAS, i.e. RADIO AERIALS
    • H01Q21/00Antenna arrays or systems
    • H01Q21/06Arrays of individually energised antenna units similarly polarised and spaced apart
    • H01Q21/08Arrays of individually energised antenna units similarly polarised and spaced apart the units being spaced along or adjacent to a rectilinear path
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01QANTENNAS, i.e. RADIO AERIALS
    • H01Q3/00Arrangements for changing or varying the orientation or the shape of the directional pattern of the waves radiated from an antenna or antenna system
    • H01Q3/26Arrangements for changing or varying the orientation or the shape of the directional pattern of the waves radiated from an antenna or antenna system varying the relative phase or relative amplitude of energisation between two or more active radiating elements; varying the distribution of energy across a radiating aperture
    • H01Q3/30Arrangements for changing or varying the orientation or the shape of the directional pattern of the waves radiated from an antenna or antenna system varying the relative phase or relative amplitude of energisation between two or more active radiating elements; varying the distribution of energy across a radiating aperture varying the relative phase between the radiating elements of an array
    • H01Q3/34Arrangements for changing or varying the orientation or the shape of the directional pattern of the waves radiated from an antenna or antenna system varying the relative phase or relative amplitude of energisation between two or more active radiating elements; varying the distribution of energy across a radiating aperture varying the relative phase between the radiating elements of an array by electrical means
    • H01Q3/36Arrangements for changing or varying the orientation or the shape of the directional pattern of the waves radiated from an antenna or antenna system varying the relative phase or relative amplitude of energisation between two or more active radiating elements; varying the distribution of energy across a radiating aperture varying the relative phase between the radiating elements of an array by electrical means with variable phase-shifters
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01QANTENNAS, i.e. RADIO AERIALS
    • H01Q3/00Arrangements for changing or varying the orientation or the shape of the directional pattern of the waves radiated from an antenna or antenna system
    • H01Q3/26Arrangements for changing or varying the orientation or the shape of the directional pattern of the waves radiated from an antenna or antenna system varying the relative phase or relative amplitude of energisation between two or more active radiating elements; varying the distribution of energy across a radiating aperture
    • H01Q3/30Arrangements for changing or varying the orientation or the shape of the directional pattern of the waves radiated from an antenna or antenna system varying the relative phase or relative amplitude of energisation between two or more active radiating elements; varying the distribution of energy across a radiating aperture varying the relative phase between the radiating elements of an array
    • H01Q3/34Arrangements for changing or varying the orientation or the shape of the directional pattern of the waves radiated from an antenna or antenna system varying the relative phase or relative amplitude of energisation between two or more active radiating elements; varying the distribution of energy across a radiating aperture varying the relative phase between the radiating elements of an array by electrical means
    • H01Q3/36Arrangements for changing or varying the orientation or the shape of the directional pattern of the waves radiated from an antenna or antenna system varying the relative phase or relative amplitude of energisation between two or more active radiating elements; varying the distribution of energy across a radiating aperture varying the relative phase between the radiating elements of an array by electrical means with variable phase-shifters
    • H01Q3/38Arrangements for changing or varying the orientation or the shape of the directional pattern of the waves radiated from an antenna or antenna system varying the relative phase or relative amplitude of energisation between two or more active radiating elements; varying the distribution of energy across a radiating aperture varying the relative phase between the radiating elements of an array by electrical means with variable phase-shifters the phase-shifters being digital
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01QANTENNAS, i.e. RADIO AERIALS
    • H01Q5/00Arrangements for simultaneous operation of antennas on two or more different wavebands, e.g. dual-band or multi-band arrangements
    • H01Q5/30Arrangements for providing operation on different wavebands
    • H01Q5/307Individual or coupled radiating elements, each element being fed in an unspecified way
    • H01Q5/314Individual or coupled radiating elements, each element being fed in an unspecified way using frequency dependent circuits or components, e.g. trap circuits or capacitors
    • H01Q5/335Individual or coupled radiating elements, each element being fed in an unspecified way using frequency dependent circuits or components, e.g. trap circuits or capacitors at the feed, e.g. for impedance matching
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04BTRANSMISSION
    • H04B1/00Details of transmission systems, not covered by a single one of groups H04B3/00 - H04B13/00; Details of transmission systems not characterised by the medium used for transmission
    • H04B1/02Transmitters
    • H04B1/04Circuits
    • H04B1/0483Transmitters with multiple parallel paths
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04BTRANSMISSION
    • H04B1/00Details of transmission systems, not covered by a single one of groups H04B3/00 - H04B13/00; Details of transmission systems not characterised by the medium used for transmission
    • H04B1/06Receivers
    • H04B1/16Circuits
    • H04B1/18Input circuits, e.g. for coupling to an antenna or a transmission line
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04BTRANSMISSION
    • H04B7/00Radio transmission systems, i.e. using radiation field
    • H04B7/02Diversity systems; Multi-antenna system, i.e. transmission or reception using multiple antennas
    • H04B7/04Diversity systems; Multi-antenna system, i.e. transmission or reception using multiple antennas using two or more spaced independent antennas
    • H04B7/0413MIMO systems
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04BTRANSMISSION
    • H04B7/00Radio transmission systems, i.e. using radiation field
    • H04B7/02Diversity systems; Multi-antenna system, i.e. transmission or reception using multiple antennas
    • H04B7/04Diversity systems; Multi-antenna system, i.e. transmission or reception using multiple antennas using two or more spaced independent antennas
    • H04B7/06Diversity systems; Multi-antenna system, i.e. transmission or reception using multiple antennas using two or more spaced independent antennas at the transmitting station
    • H04B7/0613Diversity systems; Multi-antenna system, i.e. transmission or reception using multiple antennas using two or more spaced independent antennas at the transmitting station using simultaneous transmission
    • H04B7/0615Diversity systems; Multi-antenna system, i.e. transmission or reception using multiple antennas using two or more spaced independent antennas at the transmitting station using simultaneous transmission of weighted versions of same signal
    • H04B7/0617Diversity systems; Multi-antenna system, i.e. transmission or reception using multiple antennas using two or more spaced independent antennas at the transmitting station using simultaneous transmission of weighted versions of same signal for beam forming
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04BTRANSMISSION
    • H04B7/00Radio transmission systems, i.e. using radiation field
    • H04B7/02Diversity systems; Multi-antenna system, i.e. transmission or reception using multiple antennas
    • H04B7/04Diversity systems; Multi-antenna system, i.e. transmission or reception using multiple antennas using two or more spaced independent antennas
    • H04B7/06Diversity systems; Multi-antenna system, i.e. transmission or reception using multiple antennas using two or more spaced independent antennas at the transmitting station
    • H04B7/0613Diversity systems; Multi-antenna system, i.e. transmission or reception using multiple antennas using two or more spaced independent antennas at the transmitting station using simultaneous transmission
    • H04B7/0682Diversity systems; Multi-antenna system, i.e. transmission or reception using multiple antennas using two or more spaced independent antennas at the transmitting station using simultaneous transmission using phase diversity (e.g. phase sweeping)
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04BTRANSMISSION
    • H04B7/00Radio transmission systems, i.e. using radiation field
    • H04B7/02Diversity systems; Multi-antenna system, i.e. transmission or reception using multiple antennas
    • H04B7/04Diversity systems; Multi-antenna system, i.e. transmission or reception using multiple antennas using two or more spaced independent antennas
    • H04B7/06Diversity systems; Multi-antenna system, i.e. transmission or reception using multiple antennas using two or more spaced independent antennas at the transmitting station
    • H04B7/0686Hybrid systems, i.e. switching and simultaneous transmission
    • H04B7/0695Hybrid systems, i.e. switching and simultaneous transmission using beam selection

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Networks & Wireless Communication (AREA)
  • Signal Processing (AREA)
  • Variable-Direction Aerials And Aerial Arrays (AREA)
  • Radio Transmission System (AREA)

Abstract

본 개시(disclosure)는 LTE(Long Term Evolution)와 같은 4G(4th generation) 통신 시스템 이후 보다 높은 데이터 전송률을 지원하기 위한 5G(5th generation) 또는 pre-5G 통신 시스템에 관련된 것이다. 다양한 실시 예들에 따를 때, 안테나 장치는 서브 어레이를 포함하는 어레이 안테나; 전력 디바이더(power divider); 및 재구성 위상 변환 회로(reconfigurable phase shift)를 포함하고, 상기 재구성 위상 변환 회로는, 제1 상태에서, 스위치에 따라 제1 위상 변환 값을 제공하는 회로로 구성되고, 제2 상태에서, 상기 스위치에 따라 상기 제1 위상 변환 값과 다른 제2 위상 변환 값을 제공하는 회로로 구성될 수 있다.

Description

위상 변환을 위한 장치 및 방법{APPARATUS AND METHOD FOR PHASE SHIFTING}
본 개시(disclosure)는 일반적으로 안테나에 관한 것으로, 보다 구체적으로 안테나의 위상 변환 회로의 설계, 운용 방안, 및 이를 포함하는 장치에 관한 것이다.
4G(4th generation) 통신 시스템 상용화 이후 증가 추세에 있는 무선 데이터 트래픽 수요를 충족시키기 위해, 개선된 5G(5th generation) 통신 시스템 또는 pre-5G 통신 시스템을 개발하기 위한 노력이 이루어지고 있다. 이러한 이유로, 5G 통신 시스템 또는 pre-5G 통신 시스템은 4G 네트워크 이후(Beyond 4G Network) 통신 시스템 또는 LTE(Long Term Evolution) 시스템 이후(Post LTE) 시스템이라 불리어지고 있다.
높은 데이터 전송률을 달성하기 위해, 5G 통신 시스템은 초고주파(mmWave) 대역(예를 들어, 60기가(60GHz) 대역과 같은)에서의 구현이 고려되고 있다. 초고주파 대역에서의 전파의 경로손실 완화 및 전파의 전달 거리를 증가시키기 위해, 5G 통신 시스템에서는 빔포밍(beamforming), 거대 배열 다중 입출력(massive MIMO), 전차원 다중입출력(Full Dimensional MIMO, FD-MIMO), 어레이 안테나(array antenna), 아날로그 빔형성(analog beam-forming), 및 대규모 안테나(large scale antenna) 기술들이 논의되고 있다.
또한 시스템의 네트워크 개선을 위해, 5G 통신 시스템에서는 진화된 소형 셀, 개선된 소형 셀(advanced small cell), 클라우드 무선 액세스 네트워크(cloud radio access network, cloud RAN), 초고밀도 네트워크(ultra-dense network), 기기 간 통신(Device to Device communication, D2D), 무선 백홀(wireless backhaul), 이동 네트워크(moving network), 협력 통신(cooperative communication), CoMP(Coordinated Multi-Points), 및 수신 간섭제거(interference cancellation) 등의 기술 개발이 이루어지고 있다.
이 밖에도, 5G 시스템에서는 진보된 코딩 변조(Advanced Coding Modulation, ACM) 방식인 FQAM(Hybrid Frequency Shift Keying and Quadrature Amplitude Modulation) 및 SWSC(Sliding Window Superposition Coding)과, 진보된 접속 기술인 FBMC(Filter Bank Multi Carrier), NOMA(Non Orthogonal Multiple Access), 및 SCMA(Sparse Code Multiple Access) 등이 개발되고 있다.
전파 경로 손실을 완화하고 전파의 전달 거리를 증가시키기 위한 기술 중 하나로써, 빔포밍 기술이 이용되고 있다. 빔포밍은, 일반적으로, 다수의 안테나를 이용하여 전파의 도달 영역을 집중시키거나, 특정 방향에 대한 수신 감도의 지향성(directivity)를 증대시킨다. 빔포밍 기술을 운용하기 위하여, 통신 노드는 다수의 안테나들을 구비할 수 있다.
상술한 바와 같은 논의를 바탕으로, 본 개시(disclosure)는, 무선 통신 시스템에서 어레이 안테나에 적용되는 위상 변환 회로를 제공한다.
또한, 본 개시는, 무선 통신 시스템에서 서브 어레이에 연결되는 전력 디바이더 및 위상 변환 회로를 제공한다.
또한, 본 개시는, 무선 통신 시스템에서 다양한 위상 변환을 수행하는 위상 변환 회로의 설계 및 동작을 위한 장치 및 방법을 제공한다.
또한, 본 개시는, 무선 통신 시스템에서 스위칭 손실을 최소화하기 위한 위상 변환 회로의 장치 및 설계 방법을 제공한다.
본 개시의 다양한 실시 예들에 따르면, 안테나 장치는 서브 어레이를 포함하는 어레이 안테나; 전력 디바이더(power divider); 및 재구성 위상 변환 회로(reconfigurable phase shift)를 포함하고, 상기 재구성 위상 변환 회로는, 제1 상태에서, 스위치에 따라 제1 위상 변환 값을 제공하는 회로로 구성되고, 제2 상태에서, 상기 스위치에 따라 상기 제1 위상 변환 값과 다른 제2 위상 변환 값을 제공하는 회로로 구성될 수 있다.
본 개시의 다양한 실시 예들에 따르면, 장치는, 프로세서; 서브 어레이를 포함하는 어레이 안테나; 전력 디바이더(power divider); 및 재구성 위상 변환 회로(reconfigurable phase shift)를 포함하고, 상기 프로세서는, 상기 재구성 위상 변환 회로의 제1 위상 변환 및 상기 전력 디바이더의 수동 위상 변환에 기반하여 제1 신호를 방사하고, 상기 재구성 위상 변환 회로의 제2 위상 변환 및 상기 전력 디바이더의 수동 위상 변환에 기반하여 제2 신호를 방사하고, 상기 제1 신호의 조준 방향(boresight)이 상기 제2 신호의 조준 방향과 중첩되지 않도록 상기 재구성 변환 회로의 상기 제1 위상 변환 및 상기 제2 위상 변환 값이 구성될 수 있다.
본 개시의 다양한 실시 예들에 따르면, 메인 경로와 적어도 두 개의 서브 경로들이 병렬로 연결되는 위상 변환 회로 및 서브 어레이를 포함하는 안테나 장치의 설계 방법은 상기 메인 경로의 변수들을 설정하는 과정과, 상기 적어도 두 개의 서브 경로들 각각의 변수들을 설정하는 과정과, 3가지 조건들에 기반하여 상기 메인 경로의 변수들에 대응하는 제1 값들 및 상기 적어도 두 개의 서브 경로들 각각의 변수들에 대응하는 제2 값들을 식별하는 과정과, 상기 식별된 제1 값들 및 상기 제2 값들에 따라 상기 메인 경로 및 상기 적어도 2개의 서브 경로들을 구성하는 과정을 포함하고, 상기 3가지 조건들은, 상기 메인 경로 및 상기 적어도 두 개의 서브 경로들 각각이 병렬로 연결 시, 반사 계수가 0인 제1 조건, 상기 메인 경로 및 상기 적어도 두 개의 서브 경로들 각각이 병렬로 연결 시, 통과 계수는 1인 제2 조건, 상기 메인 경로와 상기 적어도 두 개의 서브 경로들 중 제1 서브 경로 연결시 제공되는 제1 위상 변환 벡터와 상기 메인 경로와 상기 적어도 두 개의 서브 경로들 중 제2 서브 경로 연결시 제공되는 제2 위상 변환 벡터의 차이가, 지정된 위상 오프셋(phase offset)인 제3 조건을 포함할 수 있다.
본 개시의 다양한 실시 예들에 따른 장치 및 방법은, 전력 디바이더 및 위상 변환 회로를 통해 넓은 범위의 위상 변화량을 제공함으로써, 넓은 범위의 커버리지를 제공할 수 있게 한다.
본 개시에서 얻을 수 있는 효과는 이상에서 언급한 효과들로 제한되지 않으며, 언급하지 않은 또 다른 효과들은 아래의 기재로부터 본 개시가 속하는 기술 분야에서 통상의 지식을 가진 자에게 명확하게 이해될 수 있을 것이다.
도 1은 본 개시의 다양한 실시 예들에 따른 무선 통신 시스템을 도시한다.
도 2는 본 개시의 다양한 실시 예들에 따른 기지국의 구성을 도시한다.
도 3은 본 개시의 다양한 실시 예들에 따른 단말의 구성을 도시한다.
도 4a 내지 4b는 다양한 실시 예들에 따른 서브 어레이(sub-array)의 예를 도시한다.
도 5는 다양한 실시 예들에 따른 재구성 위상 변환(reconfigurable phase shifting)의 기능적 구성을 도시한다.
도 6a 내지도 6d는 다양한 실시 예들에 따른 재구성 위상 변환의 동작 예를 도시한다.
도 7a 내지 도 7b는 위상 변환 회로의 제1 예를 도시한다.
도 8a 내지 도 8b는 위상 변환 회로의 제2 예를 도시한다.
도 9는 다양한 실시 예들에 따른 QPVS(Quasi Passive Vector-sum) 위상 변환 회로의 예를 도시한다.
도 10은 다양한 실시 예들에 따른 QPVS 위상 변환 회로의 동작 원리의 예를 도시한다.
도 11은 다양한 실시 예들에 따른 QPVS 위상 변환 회로의 동작 원리의 다른 예를 도시한다.
도 12는 다양한 실시 예들에 따른 QPVS 위상 변환 회로의 설계 방법을 도시한다.
도 13은 다양한 실시 예들에 따른 QPVS 위상 변환 회로의 성능을 도시한다.
도 14는 다양한 실시 예들에 따른 위상 변환 회로의 유형에 따른 위상 오프셋(phase offset) 별 성능을 도시한다.
도 15a는 다양한 실시 예들에 따른 재구성 위상 변환 회로의 확장 구조의 예를 도시한다.
도 15b는 다양한 실시 예들에 따른 재구성 위상 변환 회로의 확장 구조의 다른 예를 도시한다.
본 개시에서 사용되는 용어들은 단지 특정한 실시 예를 설명하기 위해 사용된 것으로, 다른 실시 예의 범위를 한정하려는 의도가 아닐 수 있다. 단수의 표현은 문맥상 명백하게 다르게 뜻하지 않는 한, 복수의 표현을 포함할 수 있다. 기술적이거나 과학적인 용어를 포함해서 여기서 사용되는 용어들은 본 개시에 기재된 기술 분야에서 통상의 지식을 가진 자에 의해 일반적으로 이해되는 것과 동일한 의미를 가질 수 있다. 본 개시에 사용된 용어들 중 일반적인 사전에 정의된 용어들은, 관련 기술의 문맥상 가지는 의미와 동일 또는 유사한 의미로 해석될 수 있으며, 본 개시에서 명백하게 정의되지 않는 한, 이상적이거나 과도하게 형식적인 의미로 해석되지 않는다. 경우에 따라서, 본 개시에서 정의된 용어일지라도 본 개시의 실시 예들을 배제하도록 해석될 수 없다.
이하에서 설명되는 본 개시의 다양한 실시 예들에서는 하드웨어적인 접근 방법을 예시로서 설명한다. 하지만, 본 개시의 다양한 실시 예들에서는 하드웨어와 소프트웨어를 모두 사용하는 기술을 포함하고 있으므로, 본 개시의 다양한 실시 예들이 소프트웨어 기반의 접근 방법을 제외하는 것은 아니다.
이하 본 개시는 무선 통신 시스템에서 빔 커버리지를 관리하기 위한 장치 및 방법에 관한 것이다. 구체적으로, 본 개시는 무선 통신 시스템에서 RF 경로가 정상적으로 작동하지 않는 경우, 기존의 빔 커버리지와 실질적으로 유사한 빔 커버리지를 형성하도록 빔 패턴을 복구하기 위한 기술을 설명한다.
이하 설명에서 사용되는 신호를 지칭하는 용어(신호, 심볼, 스트림, 데이터, 빔포밍 신호), 빔과 관련된 용어(멀티-빔, 복수의 빔들, 싱글 빔, 듀얼 빔, 쿼드-빔, 빔포밍), 장치의 구성 요소를 지칭하는 용어(안테나 어레이(antenna array), 안테나 엘리멘트(antenna element), 통신부, 안테나), 네트워크 객체(network entity)들을 지칭하는 용어(예: 통신 노드(communication node), 무선 노드(radio node), 무선 유닛(radio unit), 네트워크 노드(network node), 송수신 포인트(transmission/reception point, TRP)) 등은 설명의 편의를 위해 예시된 것이다. 따라서, 본 개시가 후술되는 용어들에 한정되는 것은 아니며, 동등한 기술적 의미를 가지는 다른 용어가 사용될 수 있다.
이하 설명에서 사용되는 신호 이득, 신호 품질 등을 위한 메트릭은 예를 들어, BRSRP(beam reference signal received power), RSRP(reference signal received power), RSRQ(reference signal received quality), RSSI(received signal strength indicator), SINR(signal to interference and noise ratio), CINR(carrier to interference and noise ratio), SNR, EVM(error vector magnitude), BER(bit error rate), BLER(block error rate) 중 적어도 하나일 수 있다. 상술한 예 외에도, 이와 동등한 기술적 의미를 가지는 다른 용어들 혹은 신호 품질을 나타내는 다른 지표(metric)들이 사용될 수 있음은 물론이다.
또한, 본 개시에서, 특정 조건의 만족(satisfied), 충족(fulfilled) 여부를 판단하기 위해, 초과 또는 미만의 표현이 사용될 수 있으나, 이는 일 예를 표현하기 위한 기재일 뿐 이상 또는 이하의 기재를 배제하는 것이 아니다. '이상'으로 기재된 조건은 '초과', '이하'로 기재된 조건은 '미만', '이상 및 미만'으로 기재된 조건은 '초과 및 이하'로 대체될 수 있다.
또한, 본 개시는, 일부 통신 규격(예: 3GPP(3rd Generation Partnership Project))에서 사용되는 용어들을 이용하여 다양한 실시 예들을 설명하지만, 이는 설명을 위한 예시일 뿐이다. 본 개시의 다양한 실시 예들은, 다른 통신 시스템에서도, 용이하게 변형되어 적용될 수 있다.
도 1은 본 개시의 다양한 실시 예들에 따른 무선 통신 시스템을 도시한다. 도 1은 무선 통신 시스템에서 무선 채널을 이용하는 노드(node)들의 일부로서, 기지국(110), 단말(120), 단말(130)을 예시한다. 도 1은 하나의 기지국만을 도시하나, 기지국(110)과 동일 또는 유사한 다른 기지국이 더 포함될 수 있다.
기지국(110)은 단말들(120, 130)에게 무선 접속을 제공하는 네트워크 인프라스트럭쳐(infrastructure)이다. 기지국(110)은 신호를 송신할 수 있는 거리에 기초하여 일정한 지리적 영역으로 정의되는 커버리지(coverage)를 가진다. 기지국(110)은 기지국(base station) 외에 '액세스 포인트(access point, AP)', '이노드비(eNodeB, eNB)', '5G 노드(5th generation node)', '지노드비(next generation nodeB, gNB)', '무선 포인트(wireless point)', '송수신 포인트(transmission/reception point, TRP)' 또는 이와 동등한 기술적 의미를 가지는 다른 용어로 지칭될 수 있다.
단말(120) 및 단말(130) 각각은 사용자에 의해 사용되는 장치로서, 기지국(110)과 무선 채널을 통해 통신을 수행한다. 기지국(110)에서 단말(120) 또는 단말(130)을 향하는 링크는 하향링크(downlink, DL), 단말(120) 또는 단말(130)에서 기지국(110)을 향하는 링크는 상향링크(uplink, UL)라 지칭된다. 또한, 단말(120) 및 단말(130)은 상호 간 무선 채널을 통해 통신을 수행할 수 있다. 경우에 따라, 단말(120) 및 단말(130) 중 적어도 하나는 사용자의 관여 없이 운영될 수 있다. 즉, 단말(120) 및 단말(130) 중 적어도 하나는 기계 타입 통신(machine type communication, MTC)을 수행하는 장치로서, 사용자에 의해 휴대되지 아니할 수 있다. 단말(120) 및 단말(130) 각각은 단말(terminal) 외 '사용자 장비(user equipment, UE)', '고객 댁내 장치'(customer premises equipment, CPE), '이동국(mobile station)', '가입자국(subscriber station)', '원격 단말(remote terminal)', '무선 단말(wireless terminal)', 전자 장치(electronic device)', 또는 '사용자 장치(user device)' 또는 이와 동등한 기술적 의미를 가지는 다른 용어로 지칭될 수 있다.
도 2는 본 개시의 다양한 실시 예들에 따른 기지국의 구성을 도시한다. 도 2에 예시된 구성은 기지국(110)의 구성으로서 이해될 수 있다. 이하 사용되는 '...부', '...기' 등의 용어는 적어도 하나의 기능이나 동작을 처리하는 단위를 의미하며, 이는 하드웨어나 소프트웨어, 또는, 하드웨어 및 소프트웨어의 결합으로 구현될 수 있다.
도 2를 참고하면, 기지국(110)은 무선통신부 (201), 백홀통신부 (203), 저장부(205), 제어부(207)를 포함한다.
무선통신부 (201)은 무선 채널을 통해 신호를 송수신하기 위한 기능들을 수행한다. 예를 들어, 무선통신부 (201)은 시스템의 물리 계층 규격에 따라 기저대역 신호 및 비트열 간 변환 기능을 수행한다. 예를 들어, 데이터 송신 시, 무선통신부 (201)은 송신 비트열을 부호화 및 변조함으로써 복소 심벌들을 생성한다. 또한, 데이터 수신 시, 무선통신부 (201)은 기저대역 신호를 복조 및 복호화를 통해 수신 비트열을 복원한다. 또한, 무선통신부 (201)은 기저대역 신호를 RF(radio frequency) 대역 신호로 상향변환한 후 안테나를 통해 송신하고, 안테나를 통해 수신되는 RF 대역 신호를 기저대역 신호로 하향변환한다.
이를 위해, 무선통신부(201)은 송신 필터, 수신 필터, 증폭기, 믹서(mixer), 오실레이터(oscillator), DAC(digital to analog convertor), ADC(analog to digital convertor) 등을 포함할 수 있다. 또한, 무선통신부(201)은 다수의 송수신 경로(path)들을 포함할 수 있다. 나아가, 무선통신부(201)은 다수의 안테나 엘리멘트들(antenna elements)로 구성된 적어도 하나의 안테나 어레이(antenna array)를 포함할 수 있다. 하드웨어의 측면에서, 무선통신부(201)은 디지털 유닛(digital unit) 및 아날로그 유닛(analog unit)으로 구성될 수 있으며, 아날로그 유닛은 동작 전력, 동작 주파수 등에 따라 다수의 서브 유닛(sub-unit)들로 구성될 수 있다. 다양한 실시 예들에 따라, 무선 통신부(201)은 빔을 형성하는 유닛, 즉 빔포밍부(beamforming unit)를 포함할 수 있다. 예를 들어, 무선 통신부(201)은 빔포밍을 위한 MMU(massive MIMO unit)을 포함할 수 있다.
무선통신부(201)은 신호를 송수신할 수 있다. 이를 위해, 무선통신부(201)은 적어도 하나의 송수신기(transceiver)를 포함할 수 있다. 예를 들어, 무선통신부(201)은 동기 신호(synchronization signal), 기준 신호(reference signal), 시스템 정보, 메시지, 제어 정보, 또는 데이터 등을 전송할 수 있다. 또한, 무선통신부(201)은 빔포밍을 수행할 수 있다. 무선통신부(201)은, 송수신하고자 하는 신호에 제어부(207)의 설정에 따른 방향성을 부여하기 위해, 신호에 빔포밍 가중치를 적용할 수 있다.
무선통신부(201)은 상술한 바와 같이 신호를 송신 및 수신한다. 이에 따라, 무선통신부(201)의 전부 또는 일부는 '송신부', '수신부' 또는 '송수신부'로 지칭될 수 있다. 또한, 이하 설명에서, 무선 채널을 통해 수행되는 송신 및 수신은 무선통신부(201)에 의해 상술한 바와 같은 처리가 수행되는 것을 포함하는 의미로 사용된다.
백홀통신부(203)은 네트워크 내 다른 노드들과 통신을 수행하기 위한 인터페이스를 제공한다. 즉, 백홀통신부(203)은 기지국(110)에서 다른 노드, 예를 들어, 다른 접속 노드, 다른 기지국, 상위 노드, 코어 네트워크 등으로 송신되는 비트열을 물리적 신호로 변환하고, 다른 노드로부터 수신되는 물리적 신호를 비트열로 변환한다.
저장부(205)은 기지국(110)의 동작을 위한 기본 프로그램, 응용 프로그램, 설정 정보 등의 데이터를 저장한다. 저장부(205)은 메모리(memory)를 포함할 수 있다. 저장부(205)은 휘발성 메모리, 비휘발성 메모리 또는 휘발성 메모리와 비휘발성 메모리의 조합으로 구성될 수 있다. 그리고, 저장부(205)은 제어부(207)의 요청에 따라 저장된 데이터를 제공한다. 일 실시 예에 따라, 저장부(205)은 위상 변환 회로에 대한 유형을 저장할 수 있다. 위상 오프셋(phase offset) 범위에 따라, 요구되는 유형의 위상 변환 회로가 사용될 수 있다.
제어부(207)은 기지국(110)의 전반적인 동작들을 제어한다. 예를 들어, 제어부(207)은 무선통신부(201)을 통해 또는 백홀통신부(203)을 통해 신호를 송신 및 수신한다. 또한, 제어부(207)은 저장부(205)에 데이터를 기록하고, 읽는다. 그리고, 제어부(207)은 통신 규격에서 요구하는 프로토콜 스택(protocol stack)의 기능들을 수행할 수 있다. 이를 위해, 제어부(207)은 적어도 하나의 프로세서(processor)를 포함할 수 있다.
도 2에 도시된 기지국(110)의 구성은, 기지국의 일 예일뿐, 도 2에 도시된 구성으로부터 본 개시의 다양한 실시 예들을 수행하는 기지국의 예가 한정되지 않는다. 즉, 다양한 실시 예들에 따라, 일부 구성이 추가, 삭제, 변경될 수 있다.
도 2에서는 기지국을 하나의 엔티티로 서술하였으나, 본 개시는 이에 한정되지 않는다. 본 개시의 다양한 실시 예들에 따른 기지국은 일체형 배치뿐만 아니라 기지국은 분산 배치(distributed deployment)를 갖는 액세스 네트워크(access network)를 형성하도록 구현될 수 있다. 일 실시 예에 따라, 기지국은 CU(central unit)와 DU(distributed unit)로 구별되어, CU는 상위 계층 기능(upper layers) (예: PDCP(packet data convergence protocol, RRC)) DU는 하위 계층 기능(lower layers)(예: MAC(medium access control), PHY(physical))을 수행하도록 구현될 수 있다. 다양한 실시 예들에 따른 빔 커버리지를 형성하기 위한 위상 변환 회로는 기지국의 DU 상에 구현될 수 있다. 또한, 일 실시 예에 따라, 기지국은 DU(digital unit)와 RU(radio unit)를 포함하고, DU는 기저대역 처리 등의 기능을 수행하고, 다양한 실시 예들에 따른 빔 커버리지를 형성하기 위한 위상 변환 회로는 기지국의 RU 상에 구현될 수 있다.
도 3은 본 개시의 다양한 실시 예들에 따른 단말의 구성을 도시한다. 도 3에 예시된 구성은 단말(120)의 구성으로서 이해될 수 있다. 이하 사용되는 '...부', '...기' 등의 용어는 적어도 하나의 기능이나 동작을 처리하는 단위를 의미하며, 이는 하드웨어나 소프트웨어, 또는, 하드웨어 및 소프트웨어의 결합으로 구현될 수 있다.
도 3을 참고하면, 단말(120)은 통신부(301), 저장부(303), 제어부(305)을 포함한다.
통신부(301)은 무선 채널을 통해 신호를 송수신하기 위한 기능들을 수행한다. 예를 들어, 통신부(301)은 시스템의 물리 계층 규격에 따라 기저대역 신호 및 비트열 간 변환 기능을 수행한다. 예를 들어, 데이터 송신 시, 통신부(301)은 송신 비트열을 부호화 및 변조함으로써 복소 심벌들을 생성한다. 또한, 데이터 수신 시, 통신부(301)은 기저대역 신호를 복조 및 복호화를 통해 수신 비트열을 복원한다. 또한, 통신부(301)은 기저대역 신호를 RF 대역 신호로 상향변환한 후 안테나를 통해 송신하고, 안테나를 통해 수신되는 RF 대역 신호를 기저대역 신호로 하향변환한다. 예를 들어, 통신부(301)은 송신 필터, 수신 필터, 증폭기, 믹서, 오실레이터, DAC, ADC 등을 포함할 수 있다.
또한, 통신부(301)은 다수의 송수신 경로(path)들을 포함할 수 있다. 나아가, 통신부(301)은 안테나부를 포함할 수 있다. 통신부(301)은 다수의 안테나 엘리멘트들로 구성된 적어도 하나의 안테나 어레이를 포함할 수 있다. 하드웨어의 측면에서, 통신부(301)은 디지털 회로 및 아날로그 회로(예: RFIC(radio frequency integrated circuit))로 구성될 수 있다. 여기서, 디지털 회로 및 아날로그 회로는 하나의 패키지로 구현될 수 있다. 또한, 통신부(301)은 다수의 RF 체인들을 포함할 수 있다. 통신부(301)은 빔포밍을 수행할 수 있다. 통신부(301)은, 송수신하고자 하는 신호에 제어부(305)의 설정에 따른 방향성을 부여하기 위해, 신호에 빔포밍 가중치를 적용할 수 있다.
또한, 통신부(301)은 신호를 송수신할 수 있다. 이를 위해, 통신부(301)은 적어도 하나의 송수신기(transceiver)를 포함할 수 있다. 통신부(301)은 하향링크 신호를 수신할 수 있다. 또한, 통신부(301)은 상향링크 신호를 전송할 수 있다. 또한, 통신부(301)은 서로 다른 주파수 대역의 신호들을 처리하기 위해 서로 다른 통신 모듈들을 포함할 수 있다. 나아가, 통신부(301)은 서로 다른 다수의 무선 접속 기술들을 지원하기 위해 다수의 통신 모듈들을 포함할 수 있다. 예를 들어, 서로 다른 무선 접속 기술들은 블루투스 저 에너지(bluetooth low energy, BLE), Wi-Fi(Wireless Fidelity), WiGig(WiFi Gigabyte), 셀룰러 망(예: LTE(Long Term Evolution), NR(new radio) 등을 포함할 수 있다. 또한, 서로 다른 주파수 대역들은 극고단파(super high frequency, SHF)(예: 2.5GHz, 5Ghz) 대역, mm파(millimeter wave)(예: 38GHz, 60GHz 등) 대역을 포함할 수 있다. 또한 통신부(301)은 서로 다른 주파수 대역(예: LAA(licensed Assisted Access)를 위한 비면허 대역, CBRS(citizens broadband radio service)(예: 3.5 GHz)) 상에서 동일한 방식의 무선 접속 기술을 이용할 수도 있다.
통신부(301)은 상술한 바와 같이 신호를 송신 및 수신한다. 이에 따라, 통신부(301)의 전부 또는 일부는 '송신부', '수신부' 또는 '송수신부'로 지칭될 수 있다. 또한, 이하 설명에서 무선 채널을 통해 수행되는 송신 및 수신은 통신부(301)에 의해 상술한 바와 같은 처리가 수행되는 것을 포함하는 의미로 사용된다.
저장부(303)은 단말(120)의 동작을 위한 기본 프로그램, 응용 프로그램, 설정 정보 등의 데이터를 저장한다. 저장부(303)은 휘발성 메모리, 비휘발성 메모리 또는 휘발성 메모리와 비휘발성 메모리의 조합으로 구성될 수 있다. 그리고, 저장부(303)은 제어부(305)의 요청에 따라 저장된 데이터를 제공한다.
제어부(305)은 단말(120)의 전반적인 동작들을 제어한다. 예를 들어, 제어부(305)은 통신부(301)를 통해 신호를 송신 및 수신한다. 또한, 제어부(305)은 저장부(303)에 데이터를 기록하고, 읽는다. 그리고, 제어부(305)은 통신 규격에서 요구하는 프로토콜 스택의 기능들을 수행할 수 있다. 이를 위해, 제어부(305)은 적어도 하나의 프로세서(processor)를 포함할 수 있다. 제어부(305)은 적어도 하나의 프로세서 또는 마이크로(micro) 프로세서를 포함하거나, 또는, 프로세서의 일부일 수 있다. 또한, 통신부(301)의 일부 및 제어부(305)은 CP라 지칭될 수 있다. 제어부(305)은 통신을 수행하기 위한 다양한 모듈들을 포함할 수 있다. 다양한 실시 예들에 따라, 제어부(305)은 단말이 후술하는 다양한 실시 예들에 따른 동작들을 수행하도록 제어할 수 있다.
전파 경로 손실을 완화하고 전파의 전달 거리를 증가시키기 위한 기술 중 하나로써, 빔포밍 기술이 이용되고 있다. 빔포밍은, 일반적으로, 다수의 안테나를 이용하여 전파의 도달 영역을 집중시키거나, 특정 방향에 대한 수신 감도의 지향성(directivity)를 증대시킨다. 따라서, 단일 안테나를 이용하여 등방성(isotropic) 패턴으로 신호를 형성하는 대신 빔포밍 커버리리를 형성하기 위해, 통신 노드는 다수의 안테나들을 구비할 수 있다. 다양한 실시 예들에 따른 통신 노드는 MMU(massive MIMO unit)를 포함할 수 있다. 이때, 다수의 안테나들이 집합된 형태는 안테나 어레이(antenna array), 어레이에 포함되어 있는 각 안테나는 어레이 엘리멘트(array element), 또는 안테나 엘리멘트(antenna element)라 지칭될 수 있다. 상기 안테나 어레이는 선형 어레이(linear array), 평면 어레이(planar array) 등 다양한 형태로 구성될 수 있다. 안테나 어레이는 매시브 안테나 어레이(massive antenna array)로 지칭될 수 있다. 이하, 본 개시에서는 MIMO 환경에서 통신을 위한 복수의 안테나들로서 안테나 어레이를 예로 서술하나, 일부 실시 예들에서 빔포밍을 위한 용이한 변경이 가능함은 물론이다. 또한, 빔포밍 커버리지를 형성하기 위해, 통신 노드는 안테나 어레이와 연결되는 빔포밍 모듈을 포함할 수 있다. 위상/크기 변환부(예: 위상 천이기(phase shifter)) 및 증폭부(예, 전력 증폭기(power amplifier, PA))를 포함하는 빔포밍 모듈에 대한 아키텍처가 예시적으로 고려될 수 있다.
서브 어레이(sub-array)
도 4a 내지 4b는 다양한 실시 예들에 따른 서브 어레이(sub-array)의 예를 도시한다. 높은 빔포밍 이득을 위해, 입력 포트 대비 많은 수의 안테나 엘리멘트들이 사용될 수 있다. 본 개시의 다양한 실시 예들을 설명하기 위하여 하나의 입력 포트에 대응하는 각각의 서브 어레이들을 포함하는 형태의 어레이 안테나가 예로 서술된다. 어레이 안테나의 각 서브 어레이는, 동일한 개수의 안테나 엘리멘트들을 포함하는 것으로 서술되나, 본 개시의 다양한 실시 예들은 이에 한정되지 않는다. 다른 일 실시 예에 따라, 일부 서브 어레이의 안테나 엘리멘트들의 개수는 다른 서브 어레이의 안테나 엘리멘트들의 개수와 다를 수 있다.
도 4a를 참고하면, 서브 어레이는 다수의 안테나 엘리멘트들을 포함할 수 있다. 도 4a에서는 선형 어레이로서, n x 1 형태(n은 2이상의 정수)의 서브 어레이가 예시적으로 서술되나, 다양한 실시 예들이 이에 한정되는 것은 아니다. 2 x 2 또는 4 x 2 형태의 서브 어레이에도 후술되는 다양한 실시 예들이 적용될 수 있음은 물론이다.
서브 어레이는 안테나 이득 및 빔포밍 성능을 고려하여 다양한 형태로 구성될 수 있다. 예를 들어, 서브 어레이는 2x1 서브 어레이(401)일 수 있다. 또한, 예를 들어, 서브 어레이는 3x1 서브 어레이(402)일 수 있다. 또한, 예를 들어, 서브 어레이는 4x1 서브 어레이(403)일 수 있다. 또한, 예를 들어, 서브 어레이는 6x1 서브 어레이(404)일 수 있다. 신호를 방사하는 개체(예: 안테나 엘리멘트)가 증가할수록 안테나 이득은 증가할 수 있다. 즉, 하나의 입력 신호(입력 포트)에 대한 서브 어레이 내 안테나 엘리멘트들의 개수가 많을수록 커버리지는 증가할 수 있다. 도 4b를 참고하면, 그래프(450)은 서브 어레이의 크기에 따른 이득 성능을 나타낸다. 가로축(451)은 안테나 엘리멘트들의 개수, 세로축(452)은 어레이 이득(array gain)을 나타낸다. 서브 어레이 개수가 증가할수록 이득이 증가함이 확인될 수 있다.
서브 어레이 내 안테나 엘리멘트들의 개수가 증가할수록 안테나 이득은 증가하는 한편, 포트와 포트 간의 물리적인 간격은 증가할 수 있다. 2x1 서브 어레이(401)의 경우, 두 서브 어레이들간 간격, 즉 포트 간 간격은 1.48 l일 수 있다. l는 신호의 파장을 나타낸다. 또한, 예를 들어, 3x1 서브 어레이(402)에 대한 포트 간 간격은 2.22 l일 수 있다. 또한, 예를 들어, 4x1 서브 어레이(403)에 대한 포트 간 간격은 2.96 l일 수 있다. 또한, 예를 들어, 6x1 서브 어레이(404)에 대한 포트 간 간격은 4.44 l일 수 있다. 서브 어레이 내 안테나 엘리멘트들의 개수가 증가할수록, 포트 간 물리적인 간격은 점점 넓어지게 된다(예:1.48l<2.22l<2.96l<4.44l). 포트와 포트간 물리적인 거리가 증가하게 됨에 따라 빔 폭은 감소하게 된다. 위상 변환 범위(예: 위상 오프셋(phase offset))가 동일한 경우, 안테나 어레이의 커버 가능한 넓이(빔 커버리지(coverage), 틸트각(tilt angle), 틸트 커버리지(tilt coverage)로 지칭될 수 있음)는 감소하게 된다. 즉, 도 4a에 도시된 바와 같이, 2x1 서브 어레이(401)의 틸트 커버리지(411)보다 3x1 서브 어레이(402)의 틸트 커버리지(412)이 좁게 형성될 수 있다. 3x1 서브 어레이(402)의 틸트 커버리지(412)보다 4x1 서브 어레이(403)의 틸트 커버리지(413)이 좁게 형성될 수 있다. 4x1 서브 어레이(403)의 틸트 커버리지(413)보다 6x1 서브 어레이(404)의 틸트 커버리지(414)이 좁게 형성될 수 있다.
형성 가능한 빔의 각도가 좁아짐에 따라, 서브 어레이 크기가 증가할수록 빔 탐색 색 시 좁은 빔 폭을 갖는 빔들이 이용된다. 좁은 빔 폭을 이용한 빔 탐색은 그레이팅 로브(grating lobe)를 발생시키고, 이는 곧 기지국의 성능 열화를 야기한다. 즉, 어레이 팩터(array factor)에 따라 안테나에서 생성되는 빔들 중 불필요한 어레이의 빔은 타 기지국에게 영향을 미치기 때문에, 안테나 이득과 빔포밍 성능 간의 트레이드 오프(tradeoff)를 고려하는 어레이 안테나의 최적 설계가 요구된다.
좁은 빔 스캔 범위는 빔포밍 성능 저하를 야기하는 바, 본 개시의 다양한 실시 예들은, 틸트 커버리지를 넓히기 위한 방안을 제공한다. 각 안테나 엘리멘트에 적용되는 위상 값을 통해 방사되는 신호들은 증첩되고, 중첩된 신호들은 빔을 형성하게 된다. 안테나 엘리멘트들에 적용되는 위상 값들(즉, 위상 패턴)에 따라 형성되는 빔의 방향(boresight) 혹은 빔의 형상(shape)가 달라질 수 있다. 위상 값의 변화는 곧 형성되는 빔의 방향을 변환시킬 수 있기 때문에, 본 개시의 다양한 실시 예들은, 어레이 안테나의 실질적인 빔 커버리지가 감소하지 않도록, 다양한 빔 방향을 제공하기 위한 위상 변환 회로(이하, 재구성 위상 변환 회로)를 제안한다. 지정된 상태 별로 위상 변환 회로를 구성함으로써 어레이 안테나의 형성가능한 빔의 영역을 넓힐 수 있다.
재구성 위상 변환 회로( reconfigurable phase shifter circuit)
도 5는 다양한 실시 예들에 따른 재구성 위상 변환(reconfigurable phase shifting)의 기능적 구성을 도시한다. 전술한 바와 같이, 안테나 빔포밍을 위해서 각 안테나 엘리멘트에는 위상 변환(즉, 위상 변환기를 통해 적용되는 위상 값 패턴)이 필요하다. 다수의 서브 어레이들로 구분되는 어레이 안테나를 포함하는 기지국은, 고전력(high power) 문제, 크기, 및 비용 증가 등의 이유로 어레이 안테나를 위한 위상 변환기를 구현하기 쉽지 않다. 따라서, 다양한 실시 예들에 따른 안테나는, 전력 디바이더(power divider)와 결합되는 재구성 위상 변환 회로를 포함할 수 있다.
도 5를 참고하면, 제1 분배 회로(501)는 수동(passive) 전력 디바이더를 이용하는 4-포트 회로를 나타낸다. 4-포트는 예시적일 뿐, 입력 포트의 개수는 증가하거나 감소할 수 있다. 각 입력 포트에 2x1 서브 어레이가 연결될 수 있다. 디바이더의 적어도 일부 분기(branch)에는 위상 변환기가 추가될 수 있다. 2x1 서브 어레이를 포함하는 어레이 안테나는, 약 ±α도의 틸트 커버리지를 제공할 수 있다. 또한, 2x1 서브 어레이를 포함하는 어레이 안테나는 약 A dBi(decibel isotropic)의 안테나 이득을 제공할 수 있다.
제2 분배 회로(503)는 수동 전력 디바이더를 이용하는 2-포트 회로를 나타낸다. 2-포트는 예시적일 뿐, 입력 포트의 개수는 증가하거나 감소할 수 있다. 각 입력 포트에 4x1 서브 어레이가 연결될 수 있다. 디바이더의 일부 분기에는 위상 변환기가 추가될 수 있다. 4x1 서브 어레이를 포함하는 어레이 안테나는 2x1 서브 어레이를 포함하는 어레이 안테나보다 포트 간 간격이 넓으므로, 상대적으로 좁은 틸트 커버리지(예: ±β도(<α))를 제공할 수 있다. 또한, 4x1 서브 어레이를 포함하는 어레이 안테나는 약 B dBi(decibel isotropic)의 안테나 이득을 제공할 수 있다. 이 때, B는 A보다 3dB만큼 작은 값일 수 있다. 서브 어레이 내 안테나 엘리멘트들의 개수가 증가함에 따라 약 3dB(decibel)정도의 안테나 이득이 증가한다.
다양한 실시 예들에 따른 재구성 분배 회로(505)는 능동 전력 디바이더(active power divider)를 포함할 수 있다. 재구성 분배 회로(505)는, 2-포트의 각 입력 포트부터 서브 어레이까지 RF 경로를 제공할 수 있다. 2-포트는 예시적일 뿐, 입력 포트의 개수는 증가하거나 감소할 수 있다. 각 입력 포트에 4x1 서브 어레이가 연결될 수 있다. 능동 전력 디바이더의 적어도 일부 분기에는 위상 변환기가 추가될 수 있다.
다양한 실시 예들에 따른 능동 전력 디바이더는, 수동 소자들로만 구성되는 제1 분배 회로(501) 또는 제2 분배 회로(503)와 달리 다양한 전송 경로를 구성하기 위하여, 스위치(즉, RF 스위치)를 포함할 수 있다. 능동 전력 디바이더는 스위치의 동작을 통해 적응적으로 재구성 위상 변환 회로의 개별 회로와 연결될 수 있다. 스위치의 동작에 따라 연결되는 위상 변환 회로를 통해, 능동 전력 디바이더는 보다 넓은 범위의 위상 변환 값들을 서브 어레이에게 제공할 수 있다. 다양한 위상 변환 값들을 통해, 보다 넓은 틸트 커버리지(예: ±2β도)가 제공될 수 있다. 재구성 위상 변환 회로의 구체적인 동작은 후술하는 도 6a 내지 도 6d를 통해 서술된다.
위상 변환 값들의 범위가 다양해짐에 따라, 재구성 분배 회로(505)는 제2 회로(503)보다 넓은 틸트 커버리지를 확보할 수 있다. 재구성 분배 회로(505)를 포함하는 어레이 안테나는, 4x1 서브 어레이에 따른 약 B dBi의 안테나 이득으로부터 스위칭 손실(switching loss)(혹은 활성 손실(active loss, ALoss)로 지칭될 수 있음)이 제외된 이득(B-ALoss)을 제공할 수 있다. 이러한 삽입 손실을 줄이기 위상 변환 회로 구조의 실시 예들은 후술하는 도 7a 내지 도 13를 통해 구체적으로 서술된다.
다양한 실시 예들에 따를 때, 전력 디바이더에 다양한 위상 변환 회로와 연결될 수 있는 스위칭 구조를 삽임함으로써, 안테나 엘리멘트들을 통해 형성가능한 빔들이 증가할 수 있다. 다른 위상 값들을 제공하기 위한 별도의 서브 어레이를 구비하거나, 별도의 위상 변환기를 추가함 없이, 능동 전력 디바이더는 안테나 엘리멘트들에게 다양한 위상 변환 값들을 제공할 수 있다. 즉, 능동 전력 디바이더는 실질적인 제품의 크기 확장 없이 추가적인 위상 변환기들이 부가된 효과를 제공함으로써, 틸트 커버리지의 증대(예: 2β도>β도)를 제공할 수 있다. 또한, 다양한 위상 변환 회로들에 연결 가능한 스위치가 디바이더의 개별 분기에 배치되는 것이 아니라 전력 디바이더 위에 배치됨으로써, 서브 어레이의 안테나 엘리멘트들의 개수와 관계없는 디바이더의 형상 및 RF 스위치를 통해 다양한 범위의 위상 변환이 제공될 수 있다. 이러한 배치 구조는, 애플리케이션(application) 레벨(예: 특정 빔 폭 요청)에서 안테나 엘리멘트들의 개수를 설정함으로써, 필요한 위상 변환을 제공하도록 이용될 수 있다.
본 개시에서는, 포트 별 입력 신호를 각 안테나 엘리멘트로 전달하는 수동 소자로서, 분배기(power divider)를 예로 서술하였으나, 분배기는 신호의 흐름/장치의 동작에 따라 다른 기능을 수행할 수도 있다. 다양한 실시 예들에 따른 분배기 기능을 수행하는 수동 소자는, 커플러(coupler), 컴바이너(combiner), 스플리터(splitter), 분기기, 합파기 등으로 지칭될 수 있다. 즉, 입력 포트를 통해 전달되는 신호가 각 서브 어레이에 제공되는 설명은 빔포밍 모듈의 수동 소자가 디바이더의 기능을 수행하는 것을 의미하는 것이고, 상기 수동 소자의 다른 기능으로서의 역할을 배제하지 않는다.
도 6a 내지 도 6d는 다양한 실시 예들에 따른 재구성 위상 변환의 동작 예를 도시한다. 재구성 위상 변환을 설명하기 위하여, 도 5의 재구성 분배 회로(505)의 예시적인 구조가 서술된다. 재구성 분배 회로는, 입력부, 스위칭 부, 재구성 위상 변환 회로, 전력 디바이더를 포함할 수 있다. 전력 디바이더는 스위치와 연결되는 능동 전력 디바이더를 포함할 수 있다.
도 6a를 참고하면, 입력 포트(601)로 RF 신호가 인가될 수 있다. RF 신호는 지정된 경로를 통해 방사체, 즉 안테나 엘리멘트들로 전달된다. RF 신호는, 재구성 위상 변환 회로의 제1 위상 변환 회로(611) 제2 위상 변환 회로(612) 중에서 하나를 통해 전력 디바이더로 전달된다. 이후 RF 신호는 전력 디바이더를 통해 안테나 엘리멘트들로 방사된다. 여기서, 재구성 위상 변환 회로는 서브 어레이까지 고정적인 RF 경로를 제공하는 것이 아니라, 다양한 위상 변환들을 제공하기 위하여 설정된 상태에 따라 RF 경로를 적응적으로 제공할 수 있다.
재구성 위상 변환 회로는, 지정된 상태에 따른 스위칭을 통해, 재구성되는 회로를 포함할 수 있다. 일 실시 예에 따라, 재구성 위상 변환 회로는 스위칭에 따라 ON/OFF되는 별도의 물리적인 회로를 포함할 수 있다. 또한, 일 실시 예에 따라, 재구성 위상 변환 회로는 스위칭에 따라 전체 회로가 다르게 재구성되는 스위칭 회로를 포함할 수 있다. 재구성 위상 변환 회로에서 구성 가능한 각 위상 변환 회로는, 서로 다른 위상 범위를 제공할 수 있다. 포트로부터 입력되는 RF 신호에 서로 다른 위상 변환을 제공함으로써, 서브 어레이를 통해 형성가능한 빔 범위가 증가할 수 있다. 최대 위상 변환 범위는, 위상 오프셋(phase offset)으로 지칭될 수 있다.
스위치(예: SPDT(single pole double throw))가 제1 위상 변환 회로(611)에 연결되는 경우(이하, 제1 상태), 서브 어레이로 분배되는 전력 신호 전체에 제1 위상 변환이 적용될 수 있다. 이후, RF 신호가 전달되는 경로(디바이더 경로)를 통해 서브 어레이의 각 안테나 엘리멘트들은 지정된 위상 변환 값들이 적용된 신호를 방사할 수 있다. 스위치가 제2 위상 변환 회로(612)에 연결되는 경우(이하, 제2 상태), 서브 어레이로 분배되는 전력 신호 전체에 제2 위상 변환이 적용될 수 있다. 이후, RF 신호가 전달되는 경로(디바이더 경로)를 통해 서브 어레이의 각 안테나 엘리멘트들은 지정된 위상 변환 값들이 적용된 신호를 방사할 수 있다.
제1 위상 변환과 제2 위상 변환의 값을 상이하게 설정함으로써, 제1 상태의 RF 신호와 제2 RF 상태의 RF 신호가 동일한 경로를 겪더라도, 다른 위상 변환 효과가 발생한다. 즉, 디바이더 진입 전 다른 위상 변환 값이 적용된 상태이므로, 실질적으로 물리적으로 구분된 위상 변환기가 배치된 것과 유사한 효과를 얻을 수 있다. 일 실시 예에 따라, 재구성 위상 변환 회로의 각 위상 변환 값은 요구되는 위상 오프셋(phase offset) 값(PO)에 기반하여 결정될 수 있다. 일 예로, 제1 위상 변환은 (+)PO/2, 제2 위상 변환은 (-)PO/2로 설정될 수 있다. 위상 변환 값의 구체적인 설계는 후술하는 도 12를 통해 상세히 서술된다.
빔포밍 모듈은 스위칭을 통해, 적응적으로 재구성 변환 회로를 구성하고, 필요한 위상 값이 적용된 신호를 전력 디바이더에게 제공할 수 있다. 일 실시 예에 따라, 스위치를 통해 입력 포트 및 전력 디바이더와 연결되는 위상 변환 회로는 프로세서로부터 제공되는 제어 신호에 따라 결정될 수 있다. 제어 신호는 빔 탐색의 상태를 지정하도록 구성될 수 있다. 프로세서는, 안테나를 통해 제공하고자 하는 빔포밍 범위에 대응하는 위상 변환 값을 제공하도록 위상 변환 회로를 선택할 수 있다. 또한, 일 실시 예에 따라, 스위치를 통해 입력 포트 및 전력 디바이더와 연결되는 위상 변환 회로는 미리 정의된 순서에 따라 결정될 수 있다.
도 6a 내지 도 6d에서는, 재구성 위상 변환 회로가 2개의 상태들에 따른 위상 변환 회로들을 제공하는 것으로 도시되었으나, 본 개시의 다양한 실시 예들은 이에 한정되지 않는다. 일 실시 예에 따라, 설계에 따라 3개 이상의 상태들이 운용될 수 있고, 각 상태에 따른 위상 변환 회로가 구성될 수 있다. 또한, 일 실시 예에 따라, 프로세서의 제어 신호 혹은 사용자의 수동적인 입력에 따라 N개의 위상 변환 회로들 중에서 일부 위상 변환 회로들만 활성화되고, 이후, 제어 신호에 따라 활성화된 위상 변환 회로들 중 하나는 입력 포트 및 전력 디바이더와 연결될 수 있다.
빔포밍 모듈은, 디바이더의 분기들 각각에 배치되는 위상 변환기를 포함할 수 있다. 각 안테나 엘리멘트들에 별도의 위상 변환기가 연결됨으로써, 빔 패턴이 형성된다. 이 때, 디바이더 분기 전에 본 개시의 위상 변환 회로가 배치되고, 이에 따라 전체 서브 어레이에 서로 다른 위상 변환을 제공함으로써, 추가적인 위상 변환기들 없이 위상 변환 범위가 증가할 수 있다.
도 6a에서는 디바이더(631)의 개별 분기마다 위상 변환기가 배치되는 것으로 도시되었으나, 본 개시의 다양한 실시 예들은 이에 한정되지 않는다. 모든 안테나 엘리멘트들 각각에 별도의 위상 변환기를 설치하는 것은 크기 및 비용 증가 등의 이유로 구현이 쉽지 않기 때문에, 본 개시에서는 위상 변환기의 배치를 다양하게 구성하는 방안을 제안한다. 즉, 도 6a에 도시된 위상 변환기들 중에서 일부만 동작하거나, 일부 분기에만 위상 변환기가 배치될 수 있다. 필요한 회로의 크기, 위상 조절 범위 등에 따라 다양한 방식의 위상 변환기 배치(deployment)가 제안될 수 있다.
도 6b를 참고하면, 일부 실시 예들에서, 디바이더(632)의 분기들 중에서 안테나 엘리멘트들과 가까이 연결(예: 안테나 엘리멘트로부터 N1개의 층)되는 분기들 중에서 일부 분기는 위상 변환기가 배치되지 않을 수 있다. 일 예로, 2개의 층들로 구별되는 디바이더들)(예: 2-in-1 디바이더) 중에서 제2 층에 연결되는 2개의 분기들(안테나 엘리멘트와 연결된 분기들) 중 하나의 분기에만 위상 변환기가 배치될 수 있다.
도 6c를 참고하면, 일부 실시 예들에서, 디바이더(633)의 분기들 중에서 입력 포트와 가까이 연결(예: 입력 포트 이후 N2개의 층) 되는 분기들 중에서 일부 분기는 위상 변환기가 배치되지 않을 수 있다. 일 예로, 2개의 층들로 구별되는 디바이더들)(예: 2-in-1 디바이더) 중에서 제1 층에 연결되는 2개의 분기들(안테나 엘리멘트와 연결된 분기들) 중 하나의 분기에만 위상 변환기가 배치될 수 있다.
도 6d를 참고하면, 일부 실시 예들에서, 디바이더(634)(예: 2-in-1 디바이더)는 디바이더의 분기들 중에서, 하나의 분기에는 위상 변환기가 배치되고, 다른 하나의 분기에는 위상 변환기가 배치되지 않는 구조를 포함할 수 있다. 각 분기마다 위상 변환을 다르게 구현함으로써, 실질적으로 각 안테나 엘리멘트에 서로 다른 위상 패턴이 적용되는 효과를 달성할 수 있다.
도 6b 내지 도 6d에 도시된 바와 같이, 다양한 실시 예들에 따른 빔포밍 모듈은, 일부 경로에만 위상 변환 경로를 거치도록 디바이더를 설계함으로써, 서브 어레이 내 안테나 엘리멘트들에게 위상 변환 값들을 적용할 수 있다. 예를 들어, 스위치 이후, 액티브 전력 디바이더의 하단 분기에 제1 위상 변환기(621)가 배치될 수 있다. 또한, 예를 들어, 후속하는 각 전력 디바이더의 하단 분기에 제2 위상 변환기(631), 제3 위상 변환기(632)가 각각 배치될 수 있다.
도 6b 내지 도 6d에서는 디바이더의 두 개의 분기들 중 적어도 하나의 분기에 위상 변환기가 추가되는 것으로 도시되었으나, 이는 일부 분기에 위상 변환기가 추가되는 예를 설명하기 위한 구조일 뿐, 본 개시의 다양한 실시 예들은 이에 한정되지 않는다. 두 개보다 많은 수의 분기들이 구성되는 경우 또한 본 개시의 일 실시 예로써 이해될 수 있다.
도 5 내지 도 6d를 통해 서술한 바와 같이, MMU에서는 안테나 엘리멘트들의 수가 증가하기 때문에, 다수의 안테나 엘리멘트들 각각에 위상 변환기를 통해 적용하는 것은 구조적으로 부담을 발생시킬 수 있다. 또한, 안테나 소자들에 대하여 개별적으로 급전선을 연결하고, 각 급전선이 안테나가 구비된 층을 통해 위상 변환 칩셋에 연결되는 것은 손실 측면에서 비효율적일 수 있다. 일부 실시 예들에서, MMU의 빔포밍 모듈은 이러한 문제를 해소하기 위해, 다수의 안테나 엘리멘트들을 포함하는 안테나 기판(예: 안테나 모듈이 장착된 PCB(print circuit board))에 부착되는 MMU 전용 위상 변환 구조를 포함할 수 있다. 일 실시 예에 따라, 기존 위상 변환 칩 셋의 적용에 용이하지 않으므로, 능동 전력 디바이더 및 재구성 위상 변환 회로는 안테나 PCB의 표면에 실장될 수 있다. PCB 위에 다양한 실시 예들에 따른 재구성 위상 변한 회로는 SMT(surface mounted technology)에 따라 안테나 PCB 위에 실장될 수 있다. 이러한, 안테나와 동일 보드에 위치하는 위상 변환 회로 구조를 통해, 기존 위상 변환 칩 셋의 가격 및 성능 문제를 해소할 수 있다.
도 5에서 언급한 바와 같이, 틸트 커버리지가 증가하더라도 스위칭으로 인한 삽입 손실(이하, 스위칭 손실)이 존재한다. 예를 들어, 4x1 서브 어레이를 포함하는 어레이 안테나의 경우, 제2 회로(503)의 B dBi 대비 스위칭 손실에 해당하는 이득 감소가 존재한다. 따라서, 재구성 위상 변환 회로의 설계 시, 이러한 스위칭 손실을 최소화할 것이 요구된다. 이하, 도 7a 내지 도 14를 통해 스위칭 손실을 최소화하기 위한 재구성 위상 변환 회로의 구체적인 설계 방안이 서술된다.
재구성 위상 변환 회로의 설계
도 7a 내지 도 7b는 위상 변환 회로의 제1 예를 도시한다. 도 7a 내지 도 7b에서 서술되는 위상 변환 회로는, 도 5 또는 도 6a 내지 도 6d의 능동 전력 디바이더와 결합되는 재구성 위상 변환 회로에 포함될 수 있다. 두 개의 상태들 사이에서 스위칭을 제공하는 회로가 예시되나, 본 개시의 실시 예들은 두 개의 상태들보다 많은 상태들 사이에서 스위칭을 제공하는 경우에도 적용될 수 있음은 물론이다.
도 7a를 참고하면, 도시되는 위상 변환 회로(700)는 두 전송 선로들 간의 지연 차이로 위상 변화를 제공하는 회로로, 스위치드-라인(switched line) 위상 변환 회로로 지칭될 수 있다. 포트로부터의 입력과 전력 디바이더로의 출력 간 적응적 경로를 제공하기 위해, 2개의 스위치(예: SPDT)들(710, 730)을 포함할 수 있다. 제1 상태의 경우, 제1 위상 변환 경로(721)가 입력 스위치(710) 및 출력 스위치(730)와 연결된다. 이 때, 제1 위상 변환 경로(721)은 θ1의 위상 변환을 제공할 수 있다. 제2 상태의 경우, 제2 위상 변환 경로(722)가 입력 스위치(710) 및 출력 스위치(730)와 연결된다. 이 때, 제1 위상 변환 경로(721)은 θ2의 위상 변환을 제공할 수 있다. 스위칭에 따라 별개의 전송 경로를 제공하는, 스위치드-라인 위상 변환 회로(700)는, 스위치 자체의 대역폭을 무시할 수 있어, 손실 대역폭이 넓고 제공 가능한 위상 오프셋의 범위의 제한이 없어, 넓은 범위의 위상 변환에 용이하다.
도 7b를 참고하면, 그래프(750)은 스위치드-라인 위상 변환 회로(700)의 스위칭 손실을 나타낸다. 가로축은 주파수(frequency, 단위: GHZ)을 나타내고, 세로축은 통과 계수를 나타낸다. S(2,1)은 제1 위상 변환 경로(721)의 통과 계수인 S파라미터 S21, S(4,3)은 제2 위상 변환 경로(722)의 통과 계수인 S파라미터 S21를 나타낸다. 그래프(750)에 따라 제1 상태(m1) 및 제2 상태(m2) 각각에서 -0.8dB 손실(주파수 3.5 GHz)이 존재함이 확인될 수 있다. 스위치 직렬 손실이 0.4dB임을 가정할 때, 2배의 스위칭 손실이 존재한다. 이는, 신호 경로에 직렬로 2개의 스위치가 존재함에 따라, 스위칭 손실이 그대로 포함되기 때문이다. 높은 스위칭 손실은 상대적으로 낮은 안테나 이득을 제공할 수 있다. 뿐만 아니라, 이러한 스위치드-라인 위상 변환 회로(700)는 MMU 특성 상 높은 레벨의 RF 입력 전력으로 인해 소자의 파손 또는 신뢰성 검증 문제가 있을 수 있기 때문에, 다른 구조의 위상 변환 회로가 대안으로 고려될 수 있다.
도 8a 내지 도 8b는 위상 변환 회로의 제2 예를 도시한다. 도 8a 내지 도 8b에서 서술되는 위상 변환 회로는, 도 5 또는 도 6a 내지 도 6d의 능동 전력 디바이더와 결합되는 재구성 위상 변환 회로에 포함될 수 있다. 두 개의 상태들 사이에서 스위칭을 제공하는 회로가 예시되나, 본 개시의 실시 예들은 두 개의 상태들보다 많은 상태들 사이에서 스위칭을 제공하는 경우에도 적용될 수 있음은 물론이다.
도 8a를 참고하면, 도시되는 위상 변환 회로(800)는 주 전송 선에 로딩되는 리액턴스 차이로 위상 변화를 제공하는 회로로, 로드-라인(loaded line) 위상 변환 회로로 지칭될 수 있다. 포트로부터의 입력과 전력 디바이더로의 출력 간 적응적으로 리액턴스를 부가하기 위해, 2개의 스위치(예: SPDT)들(810, 830)을 포함할 수 있다. 제1 상태의 경우, 메인 경로(820)에 2개의 제1 위상 변환 부하들(821)이 병렬적으로 연결될 수 있다. 메인 경로(820)은 θL의 위상 변환을 제공할 수 있다. 이 때, 각 제1 위상 변환 부하(821)는 θ1의 위상 변환을 제공할 수 있다. 제2 상태의 경우, 메인 경로(820)에 2개의 제2 위상 변환 부하들(822)이 병렬적으로 연결될 수 있다. 이 때, 각 제2 위상 변환 부하(822)는 θ2의 위상 변환을 제공할 수 있다. 스위치가 션트(shunt) 스터브(stub) 구조로 연결되어 있어, 로드-라인(loaded line) 위상 변환 회로(800)은 우수한 손실 성능(즉, 낮은 스위칭 손실)을 제공한다.
도 8b를 참고하면, 그래프(851)은 낮은 위상 오프셋에서 로드-라인(loaded line) 위상 변환 회로(800)의 스위칭 손실을 나타낸다. 낮은 위상 오프셋은 지정된 임계값 미만의 위상 오프셋을 의미할 수 있다. 그래프(851)은 낮은 위상 오프셋에 대한 손실 성능을 나타낸다. 그래프(852)는 높은 위상 오프셋에서 로드-라인(loaded line) 위상 변환 회로(800)의 스위칭 손실을 나타낸다. 높은 위상 오프셋은 지정된 임계값 이상의 위상 오프셋을 의미할 수 있다. 일 예로, 그래프(851)은 높은 위상 오프셋에 대한 손실 성능을 나타낸다. 각 그래프에서 가로축은 주파수(frequency, 단위: GHZ)을 나타내고, 세로축은 통과 계수를 나타낸다. S(2,1)(m1)은 제1 위상 변환 부하들(821)이 부가되는 제1 상태에서 위상 변환 회로(800)의 통과 계수인 S파라미터 S21, S(4,3) (m2)은 제2 위상 변환 부하들(822)이 부가되는 제2 상태에서 위상 변환 회로(800)의 통과 계수인 S파라미터 S21를 나타낸다.
그래프(851) 및 그래프(852)를 참고하면, 낮은 위상 오프셋에서는 넓은 대역 및 저손실(3.5GHz에서 약 0.25dB(m1=0.267dB, m2=0.241dB), 손실 = 약 0.625)이 존재하나, 높은 위상 오프셋에서는 협대역 및 높은 손실(3.5GHz에서 약 0.75dB(m1=0.753dB, m2=0.752dB), 손실 = 1.75)이 존재함이 확인될 수 있다. 이는, 션트 스터브 구조로 대역폭이 제한됨에 따라, 제공 가능한 위상 오프셋의 범위(약 45도 이하)가 정해지기 때문이다. 뿐만 아니라, 일정 값 이상의 위상 오프셋에서는 공정 오차의 내성이 없고, 좁은 대역의 신호만 제공가능하므로, 로드-라인 위상 변환 회로(800)는 높은 위상 오프셋에서는 적절한 손실 성능을 제공하지 못할 수 있다.
상술한 바와 같이, 스위치드-라인 위상 변환 회로(700)는 손실 문제, 로드-라인 위상 변환 회로(800)는 위상 변화량의 제한 문제가 존재한다. 따라서, 본 개시에서는, 손실 성능을 충족하면서, 다양한 위상 변화량을 제공할 수 있는 위상 변환 회로가 서술된다. 제안되는 위상 변환 회로는 메인 경로와 서브 경로 간의 병렬 연결을 통해, 각 경로를 통해 전달되는 신호들을 더함으로써, 요구되는 위상 변화를 제공한다. 이하, 메인 경로/서브 경로의 결합을 통해 제공되는 위상 변환 회로는 Quasi Passive Vector-sum (QPVS) 위상 변환 회로로 지칭될 수 있다. QPVS 위상 변환 회로의 동작은 도 9 내지 도 13을 통해 상세히 서술된다.
QPVS 위상 변환 회로
도 9는 다양한 실시 예들에 따른 QPVS 위상 변환 회로의 예를 도시한다. 도 9에서 서술되는 위상 변환 회로는, 도 5 또는 도 6a 내지 도 6d의 능동 전력 디바이더와 결합되는 재구성 위상 변환 회로에 포함될 수 있다. 두 개의 상태들(제1 상태(901), 제2 상태(902)) 사이에서 스위칭을 제공하는 회로가 예시되나, 본 개시의 실시 예들은 두 개의 상태들보다 많은 상태들 사이에서 스위칭을 제공하는 경우에도 적용될 수 있음은 물론이다.
도 9를 참고하면, QPVS 위상 변환 회로(900)는 메인 경로(910)와 제1 상태(901)에서 위상 변환 회로에 포함되는 제1 서브 경로(921), 제2 상태(902)에서 위상 변환 회로에 포함되는 제2 서브 경로(922)를 포함할 수 있다. 또한, 상태 별로 제1 서브 경로(921), 제2 서브 경로(922) 중에서 하나를 동작시키기 위하여, 제1 서브 경로(921)의 양단 또는 제2 서브 경로(922)의 양단에 스위치들(911, 931)(예: SPDT)이 연결되는 구조를 포함할 수 있다. 제1 상태(901)의 경우, 스위치들(911, 931)은 제1 서브 경로(921)의 양단에 연결되어, 제1 서브 경로(921)는 메인 경로와 병렬로 연결된다. 제2 상태(902)의 경우, 스위치들(911, 931)은 제2 서브 경로(922)의 양단에 연결되어, 제2 서브 경로(922)는 메인 경로와 병렬로 연결된다.
메인 경로(910)를 통해 전달되는 RF 신호와 서브 경로(예: 제1 서브 경로(921) 또는 제2 서브 경로(922))를 통해 전달되는 RF 신호는 출력 단에서 더해질 수 있다. 이 때 RF 신호는 벡터의 형태로 표현될 수 있다. QPVS 위상 변환 회로에서 출력되는 RF 신호(즉, 전력 디바이더로 전달되는 RF 신호)는 각 RF 신호의 벡터 합에 대응할 수 있다. 따라서, 메인 경로(910), 제1 서브 경로(921), 및 제2 서브 경로(922) 각각에서의 위상 변환을 제어함으로써, 원하는 RF 신호의 벡터 합을 얻을 수 있다.
두 RF 신호의 벡터 합은, 출력되는 RF 신호의 위상 변환 값에 대응할 수 있다. 원하는(desired) 위상 변환 범위를 고려하여, 메인 경로(910), 제1 서브 경로(921), 및 제2 서브 경로(922)가 설계될 수 있다. 예를 들어, 메인 경로(910)을 통해 전달되는 RF 신호는 고정적인 위상 변환(예: (+)90도)을 갖도록, 메인 경로(910)은 설계될 수 있다. 제1 서브 경로(921)와 제2 서브 경로(922)를 통해 전달되는 RF 신호는 메인 경로를 기준으로 다른 방향의 위상 변환을 갖도록, 제1 서브 경로(921)와 제2 서브 경로(922) 각각이 설계될 수 있다. 일 실시 예에 따라, 제1 서브 경로(921)와 제2 서브 경로(922)를 통해 전달되는 RF 신호는 메인 경로를 기준으로 대칭일 수 있다. 구체적인 설계 방안은 도 12를 통해 서술된다.
QPVS 위상 변환 회로(900)은, 메인 경로(910)와 스위칭에 따라 설정되는 서브 경로(제1 서브 경로(921) 또는 제2 서브 경로(922)))를 결합함으로써, 원하는 위상을 제공할 수 있다(약 150도 범위 내). 메인 경로와 서브 경로를 병렬로 연결함으로써, 션트 스터브 구조가 없기 때문에 넓은 대역폭을 제공할 수 있다. 또한, 스위치를 서브 경로 내 병렬로 배치함으로써, 스위치의 직렬 손실을 경감시킬 수 있다(도 7의 스위치드-라인 위상 변환 회로(700) 대비 낮은 스위칭 손실(<2)).
도 10 내지 도 11은 다양한 실시 예들에 따른 QPVS 위상 변환 회로의 동작 원리의 예들을 도시한다. QPVS 위상 변환 회로는 도 9에서 서술된 위상 변환 회로(900)을 예시한다. QPVS 위상 변환 회로는 고정된 위상 값을 제공하는 메인 경로(910)와 스위칭에 따라 제공되는 위상 값이 변하는 서브 경로(제1 상태에서 제1 서브 경로(921), 제2 상태에서 제2 서브 경로(922))를 포함할 수 있다. 이 때, 위상 변환 회로에서 출력되는 RF 신호는, 서브 경로의 변동 범위에 따라 다양한 위상 변화량을 갖는다. 즉, 제1 서브 경로(921)에서 제공되는 위상 변환 값과 제2 서브 경로(922)에서 제공되는 위상 변환 값 간의 차이를 통해, 위상 변환 회로에서 출력되는 RF 신호의 위상 변환 범위가 결정될 수 있다.
도 10을 참고하면, 작은 위상 오프셋 범위를 제공하는 QPVS 위상 변환 회로가 도시된다. 여기서, 작은 위상 오프셋 범위는, 서브 경로로 인한 위상 변환의 영향이 메인 경로로 인한 위상 변환의 영향보다 상대적으로 적어, 위상 변화량의 크기가 기준값보다 작음을 의미한다.
입력 포트의 신호 중에서 대부분의 신호가 메인 경로로 전달되고, 나머지 신호가 서브 경로로 전달됨에 따라, 출력 신호에 대응하는 벡터 합의 방향 성분은 메인 경로의 성분이 지배적이다. 제1 상태(1001)의 경우, 메인 경로 성분('1-a')이 서브 경로 성분('a')보다 훨씬 크므로(1-a>>a), 벡터합의 방향 및 크기 또한 메인 경로 성분의 영향이 크다. 일 예로, 'a>>b'는 a가 b의 지정된 배수(예: 10)보다 큼을 의미할 수 있다. 제2 상태(1002)의 경우, 메인 경로 성분('1-b')이 서브 경로 성분('b')보다 훨씬 크므로(1-b>>b), 벡터합의 방향 및 크기 또한 메인 경로 성분의 영향이 크다.
QPVS 위상 변환 회로는 좁은 범위의 위상 변환을 제공하는 대신, 대부분의 신호가 메인 경로로 전달됨으로써, 저-손실 이득을 제공할 수 있다. 스위치로 인한 영향이 적기 때문이다. 일 실시 예에 따라, 스위칭 손실로 인한 영향이 상당한 경우, 빔포밍 모듈은 해당 위상 변환 회로를 통해 전달된 RF 신호를 서브 어레이에게 제공할 수 있다. 이러한 위상 변환 회로는 설계 단계에서 서브 어레이 내 안테나 엘리멘트들의 개수 또는 어레이 팩터(array factor, AF)에 기초하여 구성될 수 있다. 또는 빔포밍 모듈은 복수의 위상 변환 회로들을 포함하고, 좁은 범위의 위상 변환을 제공하는 위상 변환 회로는, 프로세서의 제어에 의해 식별될 수 있다.
도 11을 참고하면, 넓은 위상 오프셋 범위를 제공하는 QPVS 위상 변환 회로가 도시된다. 여기서, 넓은 위상 오프셋 범위는, 메인 경로로 인한 위상 변환의 영향이 서브 경로로 인한 위상 변환의 영향보다 상대적으로 적어, 위상 변화량의 크기가 기준값보다 큼을 의미한다.
입력 포트의 신호 중에서 대부분의 신호가 서브 경로로 전달되고, 나머지 신호가 서브 경로로 전달됨에 따라, 출력 신호에 대응하는 벡터 합의 방향 성분은 메인 경로의 성분이 지배적이다. 제1 상태(1101)의 경우, 서브 경로 성분('a')이 메인 경로 성분('1-a')보다 훨씬 크므로(1-a<<a), 벡터합의 방향 및 크기 또한 서브 경로 성분의 영향이 크다. 제2 상태(1102)의 경우, 서브 경로 성분('b')이 메인 경로 성분('1-b')이 보다 훨씬 크므로(1-b<<b), 벡터합의 방향 및 크기 또한 서브 경로 성분의 영향이 크다.
QPVS 위상 변환 회로는 서브 경로의 위상 변환으로 인한 영향이 높아 스위치의 직렬 손실 영향이 크나, 넓은 범위의 위상 변환을 제공할 수 있다. 일 실시 예에 따라, 스위칭 손실로 인한 영향이 미비한 경우 혹은 넓은 범위의 위상 변환이 필요한 경우, 빔포밍 모듈은 해당 위상 변환 회로를 통해 전달된 RF 신호를 서브 어레이에게 제공할 수 있다. 이러한 위상 변환 회로는 설계 단계에서 서브 어레이 내 안테나 엘리멘트들의 개수 또는 어레이 팩터(array factor, AF)에 기초하여 구성될 수 있다. 또는 빔포밍 모듈은 복수의 위상 변환 회로들을 포함하고, 좁은 범위의 위상 변환을 제공하는 위상 변환 회로는, 프로세서의 제어에 의해 식별될 수 있다.
다양한 실시 예들에 따른 QVPS 위상 변환 회로를 구성하기 위하여, 임피던스 정합(impedance matching)이 수행될 수 있다. 임피던스 정합을 통해, QPVS 위상 변환 회로는 RF 신호의 전력 전달을 최대로 하도록 구성될 수 있다. 이 때, 메인 경로는 위상 변환의 기준이 되는 값으로 고정적인 위상(예: 90*n(n=1, 3,..))을 제공하도록 구성될 수 있다.
일 실시 예에 따라, 메인 경로(910)는 임피던스들을 포함할 수 있다. 각 임피던스의 값은, 서브 경로와 병렬 연결시, 임피던스 정합(impedance matching)이 되도록 설정될 수 있다. 또한, 각 임피던스는 고정적인 위상 변환(예: 90n)을 제공하도록 결정될 수 있다. 일 예로, θ2는 90-2θ1의 값으로 설정될 수 있다. 일 예로, 메인 경로는 90도의 고정적인 위상 변환을 갖도록 설계될 수 있다.
일 실시 예에 따라, 서브 경로는 임피던스들을 포함할 수 있다. 서브 경로는 병렬로 연결되는 메인 경로와 임피던스 정합(impedance matching)이 되도록 설계될 수 있다. 이 때, 서브 경로는 스위칭에 따라 정의되는 제1 서브 경로의 위상 변환과 제2 서브 경로의 위상 변환이 서로 다른 리액턴스를 제공하는 한편, 전술한 메인 경로의 고정적인 위상을 기준으로 대칭이 되도록 구성될 수 있다(예: 제1 서브 경로의 임피던스(Zsub -path# 1)가 a+jb인 경우, 제2 서브 경로의 임피던스(Zsub -path# 2)는 a-jb). 또한, 스위칭에 따라 정의되는 제1 서브 경로의 위상 변환과 제2 서브 경로의 위상 변환의 차이가 얻고자 하는 위상 오프셋(즉, 최대 위상 범위 변화량)이 되도록 구성될 수 있다. 또한, 임피던스의 위상 변환 값은, 지정된 상태 별로 연결되는 서브 경로의 신호가 메인 경로의 위상을 기준으로 대칭이 되도록 설계될 수 있다. 각 서브 경로와 메인 경로의 합성 신호의 크기가 같아지도록 하기 위함이다. 일 예로, 메인 경로의 90도의 고정적인 위상을 기준으로 각 서브 경로(제1 서브 경로(921) 및 제2 서브 경로(922))는 대칭일 수 있다.
도 12는 다양한 실시 예들에 따른 QPVS 위상 변환 회로의 설계 방법을 도시한다. 이러한 설계 방법은, 회로를 설계하기 위한 제조 방법으로 이용될 수 있다. 또한, 설계 방법은, 각 전송 선로의 임피던스를 가변적으로 설정할 수 있는 위상 변환 회로를 설계할 때 이용될 수 있다. 또한, 설계 방법은, 빔포밍 모듈, 혹은 안테나 장비에서 본 개시의 실시 예들에 따른 위상 변환 회로를 포함하는지 여부, 즉 QPVS 위상 변환 회로의 실시 여부를 확인하기 위해 이용될 수 있다. 이하, 설계를 위한 동작을 회로 생성기가 수행하는 것으로 서술되나, 사업자의 제조 과정, 사용자의 실시, 설계 장치의 공정에 적용될 수 있다.
도 12를 참고하면, 단계(1201)에서, 회로 생성기는 도 9의 QPVS 위상 변환 회로의 메인 경로 변수를 설정할 수 있다. 일 실시 예에 따라, 메인 경로 변수는 메인 경로(910) 내 제1 임피던스(위상 θ1), 제2 임피던스(위상 θ2), 제3 임피던스(위상 θ3)일 수 있다. 일 실시 예에 따라, 메인 경로가 고정적인 위상을 갖도록, θ2는 θ13과 90도의 정수배만큼 차이를 갖는 위상 값을 가질 수 있다. 초기 동작에서, 일 예로, θ13이면 θ2는 90-2θ1로 설정될 수 있다.
단계(1203)에서, 회로 생성기는 도 9의 QPVS 위상 변환 회로의 서브 경로 변수를 설정할 수 있다. 도 12에서는 QPVS 위상 변환 회로가 2개의 서브 경로들을 포함하는 예로 서술되는 바, 회로 생성기는 제1 서브 경로(921)과 제2 서브 경로(922) 각각의 경로 변수들을 설정할 수 있다. 일 실시 예에 따라, 각 서브 경로의 변수는 서브 경로(921, 922) 내 제1 임피던스, 제2 임피던스, 제3 임피던스일 수 있다.
단계(1205)에서, 회로 생성기는 임피던스 정합 및 위상 오프셋 조건이 충족되는지 여부를 결정할 수 있다. 메인 경로와 각 서브 경로는 결합될 수 있다. 이 때, 서브 경로의 스위칭 손실을 최소화하기 위하여, 메인 경로와 각 서브 경로는 병렬로 연결될 수 있다. 회로 생성기는 두 경로들이 병렬로 연결 시, 입력과 출력에 대한 반사 파라미터(예: 반사 계수, S11 파라미터), 통과 파라미터(예: 통과 계수, S21 파라미터)를 획득할 수 있다.
회로 생성기는, 단계(1201) 및 단계(1203)에서 설정된 변수들이 임피던스 정합 및 위상 오프셋 조건을 충족하도록 각 변수의 값들을 식별할 수 있다. 예를 들어, 메인 경로와 제1 서브 경로의 연결에 따른 S11 파라미터는 S11a, 메인 경로와 제1 서브 경로의 연결에 따른 S21 파라미터는 S21a, 메인 경로와 제2 서브 경로의 연결에 따른 S11 파라미터는 S11b, 메인 경로와 제2 서브 경로의 연결에 따른 S21 파라미터는 S21b일 수 있다. 이 때, 임피던스 정합 조건은 하기와 같이 정의될 수 있다.
Figure pat00001
Figure pat00002
또한, 얻고자 하는 위상 변화량의 범위, 즉 위상 오프셋이 PO인 경우, 위상 오프셋 조건은 하기와 같이 정의될 수 있다.
Figure pat00003
회로 생성기는, 단계(1201) 및 단계(1203)에서 설정된 변수들이 상술된 수학식 1 내지 수학식 3을 충족하도록 각 변수의 값을 식별할 수 있다. 회로 생성기는 임피던스 정합이 이루어지지 않거나, 위상 오프셋 조건이 충족되지 않는 경우, 단계(1207)을 수행할 수 있다. 한편, 회로 생성기는 임피던스 정합을 수행하고, 위상 오프셋 조건이 충족되면, 단계(1209)를 수행할 수 있다.
단계(1207)에서, 회로 생성기는 메인 경로의 딜레이 변수를 재설정할 수 있다. θ2는 단계(1201)에서 2θ1과 90도의 정수배(n)만큼 차이를 갖는 위상 값을 갖도록 설정되기 때문에, 해당 n값에서 적절한 임피던스/위상 값들을 식별하지 못했다면, 회로 생성기는 n 값을 변환시킬 수 있다. 일 예로, 회로 생성기는 n값을 1에서 3으로 변경할 수 있다. θ2는 270-2θ1로 설정될 수 있다.
단계(1209)에서, 회로 생성기는 임피던스 정합되고 위상 오프셋 조건이 충족되는, 메인 경로 변수 및 서브 경로 변수에 따라, 메인 경로 및 각 서브 경로를 포함하는 QPVS 위상 변환 회로를 도출할 수 있다. 일 실시 예에 따라, 회로 생성기는 더 짧은 위상으로 서브 경로의 회로 설계가 가능한지 여부를 추가적으로 수행할 수 있다. 회로 생성기는 서브 경로 설계 시 더 짧은 위상(θ')으로 회로 설계가 가능한 경우, 해당 서브 경로들로 대체하여 새로운 QPVS 위상 변환 회로를 도출할 수 있다. 회로 생성기는 가장 좁은 위상으로 동일한 S11 파라미터, S21 파라미터를 얻을 때까지, 해당 판단 동작을 반복할 수 있다.
도 12에서는 메인 경로 변수를 먼저 설정하고, 이후 서브 경로 변수들을 설정하는 것으로 서술되었으나, 도 12에 도시된 설계 방법은 일 예시일 뿐, 다양한 실시 예들은 반드시 이에 한정되지 않는다. 즉, 본 개시의 실시 예들은 서브 경로 변수들이 먼저 설정되고, 메인 경로 변수가 조정될 수도 있음을 배제하지 않는다.
도 12에서는 임피던스와 위상으로 구성되는 소자들만을 언급하였으나, 본 개시의 일부 실시 예들은 이에 한정되지 않는다. 일 실시 예에 따라, QPVS 위상 변환 회로의 서브 경로는, 스위치, 다이오드, 트랜지스터 등 비선형 소자를 적어도 하나 포함할 수 있다.
전술한 바와 같이, 도 12에서는 설계 방안으로 서술되었으나, 해당 설계 방안은 다양한 실시 예들에 따른 QPVS 위상 변환 회로의 구비 여부를 판단하기 위해 이용될 수 있다. 일부 실시 예들에서, 수학식 1 내지 수학식 3의 충족 여부를 통해 본 개시의 QPVS 회로의 실시 여부가 확인될 수 있다. 일 실시 예에 따라, 빔포밍 모듈과 연결되는 프로세서는, 테스트 RF 신호를 전송함으로써, 해당 빔포밍 모듈의 본 개시의 QPVS 회로의 구성 여부를 확인할 수 있다.
도 13은 다양한 실시 예들에 따른 QPVS 위상 변환 회로의 성능을 도시한다.
도 13을 참고하면, 그래프(1300)은 QPVS 위상 변환 회로의 스위칭 손실에 따른 성능을 나타낸다. 그래프(1300)은 가로축은 주파수(단위: GHz), 세로축은 통과 계수, 즉 S21 파라미터(단위: dB) 간의 관계를 나타낸다. 3.5GHz 대역에서, 제1 상태(메인 경로와 제1 서브 경로가 병렬 연결된 상태)에 따른 지점(m3) 및 제2 경로(메인 경로와 제2 서브 경로가 병렬 연결된 상태)에 따른 지점(m5)을 살펴보면, 각각 통과 계수는 -0.694 dB, -0.694 dB임이 확인될 수 있다. 스위치 직렬 손실이 0.4dB임을 가정할 때, 약 1.75배의 스위칭 손실이 존재한다. QPVS 위상 변환 회로는 도 7b의 그래프(750)에 도시된 스위치드-라인 위상 변환 회로의 손실인 0.8dB보다 향상된 스위칭 손실을 제공하며, 안정적인 대역폭을 제공할 수 있다. 또한 도 8b의 로드-라인 위상 변환 회로 대비 높은 위상 오프셋에서 보다 낮은 손실 및 넓은 대역을 제공할 수 있다.
다종 위상 변환 회로
도 14는 다양한 실시 예들에 따른 위상 변환 회로의 유형에 따른 위상 오프셋(phase offset) 별 성능을 도시한다. 도 7a 내지 도 13을 통해 서술된 바와 같이, 위상 변환 회로의 다양한 유형이 서술되었다. 일 실시 예에 따라, 디바이더를 통해 서브 어레이와 연결될 수 있는 재구성 위상 변환 회로는 스위치드-라인 위상 변환 회로(700)일 수 있다. 또한, 일 실시 예에 따라, 디바이더를 통해 서브 어레이와 연결될 수 있는 재구성 위상 변환 회로는 로드-라인 위상 변환 회로(800)일 수 있다. 또한, 일 실시 예에 따라, 디바이더를 통해 서브 어레이와 연결될 수 있는 재구성 위상 변환 회로는 QPVS 위상 변환 회로(900)일 수 있다. 이 때, 각 유형의 위상 변환 회로는 장점 및 단점이 존재하는 바, 빔포밍 모듈은 장단점을 활용하여, 재구성 위상 변환 회로에서 동작하는 위상 변환 회로의 유형을 적응적으로 구성할 수 있다.
도 14를 참고하면, 그래프(1400)은 위상 변환 회로의 유형 별 삽입 손실을 나타낸다. 가로축은 위상 오프셋(단위: ˚), 세로축은 삽입 손실(단위: dB)를 나타낸다. 라인(1401)은 스위치드-라인 위상 변환 회로(700)의 위상 오프셋 별 삽입 손실을 나타낸다. 라인(1403)은 로드-라인 위상 변환 회로(800)의 위상 오프셋 별 삽입 손실을 나타낸다. 라인(1403)은 QPVS위상 변환 회로(900)의 위상 오프셋 별 삽입 손실을 나타낸다.
삽입 손실, 즉 스위칭 손실은 최종적으로 도출되는 안테나 이득에 영향을 미치기 때문에, 그 값을 최소화하는 것이 요구된다. 이에 따라, 일부 실시 예들에서, 빔포밍 모듈은 유형 별 위상 변환 회로를 포함할 수 있다. 즉, 빔포밍 모듈은 다양한 위상 오프셋 범위를 제공하기 위한 3종 위상 변화기를 포함할 수 있다. 빔포밍 모듈은 위상 오프셋의 범위 별로, 위상 변환 회로의 최적의 유형이 다른 바, 위상 오프셋 범위에 따라 적응적으로 위상 변한 회로를 구성할 수 있다. 예를 들어, 위상 오프셋이 제1 임계값 미만의 범위인 경우, 로드-라인 위상 변환 회로(800)이 설정될 수 있다. 또한, 위상 오프셋이 제1 임계값 이상 제2 임계값 미만의 범위인 경우, QPVS 위상 변환 회로(900)이 설정될 수 있다. 또한, 위상 오프셋이 제 2 임계값 이상의 범위인 경우, 스위치드-라인 위상 변환 회로(800)이 설정될 수 있다.
확장 위상 변환 회로
도 15a는 다양한 실시 예들에 따른 재구성 위상 변환 회로의 확장 구조의 예를 도시한다. 도 9 내지 도 13에서는 QPVS 회로가 2개의 서브 경로들을 포함하는 것으로 도시되었으나, 2개보다 많은 개수의 서브 경로들이 이용될 수 있다. 도 15a에서는 단일 비트(single-bit)로 구성된 QPVS 위상 변환 회로에서, 다수 비트들로 구성되는 확장된 구조를 제안한다. 도 9의 QPVS 위상 변환 회로(900)의 SPDT 위치에 SPNT가 사용됨으로써, 운용하고자 하는 상태들의 수가 증가한다.
도 15a를 참고하면, 재구성 위상 변환 회로는, 확장 QPVS 회로로서, 메인 경로(1510)와 제1 서브 경로(1520-1), 제2 서브 경로(1520-2), ..., 제N 서브 경로(1520-N)(여기서, N은 3 이상의 정수)를 포함할 수 있다. 이 때, 각 경로는 삽입 손실을 최소화하기 위하여 병렬로 연결될 수 있다.
메인 경로(1510)와 제1 서브 경로(1520-1), 제2 서브 경로(1520-2), ..., 제N 서브 경로(1520-N)(여기서, N은 3 이상의 정수)의 각 임피던스/위상 값의 설계는 도 12의 수학식 1 내지 3이 유사한 방식으로 적용될 수 있다. 메인 경로(1510)와 각 서브 경로(1520-i)의 임피던스는 병렬 연결시, 임피던스 매칭 조건(반사계수=0, 통과계수=1)을 충족하도록 설계될 수 있다. 또한, 각 서브 경로의 위상은 최종으로 도출되는 벡터 합의 크기가 갖도록, 메인 경로의 위상 값을 기준으로 대칭적으로 설계될 수 있다.
도 15b는 다양한 실시 예들에 따른 재구성 위상 변환 회로의 확장 구조의 다른 예를 도시한다. 재구성 위상 변환 회로는, 도 15에 도시된 확장 QPVS 회로의 집합을 포함할 수 있다. 도 15a의 확장 QPVS 위상 변환 회로에 계층적인 구조로 다시 SPNT 및 추가적인 QPVS 회로들을 구비함으로써, 운용하고자 하는 상태들의 수가 증가할 수 있다.
도 15b를 참고하면, 재구성 위상 변환 회로는, 입력 포트의 SPNT 및 출력의 SPNT를 통해, 제1 확장 QPVS 위상 변환 회로(1550-1) 내지 제N 확장 QPVS 위상 변환 회로(1550-N)와 병렬적으로 연결될 수 있다. 병렬 연결을 통해 삽입 손실을 최소화할 수 있다. 일 실시 예에 따라, 각 확장 QPVS 위상 변환 회로는 고정적인 위상 값을 제공하고, 빔포밍 모듈은, 제어 가능한 상태들의 수가 증가함에 따라 개별 확장 QPVS 회로의 스위칭을 통해 서브 경로를 통한 상세 위상 조정을 달성할 수 있다.
전술한 바와 같이, 서브 어레이 내 안테나 엘리멘트들의 개수가 증가할수록(예: 2 서브 어레이
Figure pat00004
4 서브 어레이) 안테나 이득이 향상된다. 단순히 서브 어레이의 크기를 증가시키게 되면, 틸트 범위가 감소하여 성능 저하를 야기하는 바, 다양한 실시 예들에 따른 빔포밍 모듈은 재구성 위상 변환 회로를 통해 복수의 상태들을 제공할 수 있다.
Figure pat00005
A˚(A>0)를 커버하기 위한 상황을 가정하자. 재구성 위상 변환 회로에서 2개의 상태들을 운용하는 경우, 각 상태에서 재구성 위상 변환 회로의 메인 경로 및 서브 경로의 조합은
Figure pat00006
A˚/2만큼 커버하도록 구성될 수 있다. 재구성 위상 변환 회로에서 3개의 상태들을 운용하는 경우, 각 상태에서 재구성 위상 변환 회로의 메인 경로 및 서브 경로의 조합은
Figure pat00007
A˚/3만큼 커버하도록 구성될 수 있다.
다양한 실시 예들에 따를 때, 재구성 위상 변환 회로가 제1 상태일 때 방사되는 제1 신호와 재구성 위상 변환 회로가 제2 상태일 때 방사되는 제2 신호의 각 조준 방향이, 중첩되지 않도록 각 위상 변환 값이 구성됨으로써, 본 개시의 실시 여부가 확인될 수 있다. 전력 디바이더 앞단에 재구성 위상 변환 회로를 배치하고, 조절 가능한 빔 스캔 범위를 물리적으로 구별시킴으로써, 이후 개별 분기(branch)의 위상 변환기가 위치하더라도, 상태 별 빔의 방향은 중첩되지 않을 수 있다. 즉, 개별적으로 형성되는 빔들의 각 방향이 물리적으로 구별될 수 있다.
본 개시의 청구항 또는 명세서에 기재된 실시 예들에 따른 방법들은 하드웨어, 소프트웨어, 또는 하드웨어와 소프트웨어의 조합의 형태로 구현될(implemented) 수 있다.
소프트웨어로 구현하는 경우, 하나 이상의 프로그램(소프트웨어 모듈)을 저장하는 컴퓨터 판독 가능 저장 매체가 제공될 수 있다. 컴퓨터 판독 가능 저장 매체에 저장되는 하나 이상의 프로그램은, 전자 장치(device) 내의 하나 이상의 프로세서에 의해 실행 가능하도록 구성된다(configured for execution). 하나 이상의 프로그램은, 전자 장치로 하여금 본 개시의 청구항 또는 명세서에 기재된 실시 예들에 따른 방법들을 실행하게 하는 명령어(instructions)를 포함한다.
이러한 프로그램(소프트웨어 모듈, 소프트웨어)은 랜덤 액세스 메모리 (random access memory), 플래시(flash) 메모리를 포함하는 불휘발성(non-volatile) 메모리, 롬(read only memory, ROM), 전기적 삭제가능 프로그램가능 롬(electrically erasable programmable read only memory, EEPROM), 자기 디스크 저장 장치(magnetic disc storage device), 컴팩트 디스크 롬(compact disc-ROM, CD-ROM), 디지털 다목적 디스크(digital versatile discs, DVDs) 또는 다른 형태의 광학 저장 장치, 마그네틱 카세트(magnetic cassette)에 저장될 수 있다. 또는, 이들의 일부 또는 전부의 조합으로 구성된 메모리에 저장될 수 있다. 또한, 각각의 구성 메모리는 다수 개 포함될 수도 있다.
또한, 프로그램은 인터넷(Internet), 인트라넷(Intranet), LAN(local area network), WAN(wide area network), 또는 SAN(storage area network)과 같은 통신 네트워크, 또는 이들의 조합으로 구성된 통신 네트워크를 통하여 접근(access)할 수 있는 부착 가능한(attachable) 저장 장치(storage device)에 저장될 수 있다. 이러한 저장 장치는 외부 포트를 통하여 본 개시의 실시 예를 수행하는 장치에 접속할 수 있다. 또한, 통신 네트워크상의 별도의 저장장치가 본 개시의 실시 예를 수행하는 장치에 접속할 수도 있다.
상술한 본 개시의 구체적인 실시 예들에서, 개시에 포함되는 구성 요소는 제시된 구체적인 실시 예에 따라 단수 또는 복수로 표현되었다. 그러나, 단수 또는 복수의 표현은 설명의 편의를 위해 제시한 상황에 적합하게 선택된 것으로서, 본 개시가 단수 또는 복수의 구성 요소에 제한되는 것은 아니며, 복수로 표현된 구성 요소라 하더라도 단수로 구성되거나, 단수로 표현된 구성 요소라 하더라도 복수로 구성될 수 있다.
한편 본 개시의 상세한 설명에서는 구체적인 실시 예에 관해 설명하였으나, 본 개시의 범위에서 벗어나지 않는 한도 내에서 여러 가지 변형이 가능함은 물론이다. 그러므로 본 개시의 범위는 설명된 실시 예에 국한되어 정해져서는 아니 되며 후술하는 특허청구의 범위뿐만 아니라 이 특허청구의 범위와 균등한 것들에 의해 정해져야 한다.

Claims (18)

  1. 안테나 장치에 있어서,
    서브 어레이를 포함하는 어레이 안테나;
    전력 디바이더(power divider); 및
    재구성 위상 변환 회로(reconfigurable phase shift)를 포함하고,
    상기 재구성 위상 변환 회로는,
    제1 상태에서, 스위치에 따라 제1 위상 변환 값을 제공하는 회로로 구성되고,
    제2 상태에서, 상기 스위치에 따라 상기 제1 위상 변환 값과 다른 제2 위상 변환 값을 제공하는 회로로 구성되는 안테나 장치.
  2. 청구항 1에 있어서,
    상기 재구성 위상 변환 회로는, 포트 입력 이후 전력 디바이더의 분기 전에 위치하도록 배치되는 안테나 장치.
  3. 청구항 1에 있어서, 상기 재구성 위상 변환 회로는 메인 경로와 제1 서브 경로 및 제2 서브 경로를 포함하는 적어도 두개의 서브 경로들이 병렬로 연결되는 제1 위상 변환 회로를 포함하고,
    상기 제1 위상 변환 회로는,
    상기 스위치의 동작에 따라 상기 제1 상태에서, 상기 제1 서브 경로를 상기 메인 경로에 연결하도록 동작하고,
    상기 스위치의 동작에 따라 상기 제2 상태에서, 상기 제2 서브 경로를 상기 메인 경로에 연결하도록 동작하는 안테나 장치.
  4. 청구항 3에 있어서,
    상기 메인 경로에 의해 제공되는 위상은 고정적인 값을 제공하도록 구성되고,
    상기 제1 서브 경로에 제공되는 위상 및 상기 제2 서브 경로에 제공되는 위상은, 상기 고정적인 값을 기준으로 대칭인 안테나 장치.
  5. 청구항 4에 있어서,
    상기 제1 상태에서, 상기 메인 경로 및 상기 제1 서브 경로의 병렬 연결에 의해 제공되는 제1 위상 변환 백터와 상기 제2 상태에서, 상기 메인 경로 및 상기 제2 서브 경로의 병렬 연결에 의해 제공되는 제2 위상 변환 벡터의 차이는, 요구되는 위상 오프셋에 대응하는 안테나 장치.
  6. 청구항 4에 있어서,
    상기 메인 경로의 적어도 하나의 임피던스의 위상 값, 상기 제1 서브 경로의 적어도 하나의 제1 임피던스 위상 값, 상기 제2 서브 경로의 적어도 하나의 제2 임피던스 위상 값은 요구되는 위상 오프셋에 기반하여 결정되는 안테나 장치.
  7. 청구항 3에 있어서,
    상기 제1 서브 경로 또는 상기 제2 서브 경로는, 스위치, 다이오드, 또는 트랜지스터 중 적어도 하나를 포함하는 안테나 장치.
  8. 청구항 3에 있어서,
    상기 메인 경로의 적어도 하나의 임피던스 값과, 상기 제1 서브 경로의 적어도 하나의 제1 임피던스 값은 임피던스 매칭(impedance matching)이 되도록 결정되고,
    상기 메인 경로의 적어도 하나의 임피던스 값과, 상기 제2 서브 경로의 적어도 하나의 제2 임피던스 값은 임피던스 매핑이 되도록 결정되는 안테나 장치.
  9. 청구항 1에 있어서, 상기 재구성 위상 변환 회로는 스위치드-라인(switched-line) 구조의 제2 위상 변환 회로를 포함하고,
    상기 제2 위상 변환 회로는,
    상기 스위치의 동작에 따라 상기 제1 상태에서, 제1 회로를 입력 포트 및 출력 포트에 직렬 연결하도록 동작하고,
    상기 스위치의 동작에 따라 상기 제2 상태에서 제2 회로를 입력 포트 및 출력 포트에 직렬 연결하도록 동작하고,
    상기 제1 회로는 상기 제1 위상 변환 값을 제공하는 임피던스를 갖고,
    상기 제2 회로는 상기 제2 위상 변환 값을 제공하는 임피던스를 갖는 안테나 장치.
  10. 청구항 9에 있어서, 상기 재구성 위상 변환 회로는, 로드-라인(loaded line) 구조의 제3 위상 변환 회로를 포함하고,
    상기 제3 위상 변환 회로는,
    상기 스위치의 동작에 따라 상기 제1 상태에서, 제1 위상 변환 부하들을 메인 경로, 입력 포트 및 출력 포트에 병렬 연결하도록 동작하고,
    상기 스위치의 동작에 따라 상기 제2 상태에서, 제2 위상 변환 부하들을 메인 경로, 입력 포트 및 출력 포트에 병렬 연결하도록 동작하고,
    제2 회로를 입력 포트 및 출력 포트에 연결하도록 동작하고,
    상기 제1 회로는 상기 제1 위상 변환 값을 제공하는 임피던스를 갖고,
    상기 제2 회로는 상기 제2 위상 변환 값을 제공하는 임피던스를 갖는 안테나 장치.
  11. 청구항 10에 있어서,
    적어도 하나의 프로세서를 더 포함하고,
    상기 적어도 하나의 프로세서는,
    위상 오프셋을 식별하고,
    상기 식별된 위상 오프셋에 따라 상기 제1 위상 변환 회로, 상기 제2 위상 변환 회로, 및 상기 제3 위상 변환 회로 중에서 하나의 위상 변환 회로 식별하고,
    상기 식별된 위상 변환 회로를 이용하여 입력 포트의 RF 신호를 서브 어레이에게 제공하도록 구성되는 안테나 장치.
  12. 청구항 1에 있어서, 상기 재구성 위상 변환 회로는, 제3 상태에서, 상기 제1 위상 변환 값 및 상기 제2 위상 변환 값과 다른 제3 위상 변환 값을 제공하는 회로로 추가적으로 구성되는 안테나 장치.
  13. 청구항 1에 있어서,
    적어도 하나의 프로세서를 더 포함하고,
    상기 적어도 하나의 프로세서는,
    상기 제1 상태 또는 상기 제2 상태를 지시하는 제어 신호를 상기 재구성 위상 변환 회로에게 전송하도록 구성되는 안테나 장치.
  14. 청구항 13에 있어서,
    상기 적어도 하나의 프로세서는,
    상기 제1 상태에서 상기 제1 위상 변환 값이 적용된 제1 RF 신호를 상기 전력 디바이더 및 상기 서브 어레이를 통해 방사하도록 구성되고,
    상기 제2 상태에서 상기 제2 위상 변환 값이 적용된 제2 RF 신호를 상기 전력 디바이더 및 상기 서브 어레이를 통해 방사하도록 구성되는 안테나 장치.
  15. 청구항 14에 있어서, 상기 제1 RF 신호의 방사를 위해 형성되는 제1 빔의 빔 폭은 상기 제2 RF 신호의 방사를 위해 형성되는 제2 빔의 빔 폭과 동일하고,
    상기 제1 빔의 조준 방향(boresight)과 상기 제2 빔의 조준 방향은 다른 안테나 장치.
  16. 청구항 15에 있어서,
    상기 적어도 하나의 프로세서는, 서브 어레이 내 안테나 엘리멘트들의 개수, 운용되는 상태들의 개수에 기반하여, 상기 제1 위상 변환 값 및 상기 제2 위상 변환 값을 계산하도록 구성되는 안테나 장치.
  17. 장치에 있어서,
    프로세서;
    서브 어레이를 포함하는 어레이 안테나;
    전력 디바이더(power divider); 및
    재구성 위상 변환 회로(reconfigurable phase shift)를 포함하고,
    상기 프로세서는,
    상기 재구성 위상 변환 회로의 제1 위상 변환 및 상기 전력 디바이더의 수동 위상 변환에 기반하여 제1 신호를 방사하고,
    상기 재구성 위상 변환 회로의 제2 위상 변환 및 상기 전력 디바이더의 수동 위상 변환에 기반하여 제2 신호를 방사하고,
    상기 제1 신호의 조준 방향(boresight)이 상기 제2 신호의 조준 방향과 중첩되지 않도록 상기 재구성 변환 회로의 상기 제1 위상 변환 및 상기 제2 위상 변환 값이 구성되는 장치.
  18. 메인 경로와 적어도 두 개의 서브 경로들이 병렬로 연결되는 위상 변환 회로 및 서브 어레이를 포함하는 안테나 장치의 설계 방법에 있어서,
    상기 메인 경로의 변수들을 설정하는 과정과,
    상기 적어도 두 개의 서브 경로들 각각의 변수들을 설정하는 과정과,
    3가지 조건들에 기반하여 상기 메인 경로의 변수들에 대응하는 제1 값들 및 상기 적어도 두 개의 서브 경로들 각각의 변수들에 대응하는 제2 값들을 식별하는 과정과,
    상기 식별된 제1 값들 및 상기 제2 값들에 따라 상기 메인 경로 및 상기 적어도 2개의 서브 경로들을 구성하는 과정을 포함하고,
    상기 3가지 조건들은,
    상기 메인 경로 및 상기 적어도 두 개의 서브 경로들 각각이 병렬로 연결 시, 반사 계수가 0인 제1 조건,
    상기 메인 경로 및 상기 적어도 두 개의 서브 경로들 각각이 병렬로 연결 시, 통과 계수는 1인 제2 조건,
    상기 메인 경로와 상기 적어도 두 개의 서브 경로들 중 제1 서브 경로 연결시 제공되는 제1 위상 변환 벡터와 상기 메인 경로와 상기 적어도 두 개의 서브 경로들 중 제2 서브 경로 연결시 제공되는 제2 위상 변환 벡터의 차이가, 지정된 위상 오프셋(phase offset)인 제3 조건을 포함하는 방법.
KR1020190173352A 2019-12-23 2019-12-23 위상 변환을 위한 장치 및 방법 KR20210081123A (ko)

Priority Applications (5)

Application Number Priority Date Filing Date Title
KR1020190173352A KR20210081123A (ko) 2019-12-23 2019-12-23 위상 변환을 위한 장치 및 방법
CN202080089841.8A CN114868307A (zh) 2019-12-23 2020-12-16 用于相移的装置和方法
EP20906017.7A EP4052334A4 (en) 2019-12-23 2020-12-16 PHASE SHIFT APPARATUS AND METHOD
PCT/KR2020/018467 WO2021132976A1 (en) 2019-12-23 2020-12-16 Apparatus and method for phase shifting
US17/124,926 US11469499B2 (en) 2019-12-23 2020-12-17 Apparatus and method for phase shifting

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020190173352A KR20210081123A (ko) 2019-12-23 2019-12-23 위상 변환을 위한 장치 및 방법

Publications (1)

Publication Number Publication Date
KR20210081123A true KR20210081123A (ko) 2021-07-01

Family

ID=76438812

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020190173352A KR20210081123A (ko) 2019-12-23 2019-12-23 위상 변환을 위한 장치 및 방법

Country Status (5)

Country Link
US (1) US11469499B2 (ko)
EP (1) EP4052334A4 (ko)
KR (1) KR20210081123A (ko)
CN (1) CN114868307A (ko)
WO (1) WO2021132976A1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2023214662A1 (ko) * 2022-05-06 2023-11-09 삼성전자 주식회사 유전체를 이용하는 위상 천이기 및 이를 포함하는 전자 장치

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110391506B (zh) * 2018-04-18 2021-06-01 上海华为技术有限公司 一种天线系统、馈电网络重构方法及装置
WO2023111657A1 (en) * 2021-12-17 2023-06-22 Telefonaktiebolaget Lm Ericsson (Publ) Reconfigurable massive mimo array system for energy efficient communications
CN114726425B (zh) * 2022-04-14 2023-06-09 哈尔滨工业大学(深圳) 基于移相器开关控制的波束成形方法、装置、无线通信系统及存储介质

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4041501A (en) * 1975-07-10 1977-08-09 Hazeltine Corporation Limited scan array antenna systems with sharp cutoff of element pattern
US6943745B2 (en) * 2003-03-31 2005-09-13 The Boeing Company Beam reconfiguration method and apparatus for satellite antennas
JP2011511582A (ja) 2008-01-30 2011-04-07 フランウェル.インコーポレイテッド Rfidリーダに適用可能なアレイアンテナシステムおよびアルゴリズム
US9026060B2 (en) * 2012-11-30 2015-05-05 Qualcomm Incorporated Bidirectional matching network
KR101494956B1 (ko) 2013-02-08 2015-02-23 주식회사 에이스테크놀로지 기지국 통신 시스템에 최적화된 어레이 안테나
KR101809383B1 (ko) 2016-12-19 2017-12-14 전자부품연구원 하이브리드 버틀러 매트릭스 및 이를 이용한 통신장치
US11362411B2 (en) * 2016-12-21 2022-06-14 Sofant Technologies Ltd. Antenna apparatus
KR101772206B1 (ko) * 2016-12-26 2017-08-28 광운대학교 산학협력단 스위칭 네트워크를 이용하여 빔형성 개수를 확장한 버틀러 매트릭스
CN107611570B (zh) * 2017-08-25 2024-02-20 日海智能科技股份有限公司 一种基站阵列天线和基站射频设备
US10714830B2 (en) * 2017-10-03 2020-07-14 Hughes Network Systems, Llc Digital phase shifter switch and transmission line reduction
WO2019120513A1 (en) 2017-12-20 2019-06-27 Huawei Technologies Co., Ltd. Analog beam steerable phased-array antenna and method
US10827364B2 (en) * 2018-02-14 2020-11-03 Futurewei Technologies, Inc. Phased array antenna system for fast beam searching
US10714836B1 (en) * 2018-02-15 2020-07-14 University Of South Florida Hybrid MIMO architecture using lens arrays
US11258484B2 (en) * 2018-03-20 2022-02-22 Metawave Corporation Power control to a beam steering phased array antenna in satellite applications
US10530448B1 (en) * 2018-12-28 2020-01-07 Nokia Technologies Oy Switched-beam communication node
US11211704B2 (en) * 2019-05-29 2021-12-28 Metawave Corporation Switched coupled inductance phase shift mechanism
US10608678B1 (en) * 2019-05-31 2020-03-31 Qualcomm Incorporated Bidirectional repeaters for time division duplexed millimeter wave systems

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2023214662A1 (ko) * 2022-05-06 2023-11-09 삼성전자 주식회사 유전체를 이용하는 위상 천이기 및 이를 포함하는 전자 장치

Also Published As

Publication number Publication date
EP4052334A1 (en) 2022-09-07
WO2021132976A1 (en) 2021-07-01
US20210194124A1 (en) 2021-06-24
US11469499B2 (en) 2022-10-11
EP4052334A4 (en) 2023-01-04
CN114868307A (zh) 2022-08-05

Similar Documents

Publication Publication Date Title
US20220209427A1 (en) Aperture-fed, stacked-patch antenna assembly
US10050681B2 (en) Apparatus and method for performing beamforming by using antenna array in wireless communication system
US11444707B2 (en) Angle of arrival estimation in a radio communications network
Wang et al. Beamforming codebook design and performance evaluation for 60GHz wideband WPANs
US11469499B2 (en) Apparatus and method for phase shifting
US9548805B2 (en) Method and system for optimizing communication in leaky wave distributed transceiver environments
US20160315680A1 (en) Beamforming apparatus, method and computer program for a transceiver
JP5272004B2 (ja) 多アンテナシステム給電デバイスおよびかかるデバイスを備える無線リンク端末
TW201534062A (zh) 用於收發器之波束形成裝置,方法及電腦程式
KR20130094177A (ko) 밀리미터파 통신 시스템에서 공간 분할 이중화를 위한 장치 및 방법
US10680688B2 (en) Beam training of a radio transceiver device
KR20190118792A (ko) 무선 통신 시스템에서 렌즈를 이용하여 빔을 제어하기 위한 장치 및 방법
KR20210001607A (ko) 안테나 구조 및 이를 포함하는 전자 장치
KR102505063B1 (ko) 무선 통신 시스템에서 빔 패턴을 복구하기 위한 장치 및 방법
WO2022003246A1 (en) Beam steering dependent impedance matching of array antennas
CN106992802B (zh) 用于用户终端的信号收发装置、用户终端和信号传输方法
US11764835B2 (en) Lensing systems for wireless communications
US20230378658A1 (en) Matching network for antenna element of antenna array and electronic device including the same
WO2024077500A1 (zh) 一种通信设备及基站
US20230146269A1 (en) Beam management procedures for network nodes and terminal devices
Takinami et al. 60 GHz Multiuser Gigabit/s Wireless Systems Based on IEEE 802.11 ad/WiGig
CN116783846A (zh) 用于在无线通信系统中补偿误差的装置和方法
WO2022089756A1 (en) Communication using a dual polarized antenna array

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal