KR20210065187A - 디바이스의 제조 프로세스에서의 방법, 비-일시적 컴퓨터-판독가능 매체 및 이러한 방법을 수행하기 위한 시스템 - Google Patents

디바이스의 제조 프로세스에서의 방법, 비-일시적 컴퓨터-판독가능 매체 및 이러한 방법을 수행하기 위한 시스템 Download PDF

Info

Publication number
KR20210065187A
KR20210065187A KR1020217013872A KR20217013872A KR20210065187A KR 20210065187 A KR20210065187 A KR 20210065187A KR 1020217013872 A KR1020217013872 A KR 1020217013872A KR 20217013872 A KR20217013872 A KR 20217013872A KR 20210065187 A KR20210065187 A KR 20210065187A
Authority
KR
South Korea
Prior art keywords
image
substrate
metric
feature
images
Prior art date
Application number
KR1020217013872A
Other languages
English (en)
Other versions
KR102621036B1 (ko
Inventor
윔 치보 텔
앙투앙 가스통 마리 키엘스
바딤 유리예비치 티모시코프
헤르마누스 아드리아누스 딜렌
위첸 장
테-쉥 왕
쯔-차오 첸
Original Assignee
에이에스엠엘 네델란즈 비.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠엘 네델란즈 비.브이. filed Critical 에이에스엠엘 네델란즈 비.브이.
Publication of KR20210065187A publication Critical patent/KR20210065187A/ko
Application granted granted Critical
Publication of KR102621036B1 publication Critical patent/KR102621036B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70633Overlay, i.e. relative alignment between patterns printed by separate exposures in different layers, or in the same layer in multiple exposures or stitching
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T7/00Image analysis
    • G06T7/30Determination of transform parameters for the alignment of images, i.e. image registration
    • G06T7/33Determination of transform parameters for the alignment of images, i.e. image registration using feature-based methods
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T7/00Image analysis
    • G06T7/0002Inspection of images, e.g. flaw detection
    • G06T7/0004Industrial image inspection
    • G06T7/0008Industrial image inspection checking presence/absence
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T2207/00Indexing scheme for image analysis or image enhancement
    • G06T2207/10Image acquisition modality
    • G06T2207/10056Microscopic image
    • G06T2207/10061Microscopic image from scanning electron microscope
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T2207/00Indexing scheme for image analysis or image enhancement
    • G06T2207/30Subject of image; Context of image processing
    • G06T2207/30108Industrial image inspection
    • G06T2207/30148Semiconductor; IC; Wafer

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Computer Vision & Pattern Recognition (AREA)
  • Theoretical Computer Science (AREA)
  • Quality & Reliability (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Abstract

기판 상의 피쳐의 이미지-메트릭을 결정하기 위한 방법으로서, 기판 상의 복수 개의 피쳐의 제 1 이미지를 획득하는 단계; 기판 상의 대응하는 복수 개의 피쳐의 하나 이상의 추가적인 이미지를 획득하는 단계 - 상기 하나 이상의 추가적인 이미지 중 적어도 하나는 상기 기판 중 상기 제 1 이미지와 다른 층의 이미지임 -; 상기 제 1 이미지 및 상기 하나 이상의 추가적인 이미지 상에 정렬 프로세스를 수행함으로써 상기 제 1 이미지 및 상기 하나 이상의 추가적인 이미지의 정렬된 버전을 생성하는 단계; 및 상기 제 1 이미지의 정렬된 버전 내의 피쳐와 상기 하나 이상의 추가적인 이미지의 정렬된 버전 내의 대응하는 피쳐의 비교에 의존하여 이미지-메트릭을 계산하는 단계를 포함하는, 방법이 개시된다.

Description

디바이스의 제조 프로세스에서의 방법, 비-일시적 컴퓨터-판독가능 매체 및 이러한 방법을 수행하기 위한 시스템
관계 출원에 대한 상호 참조
본 출원은 2018 년 11 월 09 일에 출원된 EP 출원 번호 제 18205329.8 및 2019 년 5 월 23 일에 출원된 미국 출원 번호 제 62/851,727의 우선권을 주장하고, 이들은 그 전체 내용이 원용되어 본원에 통합된다.
본 명세서의 설명은, 기판 상에 제조되는 반도체 구조체에 수행될 수 있는 제작, 테스팅, 측정 및 다른 프로세스에 관한 것이고, 특히 임의의 프로세스를 구조체의 피쳐의 이미지에 의존하여 개선시키기 위한 방법, 비-일시적 컴퓨터-판독가능 매체 및 시스템에 관한 것이다.
리소그래피 투영 장치는, 예컨대 집적회로(IC)의 제조 시에 사용될 수 있다. 이러한 경우에서, 패터닝 디바이스(예를 들어, 마스크)는 IC의 개별 층에 대응하는 회로 패턴("설계 레이아웃")을 보유 또는 제공할 수 있고, 이러한 회로 패턴은, 타겟부를 패터닝 디바이스 상의 타겟 부분을 통해서 조사하는 것과 같은 방법에 의하여, 방사선-감응 재료("레지스트")의 층으로 코팅된 기판(예를 들어, 실리콘 웨이퍼) 상의 타겟부(예를 들어 하나 이상의 다이를 포함함)로 전사될 수 있다. 일반적으로, 단일 기판은 리소그래피 투영 장치에 의하여 회로 패턴이 한번에 하나의 타겟부씩 연속적으로 전달될 복수 개의 인접한 타겟부를 포함한다. 하나의 타입의 리소그래피 투영 장치에서는, 전체 패터닝 디바이스 상의 회로 패턴이 하나의 타겟부 상으로 한 번에 전사된다; 이러한 장치는 일반적으로 스테퍼라고 불린다. 일반적으로 스텝-앤-스캔 장치라고 불리는 다른 장치에서는, 기판을 기준 방향에 대해 병렬 또는 역병렬로 이동시키는 것과 동시에 투영 빔은 주어진 기준 방향("스캐닝" 방향)에서 패터닝 디바이스 위를 스캐닝한다. 패터닝 디바이스 상의 회로 패턴의 다른 부분들이 점진적으로 하나의 타겟부로 전사된다. 따라서, 일반적으로, 리소그래피 투영 장치는 확대 인자 M(일반적으로 1 미만임)을 가질 것이고, 기판이 이동되는 속도 F는 투영 빔이 패터닝 디바이스를 스캔하는 속도에 인자 M을 곱한 것일 것이다. 본 명세서에서 설명된 바와 같은 리소그래피 디바이스에 대한 더 많은 정보는 예를 들어 US 6,046,792 호에서 찾을 수 있는데 이것은 본 명세서에서 원용에 의해 통합된다.
패터닝 디바이스로부터 기판으로 전사하기 이전에, 기판은 레지스트 코팅 및 소프트 베이크(soft bake)와 같은 다양한 프로시저를 거칠 수 있다. 노광 이후에, 기판은 노광-후 베이크(post-exposure bake; PEB), 현상, 하드 베이크 및 전사된 회로 패턴의 측정/검사와 같은 다른 프로시저를 거칠 수 있다. 프로시저들의 이러한 어레이는 디바이스, 예를 들어 IC의 각 층을 제작하는 기초로서 사용된다. 그러면, 기판은 모두 디바이스의 각 층을 마감하기 위한 것인, 에칭, 이온-주입(도핑), 금속화(금속), 산화, 화학-기계적 연마 등과 같은 다양한 프로세스를 거칠 수도 있다. 디바이스 내에 여러 층들이 필요하다면, 전체 프로시저, 또는 그의 변형이 각 층에 대해 반복된다. 결국, 디바이스는 기판 상의 각각의 타겟부에 존재하게 될 것이다. 그러면 이러한 디바이스들은 다이싱 또는 소잉과 같은 기법에 의하여 서로 분리되고, 디바이스들 각각에 캐리어 상 탑재, 핀에 연결 등의 공정이 수행될 수 있다.
언급된 바와 같이, 리소그래피는 IC의 제조의 중심 단계이고, 여기에서 기판 상에 형성된 패턴이 IC의 기능성 요소, 예컨대 마이크로프로세서, 메모리 칩 등을 형성한다. 유사한 리소그래피 기법은 평판 디스플레이, 마이크로-전기 기계 시스템(MEMS) 및 다른 디바이스를 형성하는 데에도 사용된다.
반도체 제조 프로세스가 계속하여 발전함에 따라, 디바이스 당 트랜지스터와 같은 기능성 소자들의 양은 일반적으로 "무어(Moore)의 법칙"이라고 불리는 경향을 따라서 수 십 년에 걸쳐 지속적으로 증가하는 반면에, 기능성 소자들의 치수는 계속하여 감소되어 왔다. 현재의 기술 상태에서, 디바이스의 층들은, 설계 레이아웃을 심-자외선 조명 소스로부터의 조명을 사용하여 기판 상에 투영하는 리소그래피 투영 장치를 사용하여 제조되어, 100 nm보다 훨씬 적은, 즉 조명 소스(예를 들어, 193 nm 조명 소스)로부터의 방사선의 반파장보다 적은 치수를 가지는 각각의 기능성 소자를 생성한다.
리소그래피 투영 장치의 종래의 분해능 한계보다 작은 차원의 피쳐들이 인쇄되는 이러한 프로세스는 일반적으로 분해능 공식 CD = k1×λ/NA에 따른 저-k1 리소그래피라고 알려져 있고, 여기에서 λ 는 채용된 방사선의 파장이고(현재 대부분의 경우에 248nm 또는 193nm임), NA는 리소그래피 투영 장치 내의 투영 광학기의 개구수이며, CD는 "임계 치수"-일반적으로는 인쇄된 최소 피쳐 크기-이고, k1은 실험에 따른 분해능 인자이다. 일반적으로, k1이 더 작을수록 특정한 전기적 기능성과 성능을 얻기 위해서 회로 디자이너에 의하여 계획된 형상과 치수를 닮은 패턴을 기판 상에 재현하는 것은 더 어려워진다. 이러한 곤란성을 극복하기 위하여, 디바이스의 제조 시의 모든 프로세스의 제어 파라미터를 정확하게 결정하는 것이 요구된다.
본 발명의 제 1 양태에 따르면, 기판 상의 피쳐의 이미지-메트릭을 결정하기 위한 방법으로서, 기판 상의 복수 개의 피쳐의 제 1 이미지를 획득하는 단계; 기판 상의 대응하는 복수 개의 피쳐의 하나 이상의 추가적인 이미지를 획득하는 단계 - 상기 하나 이상의 추가적인 이미지 중 적어도 하나는 상기 기판 중 상기 제 1 이미지와 다른 층의 이미지임 -; 상기 제 1 이미지 및 상기 하나 이상의 추가적인 이미지 상에 정렬 프로세스를 수행함으로써 상기 제 1 이미지 및 상기 하나 이상의 추가적인 이미지의 정렬된 버전을 생성하는 단계 - 상기 정렬 프로세스는 상기 제 1 이미지 내의 피쳐와 상기 하나 이상의 추가적인 이미지들 각각 내의 대응하는 피쳐 사이의 임의의 오버레이 오차의 영향을 실질적으로 제거함 -; 및 상기 제 1 이미지의 정렬된 버전 내의 피쳐와 상기 하나 이상의 추가적인 이미지의 정렬된 버전 내의 대응하는 피쳐의 비교에 의존하여 이미지-메트릭을 계산하는 단계를 포함하는, 이미지-메트릭 결정 방법이 제공된다.
바람직하게는, 복수 개의 피쳐들 각각은 상기 피쳐의 타겟 구조체에 의존하여 생성되고, 이미지들의 정렬 프로세스는 상기 피쳐의 타겟 구조체에 의존하여 이미지들을 실질적으로 정렬하는 것을 포함한다.
바람직하게는, 상기 이미지들의 정렬 프로세스는, 이미지들 각각 내에 있거나 이미지들 상으로 중첩되는 하나 이상의 레퍼런스 위치에 의존하여 이미지들을 실질적으로 정렬하는 것을 포함한다.
바람직하게는, 각각의 이미지는 상기 기판 중 나머지 이미지들과 다른 층의 이미지이다.
바람직하게는, 복수 개의 추가적인 이미지가 존재하고, 추가적인 이미지 중 적어도 하나는 제 1 이미지와 같은 기판의 층의 이미지이다.
바람직하게는, 각각의 이미지는 기판의 단지 부분의 이미지이다.
바람직하게는, 이러한 방법은, 상기 기판의 복수 개의 층들 각각에 대하여, 상기 기판의 층의 복수 개의 상이한 부분의 복수 개의 이미지를 획득하는 단계; 및 상기 기판의 복수 개의 층 내의 상기 기판의 복수 개의 상이한 부분들 각각의 이미지에 의존하여 이미지-메트릭을 계산하는 단계를 더 포함한다.
바람직하게는, 상기 방법은, 상기 기판의 동일한 부분의 복수 개의 이미지에 의존하여 국소 이미지-메트릭을 계산하는 단계를 더 포함하고, 상기 이미지-메트릭은 상기 국소 이미지-메트릭을 포함한다.
바람직하게는, 상기 방법은, 복수 개의 국소 이미지-메트릭을 계산하는 단계를 더 포함하고, 국소 이미지-메트릭들 각각은, 상기 기판 중 나머지 국소 이미지-메트릭과 다른 부분의 이미지에 의존하여 계산되며, 상기 이미지-메트릭은 복수 개의 국소 이미지-메트릭을 포함한다.
바람직하게는, 상기 방법은, 상기 복수 개의 국소 이미지-메트릭에 의존하여 광역 이미지-메트릭을 계산하는 단계를 더 포함하고, 상기 이미지-메트릭은 상기 광역 이미지-메트릭을 포함한다.
바람직하게는, 기판의 부분의 각각의 이미지는 기판 상의 10μm * 10μm 면적이다.
바람직하게는, 상기 이미지-메트릭은, 상기 제 1 이미지의 정렬된 버전 내의 피쳐의 컨투어 및 상기 하나 이상의 추가적인 이미지의 정렬된 버전 내의 대응하는 피쳐의 컨투어에 의존하여 결정되고, 및/또는 상기 이미지-메트릭은 이미지들 중 하나 이상에 대하여, 상기 이미지 내의 피쳐와 상기 피쳐의 타겟과의 비교에 의존하여 결정된다.
바람직하게는, 상기 이미지-메트릭은, 임계 치수 균일도, 선폭 거칠기, 임계 치수 진폭 및 상기 제 1 이미지의 정렬된 버전 내의 피쳐 및 상기 하나 이상의 추가적인 이미지의 정렬된 버전 내의 대응하는 피쳐의 배치 오차 중 하나 이상에 의존하여 결정된다.
바람직하게는, 상기 방법은, 하나 이상의 파라미터의 복수 개의 값들 각각에 대하여 상기 이미지-메트릭을 계산하는 단계; 및 계산된 이미지-메트릭에 의존하여, 상기 하나 이상의 파라미터에 대한 상기 이미지-메트릭의 의존도를 결정하는 단계를 더 포함한다.
바람직하게는, 상기 하나 이상의 파라미터는, 초점, 선량, 조명 퓨필 형상, 수차, 에칭 레이트, 오버레이, 콘트라스트, 임계 치수, 척 온도, 가스 흐름 및 RF 파워 분포를 포함한다.
바람직하게는, 이미지-관련 메트릭은, 오버레이 마진, 중첩 오버레이 마진, 오버레이 마진 맵 또는 중첩 오버레이 마진 맵이다.
본 발명의 제 2 양태에 따르면, 기판 상의 디바이스의 제조, 검사 및/또는 테스팅 프로세스에서의 방법으로서, 제 1 양태에 따른 이미지-메트릭을 획득하는 단계; 및 상기 이미지-메트릭에 의존하여, 기판 상의 디바이스의 제조, 검사 및/또는 테스팅 프로세스의 하나 이상의 제어 파라미터를 결정하는 단계를 포함하는, 방법이 제공된다.
바람직하게는, 하나 이상의 제어 파라미터는 하나 이상의 제어 파라미터에 대한 이미지-메트릭의 의존도에 의존하여 결정된다.
바람직하게는, 상기 방법은 결정된 하나 이상의 제어 파라미터에 의존하여 장치를 제어하는 단계를 더 포함한다.
바람직하게는, 하나 이상의 제어 파라미터는: 리소그래피 프로세스, 프라이밍 프로세스, 레지스트 코팅 프로세스, 소프트 베이킹 프로세스, 노광후 베이킹 프로세스, 현상 프로세스, 하드 베이킹 프로세스, 측정/검사 프로세스, 에칭 프로세스, 이온-주입 프로세스, 금속화 프로세스, 산화 프로세스 및 화학-기계적 연마 프로세스 중 하나 이상에 대한 것이다.
바람직하게는, 상기 하나 이상의 제어 파라미터는, 초점, 선량, 조명 퓨필 형상, 수차, 에칭 레이트, 오버레이, 콘트라스트, 임계 치수, 척 온도, 가스 흐름 및 RF 파워 분포를 포함한다.
바람직하게는, 상기 방법은, 제조 사양에 의존하여 하나 이상의 제어 파라미터를 결정하는 단계를 더 포함하는데, 제조 사양은: 피쳐가 부정확하게 제조되는 최대 확률; 에지 배치 오차의 결정된 최대 허용가능 크기; 결정된 최대 허용가능 오버레이 오차; 및 반도체 디바이스의 소망되는 수율 중 하나 이상에 의존한다.
바람직하게는, 복수 개의 제어 파라미터들이 공동으로 결정된다.
바람직하게는, 제어 파라미터 중 적어도 두 개를 공동으로 결정하는 것은, 제어 파라미터 중 하나의 적용된 값을 제어 파라미터 중 다른 것의 적용된 값에 의존하여 결정하는 것을 포함한다.
바람직하게는, 제어 파라미터 중 적어도 두 개를 공동으로 결정하는 것은: 적어도 두 개의 제어 파라미터의 결합된 효과; 및/또는 적어도 두 개의 제어 파라미터의 상호의존도에 의존한다.
바람직하게는, 오버레이 오차는 공동으로 결정된 제어 파라미터 중 적어도 하나에 의존하고, 반도체 디바이스 상에 제조되는 피쳐의 치수는 공동으로 결정된 제어 파라미터 중 적어도 하나의 다른 하나에 의존한다.
바람직하게는, 공동으로 결정된 제어 파라미터는, 초점, 선량, 조명 퓨필 형상, 수차, 에칭 레이트, 오버레이, 콘트라스트, 임계 치수, 척 온도, 가스 흐름 및 RF 파워 분포이다.
본 발명의 제 3 양태에 따르면, 제 1 및/또는 제 2 양태의 방법을 수행하도록 구성되는 시스템이 제공된다.
바람직하게는, 이러한 시스템은 컴퓨팅 시스템 및 전자 빔 장치를 포함하고, 상기 전자 빔 장치는 기판의 이미지를 획득하도록 구성되며, 상기 컴퓨팅 시스템은, 기판의 획득된 이미지를 수신하고, 제 1 및/또는 제 2 양태의 방법을 수행하도록 구성된다.
바람직하게는, 이러한 시스템은 리소그래피 장치 및/또는 계측 장치를 포함한다.
본 발명의 제 4 양태에 따르면, 실행되면, 기판 상의 디바이스의 제조 프로세스가 제 1 및/또는 제 2 양태 중 임의의 양태의 방법에 따라 제어되게 하는 명령을 포함하는, 비-일시적 컴퓨터-판독가능 매체가 제공된다.
도 1은 리소그래피 시스템의 다양한 서브시스템들의 블록도이다.
도 2는 도 1의 서브시스템에 대응하는 시뮬레이션 모델의 블록도이다.
도 3a는 LER을 개략적으로 보여준다.
도 3b는 LWR을 개략적으로 보여준다.
도 3c는 확률 변동이 리소그래피에 어떻게 영향을 줄 수 있는지를 개략적으로 보여준다.
도 4a 및 도 4b는 공간상 또는 레지스트상의 특성의 확률 변동 및 하나 이상의 설계 변수 사이의 관계를 결정하는 방법을 개략적으로 도시한다.
도 5a 및 도 5b는 이러한 관계를 사용한 근사화(fitting)의 결과를 보여준다.
도 6은 확률 변동을 계산 및 보여주기 위한 예시적인 흐름도를 보여준다.
도 7은 확률 변동을 사용하여 식별된 핫스폿을 보여준다.
도 8은 설계 변수의 복수 개의 조건 및 복수 개의 값에서의 확률 변동의 값을 포함하는 비-일시적 컴퓨터-판독가능 매체를 도시한다.
도 9a 및 도 9b는 패턴의 에지에 걸친 해당 에지에 수직인 방향(x)으로의 이미지(공간상 또는 레지스트상)의 세기를 각각 보여준다.
도 10은 EPEILS 항의 곡선을 개략적으로 도시한다.
도 11은 조인트 최적화 / 공동-최적화의 예시적인 방법론의 양태들을 예시하는 흐름도이다.
도 12는 추가적인 최적화 방법의 일 예를 보여준다.
도 13a, 도 13b 및 도 14는 다양한 최적화 프로세스의 예시적인 흐름도를 보여준다.
도 15a는 특성의 공간상 또는 레지스트상 또는 그 함수(예를 들어, bl_ILS, ILS, 또는 NILS)에 기반한, 공간상 또는 레지스트상 상의 핫스폿을 식별하는 방법에 대한 흐름도를 도시한다.
도 15b는 공간상 또는 레지스트상의 특성(예를 들어, 에지 위치)의 확률 변동(예를 들어, LER) 또는 그 함수(예를 들어, bl_ILS, ILS, 또는 NILS)에 기반한, 공간상 또는 레지스트상 상의 핫스폿을 식별하는 추가적인 방법에 대한 흐름도를 도시한다.
도 16은 공간상 또는 레지스트상의 하나 이상의 특성(예를 들어, 에지 위치)의 확률 변동(예를 들어, LER)을 감소시키는 방법에 대한 흐름도를 도시한다.
도 17은 예시적인 컴퓨터 시스템의 블록도이다.
도 18은 리소그래피 투영 장치의 개략도이다.
도 19는 다른 리소그래피 투영 장치의 개략도이다.
도 20은 19의 장치의 상세도이다.
도 21은 도 19 및 도 20의 장치의 소스 콜렉터 모듈(SO)의 상세도이다.
도 22는 쓰루풋 및 확률 변동의 척도의 여러 관계를 보여준다.
도 23은 하나 이상의 설계 변수의 값들의 세트에 대해 최적화를 수행하고, 프로세스, 공간상, 및/또는 레지스트상의 다양한 특성을 사용자에게 제공하여 사용자가 사용자의 소망되는 특성에 기반하여 하나 이상의 설계 변수의 값들의 세트를 선택할 수 있게 하는 방법의 흐름도를 개략적으로 도시한다.
도 24는 기판 상의 피쳐의 이미지를 보여준다.
도 25는 일 실시형태에 따르는 복수 개의 정렬되고 적층된 이미지를 보여준다.
도 26은 일 실시형태에 따르는 방법의 흐름도이다.
비록 본 명세서에서는 IC를 제조하는 것을 특별히 참조하였지만, 본 명세서의 기재 내용은 그 외의 많은 가능한 애플리케이션들을 가진다는 것이 명확하게 이해돼야 한다. 예를 들어, 이것은 집적된 광학 시스템, 자기 도메인 메모리를 위한 유도 및 검출 패턴, 액정 디스플레이 패널, 박막 자기 헤드, 등의 제조에 채용될 수 있다. 당업자는, 이러한 다른 응용예의 문맥에서, 본 명세서에서 사용된 "레티클", "웨이퍼" 또는 "다이"와 같은 어떠한 용어의 사용도 각각 "마스크", "기판" 및 "타겟부"와 같은 좀 더 일반적인 용어와 상호 교체가능할 수 있음을 이해할 것이다.
본 명세서에서, "방사선" 및 "빔"이라는 용어는 자외선 방사선(예를 들어 365, 248, 193, 157 또는 126 nm의 파장을 가지는 방사선) 및 EUV(예를 들어 5-20 nm 범위의 파장을 가지는 극자외 방사선)를 포함하는 모든 타입의 전자기 방사선을 망라하도록 사용된다.
본 명세서에서 사용될 때 "최적화하기" 및 "최적화"라는 용어는 리소그래피의 결과 및/또는 프로세스가 기판 상의 설계 레이아웃의 투영의 더 높은 정확도, 더 넓은 프로세스 윈도우 등과 같은 더 바람직한 특성을 가지도록 리소그래피 투영 장치, 리소그래피 프로세스 등을 조절하는 것을 가리키거나 의미한다. 따라서, "최적화함(optimizing)" 및 "최적화(optimization)" 라는 용어는 본 명세서에서 사용될 때, 하나 이상의 파라미터에 대한 하나 이상의 값들의 초기 세트와 비교할 때, 적어도 하나의 관련된 메트릭에서, 개선, 예를 들어 국지적인 최적값을 제공하는, 그러한 하나 이상의 파라미터에 대한 하나 이상의 값들을 식별하는 프로세스를 가리키거나 의미한다. "최적" 및 다른 관련된 용어는 이에 상응하게 해석되어야 한다. 일 실시예에서, 최적화 단계는 하나 이상의 메트릭에서 추가적인 개선을 제공하도록 반복적으로 적용될 수 있다.
더 나아가, 리소그래피 투영 장치는 두 개 이상의 테이블(예를 들어, 두 개 이상의 기판 테이블, 기판 테이블 및 측정 테이블, 두 개 이상의 패터닝 디바이스 테이블 등)을 가지는 타입일 수도 있다. 그러한 "다중 스테이지" 디바이스에서, 다수의 테이블 중 복수 개가 병렬적으로 사용될 수 있으며, 또한 하나 이상의 다른 테이블들이 노광을 위해 사용되고 있는 동안 준비 단계들이 하나 이상의 테이블 상에 수행될 수 있다. 트윈 스테이지 리소그래피 투영 장치는, 예를 들어 본 명세서에서 원용에 의해 통합되는 US 5,969,441에서 설명된다.
전술된 패터닝 디바이스는 하나 이상의 설계 레이아웃을 포함하거나 형성할 수 있다. 설계 레이아웃은 CAD(computer-aided design) 프로그램을 활용하여 생성될 수 있고, 이러한 프로세스는 흔히 EDA(electronic design automation)라고 불린다. 기능성 설계 레이아웃/패터닝 디바이스를 생성하기 위하여 거의 모든 CAD 프로그램은 미리 결정된 설계 규칙의 세트를 따른다. 이러한 규칙들은 처리 및 설계 제한사항에 의하여 설정된다. 예를 들어, 디자인 규칙은, 회로 디바이스 또는 라인이 바람직하지 않은 방식으로 서로 상호작용하지 않도록 회로 디바이스들(예컨대, 게이트, 커패시터 등) 또는 상호연결 라인들 사이의 공간 공차를 규정한다. 디자인 규칙 제한사항 중 하나 이상은 "임계 치수(CD)"라고 불릴 수 있다. 어떤 회로의 임계 치수는 선 또는 홀의 최소 폭 또는 두 개의 선들 또는 두 개의 홀들 사이의 최소 공간이라고 정의될 수 있다. 따라서, CD는 설계된 회로의 전체 크기 및 밀도를 결정한다. 물론, 집적 회로 제작의 목적들 중 하나는 원래의 회로 설계를 기판 상에(패터닝 디바이스를 통해) 충실하게 재생산하는 것이다.
"마스크" 또는 "패터닝 디바이스"라는 용어는 본 명세서에서 채용될 때, 인입하는 방사선 빔에 기판의 타겟부 내에 생성될 패턴에 대응하여 패터닝된 단면을 부여하기 위하여 사용될 수 있는 일반적 패터닝 디바이스를 지칭하는 것으로 넓게 해석될 수 있다; "광 밸브(light valve)"라는 용어도 역시 이러한 문맥에서 사용될 수 있다. 종래의 마스크(투과형 또는 반사형; 이진, 위상-천이, 하이브리드 등) 이외에, 다른 이러한 패터닝 디바이스들의 예는 다음을 포함한다:
- 프로그램가능한 미러 어레이. 이러한 디바이스의 일 예는 점탄성 제어층과 반사면을 가지는 매트릭스-어드레스가능한(matrix-addressable) 면이다. 이러한 장치 이면의 기본적인 원리는, (예를 들어) 반사면의 어드레스된 구역이 입사 방사선을 회절된 방사선으로서 반사하는 반면에, 어드레스되지 않은 구역은 입사 방사선을 비회절 방사선으로서 반사한다는 것이다. 적합한 필터를 사용하면, 상기 비회절 방사선은 반사된 빔으로부터 필터링되어, 회절된 방사선만을 남길 수 있다; 이러한 방식으로, 빔은 행렬-어드레싱가능한 면의 어드레싱 패턴에 따라 패터닝되어 간다. 필요한 매트릭스 지정은 적절한 전하 수단들을 사용하여 수행될 수 있다. 이러한 미러 어레이에 대한 더 많은 정보는, 예를 들어 미국 특허 번호 제 5,296,891 및 제 5,523,193에서 얻을 수 있고, 이들은 원용되어 본원에 통합된다.
- 프로그램가능한 LCD 어레이. 이러한 구조의 일 예가 본 명세서에서 원용에 의해 통합되는 미국 특허 번호 제 5,229,872에 주어진다.
간략한 소개로서, 도 1은 예시적인 리소그래피 투영 장치(10A)를 도시한다. 주된 컴포넌트는, 심-자외선 엑시머 레이저 소스 또는 극자외(EUV) 소스를 포함하는 다른 타입의 소스일 수 있는 방사선 소스(12A)(위에서 논의된 바와 같이, 리소그래피 투영 장치 자체는 방사선 소스를 가질 필요가 없음), 부분적인 코히어런스(시그마라고 명명됨)를 규정하고 소스(12A)로부터의 방사선을 성형하는 광학기(14A, 16Aa 및 16Ab)를 포함할 수 있는 조명 광학기; 패터닝 디바이스(14A); 및 패터닝 디바이스 패턴의 이미지를 기판 평면(22A) 상에 투영하는 송신 광학기(16Ac)이다. 투영 광학기의 퓨필 평면에 있는 조절가능한 필터 또는 애퍼쳐(20A)는 기판 평면(22A) 상에 충돌하는 빔 각도의 범위를 제한할 수 있고, 여기에서 가능한 최대 각도는 투영 광학기의 개구수를 규정하고(NA= n sin(Θmax)), n은 투영 광학기의 마지막 요소와 기판 사이의 매질의 굴절률이다.
시스템의 최적화 프로세스에서, 시스템의 성능 지수는 비용 함수로서 표현될 수 있다. 최적화 프로세스는 비용 함수를 최적화(예를 들어, 최소화 또는 최대화)시키는 시스템의 파라미터(설계 변수)의 세트를 찾는 프로세스가 된다. 비용 함수는 최적화의 목표에 따라 임의의 적합한 형태를 가질 수 있다. 예를 들어, 비용 함수는 시스템의 특정한 특성(평가 지점)의 이러한 특성의 의도된 값(예를 들어, 이상적인 값)에 대한 편차의 가중치가 부여된 평균제곱근(RMS)일 수 있다; 비용 함수는 또한 이러한 편차들 중 최대값(예를 들어 최악의 편차)일 수도 있다. 본 명세서에서 "평가 지점"라는 용어는 시스템의 임의의 특성을 포함하도록 넓게 해석되어야 한다. 시스템의 설계 변수는 유한 범위로 한정되거나 및/또는 시스템의 구현형태들의 실용성 때문에 상호의존적일 수 있다. 리소그래피 투영 장치의 경우, 하드웨어의 물리적 속성 및 특성, 예컨대 튜닝가능한 범위, 및/또는 패터닝 디바이스 제조가능성 디자인 규칙, 및 평가 포인트와 흔히 연관되는 제약은, 기판 상의 레지스트상 상의 물리적 포인트, 및 선량 및 초점과 같은 비-물리적 특성을 포함할 수 있다.
리소그래피 투영 장치에서, 소스는 조명(즉 방사선)을 패터닝 디바이스로 제공하고, 투영 광학기는 이러한 조명을 패터닝 디바이스를 통해서 지향시키고 기판 상에 성형한다. "투영 광학기"라는 용어는 본 명세서에서 방사선 빔의 파면을 변경할 수 있는 임의의 광학 컴포넌트를 포함하도록 넓게 정의된다. 예를 들어, 투영 광학기는 컴포넌트(14A, 16Aa, 16Ab 및 16Ac) 중 적어도 일부를 포함할 수 있다. 공간상(AI)은 기판 레벨에서의 방사선 세기 분포이다. 기판 상의 레지스트 층은 노광되고 공간상이 잠정 "레지스트상(resist image; RI)"으로서 그 안으로 전사된다. 레지스트 이미지(RI)는 레지스트 층 내의 레지스트의 분해가능성의 공간적 분포라고 정의될 수 있다. 레지스트 모델은 공간상으로부터 레지스트 이미지를 계산하기 위하여 사용될 수 있으며, 이것의 일 예가 미국 특허 출원 공개 번호 제 US 2009-0157360 호에서 발견될 수 있고, 그 내용은 그 전체가 본 명세서에 원용에 의해 포함된다. 레지스트 모델은 오직 레지스트 층의 성질(예를 들어, 노광, PEB 및 현상 도중에 발생하는 화학적 프로세스들의 영향)에만 관련된다. 리소그래피 투영 장치의 광학적 성질(예를 들어, 소스, 패터닝 디바이스 및 투영 광학기의 성질)이 공간상을 결정한다. 리소그래피 투영 장치에서 사용되는 패터닝 디바이스가 변경될 수 있기 때문에, 패터닝 디바이스의 광학적 성질을, 적어도 소스 및 투영 광학기를 포함하는 리소그래피 투영 장치의 나머지의 광학적 성질로부터 분리시키는 것이 바람직하다.
리소그래피 투영 장치 내에서의 리소그래피를 시뮬레이션하기 위한 예시적인 흐름도가 도 2에 도시된다. 소스 모델(31)은 소스의 광학적 특성(방사선 세기 분포 및/또는 위상 분포를 포함함)을 나타낸다. 투영 광학기 모델(32)은 투영 광학기의 광학적 특성(투영 광학기에 의하여 발생한 방사선 세기 분포 및/또는 위상 분포에 대한 변경을 포함함)을 나타낸다. 설계 레이아웃 모델(35)은 설계 레이아웃의 광학적 특성(주어진 설계 레이아웃(33)에 의하여 생기는 방사선 세기 분포 및/또는 위상 분포에 대한 변경을 포함함)을 나타내는데, 이것은 패터닝 디바이스 상에 있거나 패터닝 디바이스에 의해 형성되는 피쳐의 배치에 대한 표현이다. 공간상(36)은 설계 레이아웃 모델(35), 투영 광학기 모델(32) 및 설계 레이아웃 모델(35)로부터 시뮬레이션될 수 있다. 레지스트 이미지(38)는 레지스트 모델(37)을 사용하여 공간상(36)으로부터 시뮬레이션될 수 있다. 리소그래피의 시뮬레이션은, 예를 들어 레지스트 이미지 내의 컨투어 및 CD를 예측할 수 있다.
좀 더 구체적으로는, 소스 모델(31)이 비한정적으로 NA 설정, 시그마(σ) 설정 및 임의의 특정 조명 형상(예를 들어 예컨대, 환형, 사극자, 쌍극자 등과 같은 오프-축 방사선 소스)을 포함하는, 소스의 광학적 특성을 나타낼 수 있다는 것에 주의한다. 투영 광학기 모델(32)은, 수차, 왜곡, 하나 이상의 굴절률, 하나 이상의 물리적 크기, 하나 이상의 물리적 치수 등을 포함하는, 투영 광학기의 광학적 특성을 나타낼 수 있다. 설계 레이아웃 모델(35)은, 예를 들어 미국 특허 번호 제 7,587,704 호에 기술되는 바와 같은 물리적 패터닝 디바이스의 하나 이상의 물리적 성질도 표현할 수 있는데, 이것은 그 전체가 원용에 의해 포함된다. 시뮬레이션의 목적은, 예를 들어 에지 배치, 공간상 세기 경사 및/또는 CD를 정확하게 예측하는 것이고, 이것은 이제 의도된 디자인과 비교될 수 있다. 의도된 디자인은 일반적으로, GDSII 또는 OASIS 또는 다른 파일 포맷과 같은 표준화된 디지털 파일 포맷으로 제공될 수 있는 사전 OPC 설계 레이아웃으로서 규정된다.
이러한 설계 레이아웃으로부터, 하나 이상의 부분이 식별될 수 있는데, 이것은 "클립"이라고 불린다. 일 예에서, 클립의 세트가 추출되는데, 이것은 설계 레이아웃 내의 복잡한 패턴을 나타낸다(통상적으로 약 50 내지 1000 개의 클립이 있지만 임의의 개수의 클립이 사용될 수 있음). 이러한 패턴 또는 클립은 설계의 작은 부분(즉 회로, 셀 또는 패턴)을 나타내고, 특히 클립들은 통상적으로 특별히 주의하거나 및/또는 검증할 필요가 있는 작은 부분들을 나타낸다. 다르게 말하면, 클립들은 설계 레이아웃의 부분들일 수 있거나, 유사할 수 있거나, 하나 이상의 임계 피쳐들이 경험(고객에 의하여 제공되는 클립들 포함)에 의하여, 시행 착오에 의하여, 또는 칩 전체 시뮬레이션을 수행함으로써 식별되는 설계 레이아웃의 부분들의 유사한 거동을 가질 수도 있다. 클립은 하나 이상의 테스트 패턴 또는 게이지 패턴을 포함할 수 있다.
최초의 더 큰 세트의 클립들은 특정 이미지 최적화를 요구하는 설계 레이아웃 내의 하나 이상의 알려진 임계 피쳐 영역에 기초하여 고객에 의하여 선험적으로 제공될 수 있다. 또는, 다른 예에서, 최초의 더 큰 세트의 클립이 전체 설계 레이아웃으로부터, 하나 이상의 임계 피쳐 구역을 식별하는 일부 종류의 자동화된(예컨대, 머신 비젼) 또는 수동 알고리즘을 사용하여 추출될 수 있다.
리소그래피 투영 장치에서는, 예를 들어 EUV(예를 들어 범위 5-20 nm 내의 파장을 가지는 극자외 방사선) 소스 또는 비-EUV 소스를 사용하여, 감소된 방사선 세기가 홀과 같이 작은 2-차원의 피쳐 내의 강화된 선폭 거칠기 및/또는 국소 CD 변동과 같은 더 큰 확률 변동을 초래할 수 있다. EUV 소스를 사용하는 리소그래피 투영 장치에서, 감소된 방사선 세기는 소스로부터의 낮은 총 방사선 출력, 소스로부터의 방사선을 성형하는 광학기로부터의 방사선 손실, 투영 광학기를 통과하는 투과 순실, 일정한 선량 미만인 광자가 적어지게 하는 고광자 에너지 등에 기인할 수 있다. 확률 변동은, 광자 샷 잡음, 광자-생성 이차 전자, 광자 흡수 변동, 및/또는 레지스트 내의 광자-생성 산에 기인할 수 있다. 피쳐의 크기가 작아서 이러한 확률 변동이 더 복잡해진다. 더 작은 피쳐 내의 확률 변동은 생산 수율에서의 중요한 인자이고, 리소그래피 프로세스 및/또는 리소그래피 투영 장치의 다양한 최적화 프로세스에 포함되는 것이 정당화된다.
동일한 방사선 세기 하에서, 각각의 기판에 대한 노광 시간이 적으면, 리소그래피 투영 장치의 더 높은 쓰루풋이 높아지지만 확률 변동이 커진다. 주어진 방사선 세기 하에서의 주어진 피쳐 내의 광자 샷 잡음은 노광 시간의 제곱근에 비례한다. 쓰루풋을 증가시키기 위해서 노광 시간을 낮추기 위한 소망이 EUV 및 다른 방사선 소스를 사용하는 리소그래피에 존재한다. 그러므로, 최적화 프로세스에서 확률 변동을 고려하는, 본 명세서에서 설명되는 방법 및 장치는 EUV 리소그래피로 한정되지 않는다.
또한, 쓰루풋은 기판 상으로 지향되는 방사선의 총량에 의해서 영향받을 수 있다. 일부 리소그래피 투영 장치에서는, 소스로부터의 방사선의 일부가 조명의 소망되는 형상을 얻기 위해서 희생된다.
도 3a는 라인 에지 거칠기(line edge roughness; LER)를 개략적으로 도시한다. 설계 레이아웃 상의 피쳐의 에지(903)의 세 번의 노광 또는 노광의 시뮬레이션에서 모든 조건이 같다고 가정하면, 에지(903)의 레지스트상(903A, 903B 및 903C)은 다소 상이한 형상 및 위치를 가질 수 있다. 레지스트상(903A, 903B 및 903C)의 위치(904A, 904B 및 904C)는 레지스트상(903A, 903B 및 903C) 각각을 평균화함으로써 측정될 수 있다. 확률 변동, 예컨대 라인 에지 거칠기는 언더라잉 특성의 분포의 파라미터에 의해서 보통 표현된다. 이러한 예에서, 에지(903)의 LER은 에지(903)의 공간적 분포의 3σ에 의해 표현될 수 있고, 분포는 정규분포로 가정된다. 3σ는 에지(903)의 많은 노광 또는 시뮬레이션에서의 에지(903)의 위치(예를 들어, 위치(904A, 904B 및 904C)로부터 유도될 수 있다. LER은 에지(903)가 확률적인 영향에 기인하여 속할 범위를 나타낸다. 이러한 이유로, LER은 확률적 에지 배치 오차(SEPE)라고도 불릴 수 있다. LER은 비-확률적인 효과에 의해 초래된 에지(903) 위치의 변화보다 클 수 있다.
도 3b는 선폭 거칠기(LWR)를 개략적으로 보여준다. 설계 레이아웃 상의 폭(911)을 가지는 긴 사각형 피쳐(910)의 세 번의 노광 또는 노광의 시뮬레이션에서 모든 조건이 동일하다고 가정하면, 사각형 피쳐(910)의 레지스트상(910A, 910B 및 910C)은 다소 상이한 폭(911A, 911B 및 911C)을 각각 가질 수 있다. 사각형 피쳐(910)의 LWR은 폭(911A, 911B 및 911C)의 분포의 척도일 수 있다. 예를 들어, LWR은 폭(911)의 분포의 3σ일 수 있고, 분포는 정규 분포라고 가정한다. LWR은 사각형 피쳐(910)의 폭(911)(예를 들어, 폭(911A, 911B 및 911C)의 많은 노광 또는 시뮬레이션으로부터 유도될 수 있다. 짧은 피쳐(예를 들어, 콘택 홀)의 콘텍스트에서, 긴 에지가 그들의 위치를 평균화하기 위해서 이용가능하지 않기 때문에, 그 이미지의 폭은 양호하게 규정되지 않는다. 유사한 양인 LCDU가 확률 변동을 특징짓기 위해서 사용될 수 있다. LCDU는 짧은 피쳐의 이미지들의 측정된 CD의 분포의 3σ이다(분포가 정규 분포라고 가정함).
도 3c는 확률 변동이 리소그래피에 어떻게 영향을 줄 수 있는지를 개략적으로 보여준다. 도 3c의 예에서, 공간상 또는 레지스트상 내의 피쳐의 에지는 점선(982)으로 표시된다. 실제 에지는 확률 변동(이러한 예에서는 LER) 및 확률적 효과와 관련되지 않는 오차(예를 들어, 선량 변동, 초점 변동, 소스 형상, 패터닝 디바이스(예를 들어, 마스크) 오차 등에 의해 생기는 오차) 모두를 포함하는 곡선(995)으로서 표시된다. 실제 에지의 평균 위치가 실선(981)으로 표시된다. 평균 위치(실선(981)) 및 의도된 위치(점선(982)) 사이의 차이(980)가 확률적 효과와 관련되지 않는 오차이고, 이것은 에지 배치 오차(EPE)라고 불릴 수 있다. 평균 위치에 대한 실제 에지의 변동이 확률 변동이다. 평균 위치(실선(981)) 주위의 확률 변동을 포함하는 대역(990)은 확률 변동 대역이라고 불릴 수 있고, 이것은 확률적 효과에 기인하여 실제 국소 에지 배치가 도달할 수 있는 정도를 나타낸다. 확률 변동 대역의 폭은 EPE보다 클 수 있다. 그러므로, 의도된 위치(에지의 점선(982))로부터의 총 확률 편차는 EPE 및 확률 변동 대역의 합일 수 있다. 확률 변동이 없다면, 이러한 예에서 에지의 실제 위치는 실선(981)에 의해 표시되는 위치에 있을 것이고, 이것은 이웃하는 피쳐(983)와 병합하지 않고, 따라서 결함을 생성하지 않는다. 그러나, 확률 변동이 존재하고 확률 변동 대역이 충분히 크면(예를 들어, 대역(990)이면), 실제 에지는 이웃하는 피쳐(983)와 병합하고(점선 원으로 표시됨), 따라서 결함을 생성할 수 있다. 그러므로, 확률 변동을 평가, 시뮬레이션 또는 감소시키는 것이 바람직하다.
공간상 또는 레지스트상의 특성의 확률 변동 및 하나 이상의 설계 변수 사이의 관계를 결정하는 방법이 도 4a의 흐름도 및 도 4b의 개략도에서 표시된다. 단계 1301에서, 특성의 값(1503)이 하나 이상의 설계 변수의 값들의 복수 개의 세트(1501) 각각에 대해서 형성된(실제 노광 또는 시뮬레이션에 의하여) 복수 개의 공간상 또는 레지스트상(1502)으로부터 측정된다. 단계 1302에서, 확률 변동의 값(1505)이 하나 이상의 설계 변수의 값들의 각각의 세트(1501)에 대하여, 하나 이상의 설계 변수의 값들의 해당 세트(1501)에 대해서 형성된 공간상 또는 레지스트상으로부터 측정된 특성의 값(1503)의 분포(1504)로부터 결정된다. 단계 1303에서, 확률 변동의 값(1504) 및 하나 이상의 설계 변수의 값의 세트(1501)로부터 모델의 하나 이상의 파라미터를 근사화함으로써, 관계(1506)가 결정된다.
일 예에서, 확률 변동은 LER이고, 하나 이상의 설계 변수는 블러링된 이미지 ILS(bl_ILS), 선량 및 이미지 세기이다. 모델은 다음일 수 있다:
[수학식 30]
LER = × bl_ILSb ×(선량 × 이미지 세기)c
파라미터 a, b 및 c가 근사화에 의해서 결정될 수 있다. 블러링된 이미지 ILS(bl_ILS)는 공간적 블러가 적용된 이미지 대수 기울기(image log slope; ILS)이다. 공간적 블러는 방사선에 노출됨으로써 레지스트 층 내에 생성된 화학 종의 확산에 기인한 레지스트상의 블러를 나타낼 수 있다.
도 5a는 수학식 30의 모델을 사용한 근사화의 결과를 보여준다. 상수 이미지 세기 및 상수 선량에서의 긴 트렌치(1401), 긴 라인(1402), 짧은 라인(1403), 짧은 트렌치(1404), 짧은 라인 단부(1405), 및 짧은 트렌치 단부(1406)를 포함하는 900 개가 넘는 상이한 피쳐들의 LER의 값(1400)(확률 변동의 일 예로서)이 도 4a 및 도 4b의 방법에 따라서 결정된다. 수학식 30에서의 파라미터 a 및 b는 LER의 값을 설계 변수 bl_ILS의 값으로 근사화함으로써 결정된다(선량이 가중된 블러링된 이미지 세기가 일정하기 때문에 파라미터 c는 파라미터 a에 포함됨). 근사화 결과가 곡선(1410)에 표시된다.
도 5b는 수학식 30의 모델을 사용한 근사화(1510)의 결과를 보여준다. 다양한 선량 및 다양한 이미지 세기에서의 20 * 40 nm 트렌치(1505)의 폭 방향으로의 CD 및 길이 방향으로의 CD의 LCDU(1500)(확률 변동의 일 예로서)의 값이 도 4a 및 도 4b의 방법을 사용하여 결정된다. 수학식 30의 파라미터 a, b 및 c는 LWR의 값을 설계 변수인 bl_ILS, 선량 및 이미지 세기의 값으로 근사화함으로써 결정된다.
공간상 또는 레지스트상의 특성의 확률 변동과 하나 이상의 설계 변수 사이의 관계가 도 4a 및 도 4b의 방법과 같은 방법에 의해서 결정되면, 확률 변동의 값이 해당 특성에 대해서 이러한 관계를 사용하여 계산될 수 있다. 도 6은 이러한 계산의 예시적인 흐름도를 보여준다. 단계 1610에서, 조건들(예를 들어, NA, σ, 선량, 초점, 레지스트 화학물질, 하나 이상의 투영 광학기 파라미터, 하나 이상의 조명 파라미터 등)의 세트가 선택된다. 단계 1620에서, 하나 이상의 설계 변수의 값이 이러한 조건 하에서 계산된다. 예를 들어, 에지에 따른 레지스트상 및 bl_ILS의 에지 위치의 값이다. 단계 1630에서, 확률 변동의 값이 확률 변동 및 하나 이상의 설계 변수 사이의 관계로부터 계산된다. 예를 들어, 일 예에서, 확률 변동은 에지의 LER이다. 선택적인 단계 1640에서, 그 주파수 분포가 실제 기판 측정치와 거의 매칭되는 잡음 벡터가 규정될 수 있다. 선택적인 단계 1650에서, 잡음 벡터가 결과(예를 들어, 공간상 또는 레지스트상의 확률적 에지)에 오버레이된다.
공간상 또는 레지스트상의 특성의 확률 변동 및 하나 이상의 설계 변수 사이의 관계는, 도 7에 도시된 바와 같이 공간상 또는 레지스트상의 하나 이상의 "핫 스폿(hot spot)" 을 식별하기 위해서도 사용될 수 있다. "핫스폿"은 확률 변동이 어떤 크기를 넘는 이미지 상의 위치로서 규정될 수 있다. 예를 들어, 두 개의 인접한 에지 상의 두 개의 위치가 큰 LER 값을 가지면, 이러한 두 개의 위치는 서로 결합될 가능성이 높다.
일 예에서, 복수 개의 조건에서의 그리고 하나 이상의 설계 변수의 복수 개의 값에서의 확률 변동(및/또는 그 함수)의 값들이 계산되고 도 8에 도시된 바와 같이 하드 드라이브에 저장된 데이터베이스와 같은 비-일시적 컴퓨터-판독가능 매체(1800) 내에 컴파일될 수 있다. 컴퓨터는 매체(1800)에게 질의하고 확률 변동의 값을 매체(1800)의 콘텐츠로부터 계산할 수 있다.
공간상/레지스트상의 특성의 확률 변동을 결정하면 리소그래피 프로세스에서 많은 방식으로 유용할 수 있다. 일 예에서, 확률 변동은 광학 근접 정정(OPC)에서 고려될 수 있다.
일 예로서, OPC는 기판 상에 투영된 설계 레이아웃의 이미지의 최종 크기 및 배치가 패터닝 디바이스 상의 설계 레이아웃의 크기 및 배치와 동일하지 않거나, 단지 이것에만 의존할 것이라는 사실을 다룬다. "마스크", "레티클", "패터닝 디바이스"라는 용어는 본 명세서에서 상호교환가능하도록 이용된다는 것에 주의한다. 또한, 당업자는, 특히 리소그래피 시뮬레이션/최적화의 콘텍스트에서, "마스크"/"패터닝 디바이스" 및 "설계 레이아웃"이라는 용어가 상호교환가능하도록 사용될 수 있다는 것을 인식할 것인데, 그 이유는 리소그래피 시뮬레이션/최적화에서, 물리적 패터닝 디바이스가 반드시 사용되어야 하는 것이 아니고 설계 레이아웃이 물리적 패터닝 디바이스를 나타내기 위해서 사용될 수 있기 때문이다. 일부 설계 레이아웃에 존재하는 작은 피쳐 크기 및 높은 피쳐 밀도의 경우, 주어진 피쳐의 특정 에지의 위치는 다른 인접한 피쳐의 존재 또는 부재에 의해서 어느 정도까지 영향받게 될 것이다. 이러한 근접 효과는 하나의 피쳐로부터 다른 피쳐로 커플링되는 소량의 방사선 및/또는 회절 및 간섭과 같은 비-기하학적 광학적 효과 때문에 나타난다. 이와 유사하게, 근접 효과는, 예를 들어 일반적으로 리소그래피에 후속하는 노광후 베이크(PEB), 레지스트 현상, 및 에칭 도중에 확산 및 다른 화학적 효과로부터 생길 수 있다.
설계 레이아웃의 투영된 이미지가 주어진 타겟 회로 디자인의 요구 사항을 따른다는 것을 보장하도록 돕기 위하여, 근접 효과가 설계 레이아웃의 복잡한 수치 모델, 정정 또는 사전 왜곡을 사용하여 예측되고 보상되어야 한다. 논문 "Full-Chip Lithography Simulation and Design Analysis - How OPC Is Changing IC Design", C. Spence, Proc. SPIE, Vol. 5751, pp 1-14 (2005)는 "모델-기반(model-based)" 광학 근접 정정 프로세스의 개관을 제공한다. 통상적인 고수준 설계에서, 타겟 디자인으로 투영된 이미지의 고충실도를 얻기 위하여 설계 레이아웃의 거의 모든 피쳐는 일부 수정된다. 이러한 수정은 에지 위치 또는 선폭의 시프트 또는 바이어싱 및 다른 피쳐의 투영을 보조하기 위한 "보조(assist)" 피쳐를 적용하는 것을 포함할 수 있다.
수 백만 개의 피쳐가 칩 디자인에 통상적으로 존재하기 때문에, 모델-기반 OPC를 타겟 디자인에 적용하는 것은, 양호한 프로세스 모델 및 많은 계산 리소스를 수반한다. 그러나, OPC를 적용하는 것은 일반적으로 "엄격한 과학(exact science)"이 아니고 가능한 근접 효과 모두를 언제나 보상하는 것은 아닌 실험적이고 반복적인 프로세스이다. 그러므로, OPC의 효과, 예를 들어 OPC 및/또는 임의의 다른 RET를 적용한 이후의 설계 레이아웃은, 디자인 흠결이 패터닝 디바이스 패턴에 들어가는 가능성을 감소 또는 최소화하기 위해서, 디자인 검사, 즉 교정된 수치 프로세스 모델을 사용한 집중적인 칩 전체 시뮬레이션에 의해서 검증되어야 한다. 이것은 수 백만 달러 범위에 달하는 첨단 패터닝 디바이스를 제조하는 막대한 비용을 지불함으로써, 그리고 실제 패터닝 디바이스가 제작된 후 이것을 재작업하거나 보수함으로써 전환(turn-around) 시간에 영향을 주면서 이루어진다.
OPC 및 칩 전체 RET 증명 양자 모두는, 예를 들어 미국 특허 출원 공개 번호 제 US 2005-0076322 및 명칭이 "Optimized Hardware and Software For Fast, Full Chip Simulation", by Y. Cao et al., Proc. SPIE, Vol. 5754, 405(2005)인 논문에 설명된 바와 같은 수치 모델 시스템 및 방법에 기반할 수 있다.
하나의 RET는 설계 레이아웃의 광역 바이어스("마스크 바이어스"라고도 불림)의 조절에 관련된다. 광역 바이어스는 설계 레이아웃 내의 패턴 및 기판 상에 인쇄하려 하는 패턴 사이의 차이이다. 예를 들어, 투영 광학기에 의한 확대(축소)를 무시하면, 25 nm 직경의 원형 패턴이 기판 상에, 설계 레이아웃에서의 50 nm 직경 패턴 또는 설계 레이아웃에서의 20 nm 직경 패턴에 의해서 인쇄될 수 있지만, 높은 선량으로 인쇄된다.
설계 레이아웃 또는 패터닝 디바이스(예를 들어, OPC)에 대한 최적화에 추가하여, 전체 리소그래피 충실도를 개선하기 위한 노력의 일환으로, 패터닝 디바이스 최적화와 공동으로 또는 별개로 조명도 최적화될 수 있다. "조명 소스" 및 "소스"라는 용어는 본 명세서에서 상호교환가능하도록 사용된다. 환형, 사극자, 및 쌍극자와 같은 많은 오프-축 조명이 도입되었고, OPC 디자인에 대해 더 많은 자유를 제공하여 이미징 결과를 개선한다. 오프-축 조명은 패터닝 디바이스 내에 포함되는 미세 구조(즉, 타겟 피쳐)를 분해하기 위한 방법이다. 그러나, 전통적인 조명과 비교하면, 오프-축 조명은 공간상(AI)에 대해서 더 적은 방사선 세기를 보통 제공한다. 따라서, 더 미세한 분해능과 감소된 방사선 세기 사이의 최적 균형을 달성함으로써 조명을 최적화하려는 시도가 바람직하다.
다수의 조명 최적화 접근법이, 예를 들어 Rosenbluth 등 저, "Optimum Mask and Source Patterns to Print a Given Shape", Journal of Microlithography, Microfabrication, Microsystems 1(1), pp.13-20, (2002)의 논문에서 발견될 수 있다. 소스는 여러 영역으로 분할되는데, 이들 각각은 퓨필 스펙트럼의 특정 영역에 대응한다. 그러면, 소스 분포는 각각의 소스 영역 내에서 균일하다고 가정되고, 각각의 영역의 휘도가 프로세스 윈도우에 대해서 최적화된다. 그러나, 소스 분포가 각각의 소스 영역에서 균일하다는 이러한 가정은 언제나 유효한 것은 아니고, 결과적으로 이러한 접근법의 효과는 뛰어나지 않다. Granik 저, "Source Optimization for Image Fidelity 및 Throughput", Journal of Microlithography, Microfabrication, Microsystems(3 4), pp.509-522, (2004)인 문헌에서 진술되는 다른 예에서, 현존하는 여러 소스 최적화 접근법들이 개관되고, 소스 최적화 문제를 일련의 음이 아닌 최소 자승 최적화로 변환하는 조명기 픽셀(illuminator pixel)에 기초한 방법이 제안된다. 이러한 방법이 어느 정도의 성공을 보여주지만, 통상적으로 이들은 여러 복잡한 반복들이 수렴할 것을 요구한다. 또한, 기판 이미지 충실도에 대해서 소스를 최적화하는 것과 소스의 평활 요건(smoothness requirement) 사이의 트레이드-오프를 나타내는, Granik의 방법에서의 γ와 같은 일부 추가적인 파라미터에 대하여 적절한/최적의 값을 결정하는 것이 어려울 수 있다.
저 k1 포토리소그래피의 경우, 소스 및 패터닝 디바이스 양자 모두를 최적화하는 것이 임계 회로 패턴의 투영에 대한 가능한 프로세스 윈도우를 보장하는 것을 돕는 데에 유용하다. 일부 알고리즘(예를 들어, Socha 등, SPIE vol. 5853, 2005, p.180)은 조명을 독립적인 소스 포인트로 구별하고 패터닝 디바이스를 공간 주파수 도메인에서의 회절 차수로 구별하며, 소스 포인트 세기 및 패터닝 디바이스 회절 차수로부터의 광학적 이미징 모델에 의해서 예측될 수 있는 프로세스 윈도우 메트릭, 예컨대 노광 위도에 기반하여 비용 함수(하나 이상의 선택된 설계 변수의 함수로서 규정됨)를 별개로 공식화 한다.
"설계 변수"라는 용어는 본 명세서에서 사용될 때, 리소그래피 투영 장치 또는 리소그래피 프로세스의 파라미터, 예를 들어 리소그래피 투영 장치의 사용자가 조절할 수 있는 파라미터, 또는 사용자가 그러한 파라미터를 조절함으로써 조절할 수 있는 이미지 특성의 세트를 포함한다. 조명, 패터닝 디바이스, 투영 광학기, 및/또는 레지스트의 하나 이상의 특성을 포함하는, 리소그래피 투영 프로세스의 임의의 하나 이상의 특성이 최적화에서의 설계 변수에 의해서 표현될 수 있다는 것이 이해되어야 한다. 비용 함수는 흔히 설계 변수들의 비선형 함수이다. 그러면, 비용 함수를 최적화하기 위하여 표준 최적화 기법이 사용된다.
이와 관련하여, 계속 감소하는 디자인 규칙의 압박으로 인해서, 반도체 칩 제조사들이 현존하는 193 nm ArF 리소그래피의 저 k1 리소그래피 시대로 더 깊이 들어가게 되었다. 더 낮은 k1을 향한 리소그래피를 수행하려면 RET, 노광 툴이 절실히 요구되고, 리소그래피에 친화적인 설계가 필요하다. 1.35 ArF 하이퍼 개구수(NA) 노광 툴이 앞으로 사용될 수 있다. 작업가능한 프로세스 윈도우를 가지는 기판에 맞춰서 회로 디자인이 계속하여 생산될 수 있도록 보장하는 것을 돕기 위하여, 조명-패터닝 디바이스 최적화(본 명세서에서는 소스-마스크 최적화 또는 SMO라고 불림)가 2x nm 노드에 대한 중요한 RET가 되고 있다.
제약이 없이 그리고 실용적인 시간 안에 비용 함수를 사용하여 조명 및 패터닝 디바이스를 동시에 최적화하기 위한 조명 및 패터닝 디바이스(설계 레이아웃) 최적화 방법 및 시스템은 미국 특허 출원 공개 번호 제 US 2011-0230999에 기술되며, 이것은 본 명세서에서 그 전체 내용이 원용되어 본원에 통합된다. 소스의 픽셀을 조절함으로써 소스를 최적화하는 것을 수반하는 다른 SMO 방법 및 시스템은 미국 특허 출원 공개 번호 제 2010/0315614에 기술되고, 이것은 그 전체 내용이 원용되어 본원에 통합된다.
일 예로서, 리소그래피 투영 장치 내에서, 비용 함수는 다음과 같이 표현될 수 있다
Figure pct00001
여기에서
Figure pct00002
N 개의 설계 변수 또는 그 값들이다.
Figure pct00003
Figure pct00004
의 설계 변수의 값들의 세트에 대한 평가 포인트에서의 특성의 실제 값 및 의도된 값 사이의 차이와 같은 설계 변수
Figure pct00005
의 함수일 수 있다.
Figure pct00006
Figure pct00007
과 연관된 가중치 상수이다. 다른 것보다 더 중요한 평가 포인트 또는 패턴에는 더 높은
Figure pct00008
값이 할당될 수 있다. 발생 횟수가 많은 패턴 및/또는 평가 포인트에도 더 높은
Figure pct00009
값이 할당될 수 있다. 평가 포인트의 예들은 기판 상의 임의의 물리적 포인트 또는 패턴, 가상 설계 레이아웃, 또는 레지스트상, 또는 공간상 상의 임의의 포인트, 또는 이들의 조합일 수 있다.
Figure pct00010
은 LWR, LER, 및/또는 LCDU와 같은 하나 이상의 확률 변동의 함수일 수도 있고, 이들은 설계 변수
Figure pct00011
의 함수이다.
Figure pct00012
은 확률 변동, 예컨대
Figure pct00013
의 양함수일 수 있다.
Figure pct00014
은 확률 변동의 함수인 변수, 예컨대 LER의 양함수일도 수 있다. 예를 들어, bl_ILS는 수학식 30 및
Figure pct00015
으로 표시되는 LER의 함수일 수 있다.
Figure pct00016
은 확률 변동에 영향을 주는 변수, 예컨대 LER일 수도 있다.
그러므로, 확률 변동을 나타내는
Figure pct00017
을 포함하는 비용 함수를 사용한 최적화에 의하여, 확률 변동을 감소시키거나 최소화하는 하나 이상의 설계 변수의 값을 얻을 수 있다. 비용 함수는 리소그래피 투영 장치, 리소그래피 프로세스 또는 기판의 임의의 하나 이상의 적절한 특성, 예를 들어 초점, CD, 이미지 천이, 이미지 왜곡, 이미지 회전, 확률 변동, 쓰루풋, LCDU, 또는 이들의 조합을 나타낼 수 있다. LCDU는 국소 CD 변동이다(예를 들어, 국소 CD 분포의 표준 편차의 세 배임). 일 예에서, 비용 함수는 LCDU, 쓰루풋, 및 확률 변동(의 함수)을 나타낸다. 일 예에서, 비용 함수는 EPE, 쓰루풋, 및 확률 변동을 나타낸다(예를 들어, 이러한 것의 함수인
Figure pct00018
을 포함함). 일 예에서, 비용 함수는 EPE의 함수인
Figure pct00019
및 LER과 같은 확률 변동의 함수인
Figure pct00020
을 포함한다. 일 예에서, 설계 변수
Figure pct00021
은 선량, 패터닝 디바이스의 광역 바이어스, 조명의 형상, 또는 이들의 조합으로부터 선택된 하나 이상을 포함한다. 기판 상의 패턴을 흔히 나타내는 것이 레지스트상이기 때문에, 비용 함수는 레지스트상의 하나 이상의 특성을 나타내는 함수를 포함할 수 있다. 예를 들어, 이러한 평가 포인트의
Figure pct00022
은 단순히 레지스트상 내의 포인트로부터 해당 포인트의 의도된 위치 사이의 거리(즉, 에지 배치 오차
Figure pct00023
)일 수 있다. 설계 변수는 임의의 조절가능한 파라미터, 예컨대, 소스, 패터닝 디바이스, 투영 광학기의 조절가능한 파라미터, 선량, 초점 등을 포함할 수 있다.
리소그래피 장치는 파면의 형상 및 방사선 빔의 세기 분포 및/또는 위상 천이를 조절하기 위하여 사용될 수 있는 "파면 조작기(wavefront manipulator)"라고 총괄하여 불리는 컴포넌트를 포함할 수 있다. 일 예에서, 리소그래피 장치는 리소그래피 투영 장치의 광로에 따른, 예컨대 패터닝 디바이스 이전, 퓨필 평면 근처, 이미지 평면 근처, 및/또는 초점면 근처의 임의의 위치에서의 파면 및 세기 분포를 조절할 수 있다. 파면 조작기는, 예를 들어, 소스, 패터닝 디바이스, 리소그래피 투영 장치 내에서의 온도 변동, 리소그래피 투영 장치의 컴포넌트의 열팽창 등에 의해 초래되는 파면 및 세기 분포 및/또는 위상 천이의 특정한 왜곡을 정정하거나 보상하기 위해서 사용될 수 있다. 파면 및 세기 분포 및/또는 위상 천이를 조절하면 평가 포인트 및 비용 함수의 값이 변경될 수 있다. 이러한 변경은 모델로부터 시뮬레이션되거나 실제로 측정될 수 있다. 물론,
Figure pct00024
은 수학식 1의 형태로 한정되지 않는다.
Figure pct00025
은 임의의 다른 적절한 형태일 수 있다.
일 예에 따르면, EPE 및 LER 양자 모두를 나타내는 비용 함수는 다음의 형태를 가질 수 있다:
Figure pct00026
이것은, EPE 및 LER 양자 모두가 길이의 차원을 가지기 때문이다. 그러므로, 이들은 직접적으로 합산될 수 있다. LER이 EPE 내에 포함되는 비용 함수를 포함하는 대안적인 비용 함수가 사용될 수도 있다.
수학식 30은 bl_ILS를 LER에 링크시킨다. 그러므로, bl_ILS를 나타내는 비용 함수를 사용한 최적화는 LER을 나타내는 비용 함수를 사용한 최적화와 유사하다. bl_ILS가 커질수록 LER이 작아지고, 그 반대의 경우도 마찬가지이다. 일 예에 따르면, 비용 함수는 EPE 및 bl_ILS(또는 정규화된 ILS(NILS) 양자 모두를 나타낼 수 있다. 그러나, bl_ILS는 길이를 측정하지 않고 EPE는 측정하며, 또는 NILS가 무차원이고 EPE가 길이의 차원을 가지기 때문에, EPE 및 bl_ILS(또는 NILS)는 직접 가산될 수 없다. 그러므로, bl_ILS(또는 NILS)를 길이를 나타내는 함수로 표현하면, 해당 표현을 EPE에 직접적으로 가산하는 것이 가능해진다.
ILS는
Figure pct00027
로서 규정된다. bl_ILS는 공간적으로 블러링된 ILS이다. NILS는 NILS
Figure pct00028
로서 규정된다. 이러한 정의는 ILS, bl_ILS 또는 NILS를 나타낼 수 있는 함수를 제안하고, 길이를 나타내며, 따라서 EPE에 직접적으로 가산될 수 있다. 도 9a 및 도 9b는 패턴의 에지에 걸친 해당 에지에 수직인 방향(x)으로의 이미지(공간상 또는 레지스트상)의 세기를 각각 보여준다. x에 대한 세기의 기울기가 커지면, ILS, bl_ILS 및 NILS가 커진다는 것을 의미한다. 따라서, 도 9a의 예는 도 9b의 예보다 높은 ILS, bl_ILS 및 NILS를 가진다. 에지 위치 Xe는 레지스트 I를 노광하기에 충분한 세기로 천이된다. 레지스트 I를 노광하기에 충분한 세기는 노광의 지속기간이 고정되는 경우 선량과 함께 변한다. 그러므로 선량의 변화량(예를 들어, 사용자가 선택하는 파라미터일 수 있는 공칭 선량에 대한 ±δ)에 의해 에지 위치 Xe의 천이량(이제부터는 "EPEILS"라고 하며, 예를 들어 2911 및 2912임)은 ILS, bl_ILS 또는 NILS에 의해 결정된다. 도 9a의 예에서 EPEILS는 도 9b의 예에서의 EPEILS보다 작은데, 그 이유는 도 9a의 예가 도 9b의 예보다 높은 ILS, bl_ILS 및 NILS를 가지기 때문이다. 따라서, EPEILS는 ILS, bl_ILS 또는 NILS를 나타낼 수 있는 일 예의 일 예이고, 길이를 나타내며, 비용 함수에서 EPE에 직접적으로 가산될 수 있다. EPEILS는 다음과 같이 표현될 수 있는데,
Figure pct00029
.
여기에서
Figure pct00030
는 설계 변수
Figure pct00031
의 함수이다. 일 예에 따른, EPE 및 ILS, bl_ILS 또는 NILS 양자 모두를 나타내는 비용 함수는 다음의 형태를 가질 수 있다:
Figure pct00032
여기에서
Figure pct00033
는 공칭 선량에서의 EPE 값이고, p는 p-번째 평가 포인트이며, 및 Sp는 EPEILS 항에 대한 가중치이다. 그러므로, 예를 들어 이러한 비용 함수를 최소화함으로써 최적화하면,
Figure pct00034
가 최대가 되고, 따라서 LER이 최소가 된다.
일 예에 따르면, EPE 항이 증가할 때,
Figure pct00035
항의 가중치
Figure pct00036
Figure pct00037
Figure pct00038
이 EPE 항
Figure pct00039
에서 지배적인 항이 되지 않도록 EPE 항의 가중치(예를 들어,
Figure pct00040
)에 비하여 감소될 수 있다.
Figure pct00041
항이 지배적인 항이 되면, EPE 항은 최적화에 의해서 충분히 감소되지 않을 것이다. 예를 들어,
Figure pct00042
가 사용자에 의해 선택된 오프셋보다 높으면,
Figure pct00043
일 때
Figure pct00044
가 되고(이를 통하여 최적화는 EPEILS 항을 무시하고 EPE 항만을 감소시킴),
Figure pct00045
일 때
Figure pct00046
가 되는데, 여기에서
Figure pct00047
는 오프셋이다. 예를 들어,
Figure pct00048
이다. EPE 항의 가중치가 높아지면 최적화는 비용 함수를 사용하는 최적화에서의 EPE 항을 감소시키게 될 것이다.
도 10은 비용 함수의 곡선을
Figure pct00049
의 함수로서 개략적으로 도시하는데, 여기에서 가중치는
Figure pct00050
이다. 도 10에서 알 수 있는 것처럼, EPE 항은
Figure pct00051
일 때 비용 함수의 더 큰 비율을 고려하는데, 그 이유는 가중치
Figure pct00052
가 더 큰 값을 가지기 때문이다.
설계 변수는 제약을 가질 수 있고, 이것은
Figure pct00053
로 표현될 수 있으며, 여기에서
Figure pct00054
는 설계 변수의 가능한 값들의 세트이다. 설계 변수에 대한 하나의 가능한 제약은 리소그래피 투영 장치의 소망되는 쓰루풋에 의해서 부과될 수 있다. 소망되는 쓰루풋의 하한은 선량에 상한이 생기게 하고, 따라서 확률 변동에 대한 암시를 가진다(예를 들어, 확률 변동에 하한을 부과함). 노광 시간이 짧아지고 및/또는 선량이 낮아지면, 일반적으로 더 높은 쓰루풋이 얻어지지만 확률 변동이 더 커진다. 기판 쓰루풋 및 확률 변동의 최소화를 고려하는 것은 설계 변수의 가능한 값을 제약할 수 있는데, 그 이유는 확률 변동이 설계 변수의 함수이기 때문이다. 이러한 제약이 소망되는 쓰루풋에 부과되지 않으면, 최적화는 비현실적인 설계 변수의 값들의 세트를 제공할 수 있다. 예를 들어, 선량이 설계 변수인 경우, 이러한 제약이 없다면 최적화는 경제적으로 불가능한 쓰루풋을 만드는 선량 값을 제공할 수 있다. 그러나, 제약의 유용성은 필수적인 것으로 해석되어서는 안 된다. 예를 들어, 쓰루풋은 퓨필 충진비(pupil fill ratio)에 의해 영향받을 수 있다. 일부 조명 설계의 경우, 낮은 퓨필 충진비는 방사선을 버림으로써, 더 낮은 쓰루풋을 초래할 수 있다. 또한 쓰루풋은 레지스트 화학물질에 의해서도 영향받을 수 있다. 레지스트가 느리면(예를 들어, 더 많은 양의 방사선이 적합하게 노광되도록 요구하는 레지스트), 더 낮은 쓰루풋이 얻어진다.
그러므로, 최적화 프로세스는, 예를 들어 다음을 찾기 위하여 비용 함수를 최적화하는 제약
Figure pct00055
하에서, 하나 이상의 설계 변수의 값들의 세트를 찾는 것이다:
Figure pct00056
일 예에 따른 최적화의 일반적인 방법이 도 11에 도시된다. 이러한 방법은 복수 개의 설계 변수의 다변수 비용 함수를 규정하는 단계(302)를 포함한다. 설계 변수는, 조명(300A)의 하나 이상의 특성(예를 들어, 퓨필 충진비, 즉 퓨필 또는 애퍼쳐를 통과하는 조명의 방사선의 백분률), 투영 광학기(300B)의 하나 이상의 특성 및/또는 설계 레이아웃(300C)의 하나 이상의 특성을 나타내는 설계 변수로부터 선택된 임의의 적절한 조합을 포함할 수 있다. 예를 들어, 설계 변수는, 조명(300A) 및 설계 레이아웃(300C)의 하나 이상의 특성(예를 들어, 광역 바이어스)을 나타내지만 투영 광학기(300B)의 하나 이상의 특성을 나타내지 않는 설계 변수를 포함할 수 있고, 이것은 SMO가 된다. 또는, 설계 변수는, 조명(300A)(선택적으로, 편광), 투영 광학기(300B) 및 설계 레이아웃(300C)의의 하나 이상의 특성을 나타내는 설계 변수를 포함할 수 있고, 이것은 조명-패터닝 디바이스(예를 들어, 마스크)-투영 시스템(예를 들어, 렌즈) 최적화(SMLO)가 된다. 단계 304에서, 설계 변수는 비용 함수가 수렴을 향해 이동되도록 동시에 조절된다. 단계 306에서, 미리 규정된 종결 조건이 만족되는지 여부가 결정된다. 미리 결정된 종결 조건은 다양한 가능성을 포함할 수 있고, 예를 들어, 비용 함수가 사용된 수치 기법에 의해 요구되는 것처럼 최소화되거나 최대화될 수 있다는 것, 비용 함수의 값이 임계가 같아지거나 임계 값을 넘었다는 것, 비용 함수의 값이 미리 설정된 오차 한계 내에 도달했다는 것, 및/또는 미리 설정된 개수의 반복에 도달되는 것으로부터 선택된 하나 이상을 포함할 수 있다. 단계 306에서 조건이 만족되면, 방법은 끝난다. 단계 306에서 하나 이상의 조건이 만족되지 않으면, 소망되는 결과가 얻어질 때까지 단계(304 및 306)가 반복적으로 반복된다. 최적화는 반드시 하나 이상의 설계 변수에 대한 값들의 단일 세트를 초래하는 것이 아닌데, 그 이유는 이들이 퓨필 충진 인자, 레지스트 화학물질, 쓰루풋 등과 같은 인자에 의해 초래되는 물리적 제한일 수도 있기 때문이다. 최적화는 하나 이상의 설계 변수 및 연관된 성능 특성(예를 들어, 쓰루풋)의 값들에 대한 다수의 세트를 제공할 수 있고, 리소그래피 장치의 사용자가 하나 이상의 세트를 고르게 한다. 도 22 레지스트 화학물질(노광 레지스트에 의해 요구되는 선량에 의해 표현될 수 있음), 퓨필 충진비("퓨필 충진 인자"라고도 알려져 있음), 조명 효율(예를 들어, 방사선을 패터닝 디바이스로 지향시키는 미러와 조명기 내의 이용가능한 총 미러의 비율) 및 마스크 바이어스에 대한, 쓰루풋(시간 당 기판의 개수 단위임)의 관계를 수평 축에, 그리고 확률 변동, 예를 들어 최악의 코너 CDU 및 LER의 평균의 척도를 수직 축에 보여준다. 트레이스(1811)는 100% 퓨필 충진 인자 및 고속 레지스트에서의 이러한 관계를 보여준다. 트레이스(1812)는 100% 퓨필 충진 인자 및 저속 레지스트에서의 이러한 관계를 보여준다. 트레이스(1821)는 60% 퓨필 충진 인자 및 고속 레지스트에서의 이러한 관계를 보여준다. 트레이스(1822)는 60% 퓨필 충진 인자 및 저속 레지스트에서의 이러한 관계를 보여준다. 트레이스(1831)는 29% 퓨필 충진 인자 및 고속 레지스트에서의 이러한 관계를 보여준다. 트레이스(1832)는 29% 퓨필 충진 인자 및 저속 레지스트에서의 이러한 관계를 보여준다. 최적화는 이러한 모든 가능성을 사용자에게 제공할 수 있어서, 사용자가 퓨필 인자, 레지스트 화학물질을 확률 변동 및/또는 쓰루풋의 그의 특정한 요구 사항에 기반하여 선택할 수 있게 한다. 최적화는 쓰루풋 및 퓨필 충진 인자, 레지스트 화학물질 및 마스크 바이어스 사이의 관계를 계산하는 것을 더 포함할 수 있다. 최적화는 확률 변동의 척도 및 퓨필 충진 인자, 레지스트 화학물질 및 마스크 바이어스 사이의 관계를 계산하는 것을 더 포함할 수 있다.
일 예에 따르면, 도 23의 흐름도에 개략적으로 예시된 바와 같이, 최적화는 하나 이상의 설계 변수의 값들의 세트(예를 들어, 광역 바이어스 및 마스크 앵커 바이어스의 값들의 어레이, 매트릭스, 또는 목록) 각각에 경우에 대해서 수행될 수 있다(단계 1910). 일 예에서, 최적화의 비용 함수는 확률 변동의 하나 이상의 척도(예를 들어, LCDU)의 함수이다. 그러면, 단계 1920에서, 프로세스, 공간상, 및/또는 레지스트상의 다양한 특성(예를 들어, 임계 치수 균일도(CDU), 초점 심도(DOF), 노광 관용도(exposure latitude; EL), 마스크 오차 향상 인자(MEEF), LCDU, 쓰루풋 등)이, 하나 이상의 설계 변수의 값들의 각각의 세트에 대해서 최적화의 사용자에게 제공될 수 있다. 선택적인 단계 1930에서, 사용자는 하나 이상의 설계 변수의 값들의 세트를 그의 하나 이상의 소망되는 특성에 기반하여 선택한다. 이러한 흐름은 XML 파일 또는 임의의 스크립트 언어를 통해서 구현될 수 있다.
조명, 패터닝 디바이스 및 투영 광학기는 교대로 최적화되거나(교대 최적화(Alternative Optimization)라고 불림) 동시에 최적화될 수 있다(동시 최적화(Simultaneous Optimization)라고 불림). "동시(simultaneous)", "동시에(simultaneously)", "공동(joint)" 및 "공동으로(jointly)"라는 용어는 본 명세서에서 사용될 때, 조명, 패터닝 디바이스, 투영 광학기 및/또는 임의의 다른 설계 변수의 하나 이상의 특성을 나타내는 하나 이상의 설계 변수가 동시에 변경될 수 있다는 것을 의미한다. "교번(alternative)" 및 "교대로(alternatively)"라는 용어는 본 명세서에서 사용될 때, 설계 변수들 모두가 동시에 변경되도록 허용되지 않는다는 것을 의미한다.
도 11에서, 모든 설계 변수의 최적화는 동시에 실행된다. 이러한 흐름은 동시 흐름 또는 상호 최적화 흐름이라고 불릴 수 있다. 또는, 모든 설계 변수의 최적화는 도 12에 도시된 바와 같이 교대로 실행된다. 이러한 흐름에서, 각각의 단계에서 일부 설계 변수는 고정되는 반면에 다른 설계 변수는 비용 함수를 최적화하도록 최적화된다; 그러면 다음 단계에서, 변수들의 상이한 세트가 고정되는 반면에 다른 것들이 비용 함수를 최소화 또는 최대화하도록 최적화된다. 이러한 단계들이, 수렴 또는 특정 종결 조건이 만족될 때까지 교대로 실행된다. 도 12의 비한정적인 예시적인 흐름도에서 도시된 바와 같이, 우선 설계 레이아웃(단계 402))이 획득된 후, 조명 최적화 단계가 단계 404에서 실행되는데, 여기에서 조명의 하나 이상의 설계 변수가 비용 함수를 최소화 또는 최대화하기 위해서 최적화되는(SO) 반면에, 다른 설계 변수들은 고정된다. 그러면 다음 단계(406)에서, 패터닝 디바이스(예를 들어, 마스크) 최적화(MO)가 수행되는데, 여기에서는 패터닝 디바이스의 설계 변수가 비용 함수를 최소화 또는 최대화하기 위해서 최적화되는 반면에, 다른 설계 변수들은 고정된다. 이러한 두 개의 단계들은 특정 종결 조건이 단계 408에서 만족될 때까지 교대로 실행된다. 비용 함수의 값이 임계 값과 같아질 것, 비용 함수의 값이 임계 값을 넘을 것, 비용 함수의 값이 미리 설정된 오차 한계 내에 도달할 것, 미리 설정된 횟수의 반복이 도달될 것 등과 같은 하나 이상의 다양한 종결 조건이 사용될 수 있다. SO-MO-교번-최적화가 교번 흐름에 대한 일 예로서 사용된다는 것에 주의한다. 대안적인 흐름은, SO, LO(투영 광학기 최적화)가 실행되고 MO가 교대로 그리고 반복적으로 실행되는 SO-LO-MO-교대-최적화; 또는 첫 번째 SMO가 한번 실행될 수 있고, 그 후에 LO 및 MO를 교대로 그리고 반복적으로 실행하는 등과 같은 많은 상이한 형태를 가질 수 있다. 다른 대안적은 SO-PO-MO(조명 최적화, 편광 최적화 및 패터닝 디바이스 최적화)이다. 마지막으로, 최적화 결과의 출력이 단계 410에서 얻어지고, 프로세스는 중단된다.
전술된 바와 같이, 패턴 선택 알고리즘은 동시 최적화 또는 교대 최적화와 통합될 수 있다. 예를 들어, 교대 최적화가 채택되는 경우, 우선 칩 전체 SO가 수행될 수 있고, 하나 이상의 '핫 스폿(hot spot)' 및/또는 '웜 스폿(warm spot)'이 식별되며, 그 후에 MO가 수행된다. 본 발명을 고려하면, 소망되는 최적화 결과를 얻기 위해서 서브-최적화의 다수의 치환 및 조합들이 가능하다.
도 13a는 비용 함수가 최소화되거나 최대화되는, 하나의 예시적인 최적화방법을 보여준다. 단계 S502에서, 존재할 경우 하나 이상의 연관된 튜닝 범위를 포함하는 하나 이상의 설계 변수의 초기 값이 얻어진다. 단계 S504에서, 다변수 비용 함수가 셋업된다. 단계 S506에서, 비용 함수는 제 1 반복 단계(i=0)에 대한 하나 이상의 설계 변수의 시작 포인트 값 주위의 충분히 작은 이웃 내에서 확장된다. 단계 S508에서, 표준 다변수 최적화 기법이 비용 함수에 적용된다. 최적화 문제가, S508에서의 최적화 프로세스 동안에 또는 최적화 프로세스 내의 추후의 스테이지에서, 하나 이상의 튜닝 범위와 같은 제약을 인가할 수 있다는 것에 주의한다. 단계 S520은 각각의 반복이, 리소그래피 프로세스를 최적화하도록 선택된 식별된 평가 포인트에 대한 하나 이상의 주어진 테스트 패턴("게이지(gauges)"라고도 알려져 있음)에 대해서 이루어진다는 것을 표시한다. 단계 S510에서, 리소그래피 응답이 예측된다. 단계 S512에서, 단계 S510의 결과가 단계 S522에서 얻어진 소망되는 또는 이상적인 리소그래피 응답 값과 비교된다. 단계 S514에서 종결 조건이 만족되면, 즉 최적화가 소망되는 값에 충분히 가까운 리소그래피 응답 값을 생성하면, 설계 변수의 최종 값이 단계 S518에서 출력된다. 출력 단계는 설계 변수의 최종 값을 사용하는 하나 이상의 다른 함수를 출력하는 것, 예컨대 퓨필 평면(또는 다른 평면)에서의 파면 수차-조절된 맵, 최적화된 조명 맵, 및/또는 최적화된 설계 레이아웃 등을 출력하는 것을 더 포함할 수 있다. 종결 조건이 만족되지 않으면, 단계 S516에서 하나 이상의 설계 변수의 값이 i번째 반복의 결과로 업데이트되고, 프로세스는 다시 단계 S506으로 간다. 도 13a의 프로세스가 다음과 같이 자세히 상술된다.
예시적인 최적화 프로세스에서,
Figure pct00057
이 충분히 평탄하다(예를 들어 일차 도함수
Figure pct00058
가 존재함)는 것을 제외하고는, 설계 변수
Figure pct00059
Figure pct00060
사이에 어떠한 관계도 가정되거나 근사화되지 않는데, 이러한 관계는 리소그래피 투영 장치 내에서는 일반적으로 유효하다. 알고리즘, 예컨대 가우스-뉴튼 알고리즘, 레벤버그-마콰트(Levenberg-Marquardt) 알고리즘, 브로이덴-플레쳐-골드파브-샤노 알고리즘(Broyden-Fletcher-Goldfarb-Shanno), 그레디언트 감소 알고리즘, 시뮬레이션된 어닐링 알고리즘, 내부 포인트 알고리즘, 및 유전자 알고리즘과 같은 알고리즘이
Figure pct00061
을 찾기 위해서 적용될 수 있다.
여기에서는, 가우스-뉴튼 알고리즘이 일 예로서 사용된다. 가우스-뉴튼 알고리즘은 일반적인 비선형 다변수 최적화 문제에 적용가능한 반복 방법이다. 설계 변수
Figure pct00062
Figure pct00063
의 값을 가지는 i-번째 반복에서, 가우스-뉴튼 알고리즘은
Figure pct00064
근처에서
Figure pct00065
을 선형화하고, 그 뒤에
Figure pct00066
의 최소를 제공하는,
Figure pct00067
근처의 값
Figure pct00068
을 계산한다. 설계 변수
Figure pct00069
은 (i+1)-번째 반복에서
Figure pct00070
의 값을 가진다. 이러한 반복이 수렴(즉
Figure pct00071
이 더 이상 감소되지 않는 경우) 또는 미리 설정된 횟수의 반복이 도달될 때까지 계속된다.
구체적으로 설명하면, i-번째 반복에서,
Figure pct00072
근처에서 다음이 성립한다,
Figure pct00073
수학식 3을 근사화하면, 비용 함수는 다음이 된다:
Figure pct00074
이것은 설계 변수
Figure pct00075
의 이차함수이다. 설계 변수
Figure pct00076
을 제외한 모든 항은 상수이다.
설계 변수
Figure pct00077
가 임의의 제약을 받지 않는다면,
Figure pct00078
N 개의 일차방정식을 풀어냄으로써 유도될 수 있다:
Figure pct00079
, 여기에서
Figure pct00080
.
설계 변수
Figure pct00081
Figure pct00082
에 대한 J 개의 부등식(예를 들어
Figure pct00083
의 튜닝 범위)
Figure pct00084
; 및
Figure pct00085
에 대한 K 개의 등식(예를 들어 설계 변수들 사이의 상호의존도)
Figure pct00086
의 형태인 제약을 받으면, 최적화 프로세스는 종래의 이차식 프로그래밍 문제가 되며, 여기에서
Figure pct00087
,
Figure pct00088
,
Figure pct00089
,
Figure pct00090
는 상수이다. 각각의 반복에 대하여 추가적 제약들이 부과될 수 있다. 예를 들어, 수학식 3의 근사화가 유효하게끔, "감쇠 인자"
Figure pct00091
Figure pct00092
Figure pct00093
사이의 차이를 한정하도록 도입될 수 있다. 이러한 제약은
Figure pct00094
로 표현될 수 있다.
Figure pct00095
은, 예를 들어 Numerical Optimization(2nd ed.) by Jorge Nocedal and Stephen J. Wright(Berlin New York: Vandenberghe. Cambridge University Press)에 설명된 방법을 사용하여 유도될 수 있다.
Figure pct00096
의 RMS를 최소화하는 대신에, 최적화 프로세스는 그들의 의도된 값에 대한 평가 포인트들 중 가장 큰 편차(최악의 결함)의 크기를 최소화한다. 이러한 접근법에서, 비용 함수는 대안적으로 다음과 같이 표현될 수 있는데
Figure pct00097
여기에서
Figure pct00098
Figure pct00099
에 대한 허용된 최대 값이다. 이러한 비용 함수는 평가 포인트들 중에서의 최악의 결함을 나타낸다. 이러한 비용 함수를 사용한 최적화를 통해서 최악의 결함의 크기가 최소화된다. 반복적 그리디(greedy) 알고리즘이 이러한 최적화를 위해 사용될 수 있다.
수학식 5의 비용 함수는 다음과 같이 근사화될 수 있다:
Figure pct00100
여기에서 q는 적어도 4, 또는 적어도 10과 같은 양의 우수 정수이다. 수학식 6은 수학식 5의 거동과 닮아 있고, 최심도 감소 방법(deepest descent method), 공액 그레디언트 방법 등과 같은 방법을 사용하여 최적화가 분석적으로 실행되고 가속화될 수 있게 한다.
최악의 결함 크기를 최소화하는 것도
Figure pct00101
의 선형화와 결합될 수 있다. 구체적으로 설명하면,
Figure pct00102
은 수학식 3에서와 같이 근사화된다. 그러면, 최악의 결함 크기에 대한 제약이 부등식
Figure pct00103
로서 표현되고, 여기에서
Figure pct00104
Figure pct00105
Figure pct00106
에 대한 허용된 최소 및 최대 편차를 규정하는 두 개의 상수이다. 수학식 3을 적용하면, 이러한 제약은 p=1,…P에 대하여 다음과 같이 변환된다,
[수학식 6']
Figure pct00107
[수학식 6'']
Figure pct00108
수학식 3이 일반적으로
Figure pct00109
근처에서만 유효하기 때문에, 부등식들 사이의 임의의 상충에 의해서 결정될 수 있는, 소망되는 제약
Figure pct00110
가 이러한 근처에서 획득될 수 없는 경우에는, 이러한 제약들을 얻을 수 있을 때까지 상수
Figure pct00111
Figure pct00112
가 완화될 수 있다. 이러한 최적화 프로세스는
Figure pct00113
, i 근처에서의 최악의 결함 크기를 최소화한다. 그러면 각각의 단계는 점진적으로 최악의 결함 크기를 감소시키고, 각각의 단계는 특정 종결 조건이 만족될 때까지 반복적으로 실행된다. 그러면 최악의 결함 크기가 최적으로 감소될 것이다.
최악의 결함을 최소화하기 위한 다른 방법은 각각의 반복에서의 가중치
Figure pct00114
를 조절하는 것이다. 예를 들어, i-번째 반복 이후에, r-번째 평가 포인트가 최악의 결함이라면,
Figure pct00115
는 (i+1)-번째 반복에서 증가되어 해당 평가 포인트의 결함 크기의 감소가 더 높은 우선순위로 제공되게 할 수 있다.
또한, 수학식 4 및 수학식 5 내의 비용 함수는 라그랑지 승산기를 도입함으로써 변경되어, 결함 크기의 RMS에 대한 최적화 및 최악의 결함 크기에 대한 최적화 사이의 절충을 얻을 수 있고, 즉,
[수학식 6''']
Figure pct00116
이며,
여기에서 λ는 결함 크기의 RMS에 대한 최적화 및 최악의 결함 크기에 대한 최적화 사이의 트레이드-오프를 규정하는 미리 설정된 상수이다. 특히, λ=0이면, 이것은 수학식 4가 되고, 결함 크기의 RMS만이 최소화된다; 반면에 λ=1이면, 이것은 수학식 5가 되고, 최악의 결함 크기만이 최소화된다; 0<λ<1 이면, 양자 모두가 최적화에 고려된다. 이러한 최적화는 여러 방법들을 사용하여 구할 수 있다. 예를 들어, 각각의 반복에서의 가중치는 전술된 것과 유사하게 조절될 수 있다. 또는, 부등식들로부터의 최악의 결함 크기를 최소화하는 것과 유사하게, 수학식 6' 및 6"\의 부등식은 이차식 프로그래밍 문제를 풀어내는 동안에 설계 변수의 제약으로서 여겨질 수 있다. 그러면, 최악의 결함 크기에 대한 경계가 점점 완화되거나, 최악의 결함 크기에 대한 가중치를 점점 증가시키고, 얻을 수 있는 모든 최악의 결함 크기에 대한 비용 함수 값을 계산하며, 총 비용 함수를 최소화하는 설계 변수 값을 다음 단계에 대한 초기 포인트로서 선택할 수 있다. 이러한 과정을 반복하여 수행함으로써, 이러한 새로운 비용 함수가 최소화될 수 있다.
리소그래피 투영 장치를 최적화하는 것은 프로세스 윈도우를 확장시킬 수 있다. 프로세스 윈도우가 더 크면 프로세스 디자인 및 칩 디자인의 유연성이 더 높아진다. 프로세스 윈도우는 레지스트상이 레지스트상의 디자인 타겟의 특정 한계 내에 속하게 되는 초점 및 선량 값의 세트로서 규정될 수 있다. 본 명세서에서 설명되는 모든 방법들은 노광 선량 및 디포커스(defocus) 외에 그 외의 또는 추가적인 베이스 파라미터에 의하여 확립될 수 있는 일반화된 프로세스 윈도우 정의로 확장될 수도 있다는 것에 주의한다. 이것은 NA, 시그마, 수차, 편광과 같은 광학적 설정, 또는 레지스트 층의 광학 상수를 포함할 수도 있지만 그것들로 제한되지는 않는다. 예를 들어, 전술된 바와 같이, 프로세스 윈도우(PW)가 상이한 마스크 바이어스를 더 포함한다면, 최적화는 기판 EPE 및 유도된 마스크 에지 바이어스 사이의 비율로서 규정되는 MEEF의 최소화를 포함한다. 온 초점 및 선량 값에 대해서 규정된 프로세스 윈도우만이 본 명세서에서 일 예로서의 역할을 한다. 일 예에 따른 프로세스 윈도우를 최대화하는 방법이 이하 설명된다.
첫 번째 단계에서, 프로세스 윈도우 내의 공지된 조건
Figure pct00117
으로부터 시작하는데, 여기에서 f 0는 공칭 초점이고 ε 0는 공칭 선량이며, 다음 비용 함수 중 하나를 근방
Figure pct00118
내에서 최소화한다:
Figure pct00119
또는
[수학식 7']
Figure pct00120
(Eq. 7')
또는
[수학식 7'']
Figure pct00121
공칭 초점 f 0 및 공칭 선량 ε 0가 천이될 수 있으면, 이들은 설계 변수
Figure pct00122
과 공동으로 최적화될 수 있다. 다음 단계에서,
Figure pct00123
의 값들의 세트가 비용 함수가 미리 설정된 한계 내에 속하도록 발견될 수 있으면,
Figure pct00124
은 프로세스 윈도우의 일부로서 수락된다.
초점 및 선량이 천이될 수 없으면, 설계 변수
Figure pct00125
은 초점 및 선량이 공칭 초점 f 0 및 공칭 선량 ε 0에 고정된 상태로 최적화된다. 대안적인 일 예에서,
Figure pct00126
의 값들의 세트가 비용 함수가 미리 설정된 한계 내에 속하도록 발견될 수 있으면,
Figure pct00127
은 프로세스 윈도우의 일부로서 수락된다.
본 명세서에서 전술된 방법이 수학식 7, 7', 또는 7" 의 각각의 비용 함수를 최소화하기 위하여 사용될 수 있다. 설계 변수가 투영 광학기의 하나 이상의 특성, 예컨대 제르니케 계수를 나타내면, 수학식 7, 7', 또는 7" 의 비용 함수를 최소화하면 투영 광학기 최적화, 즉 LO에 기반한 프로세스 윈도우 최대화가 이루어진다. 설계 변수가 투영 광학기의 특성에 추가하여 조명 및 패터닝 디바이스의 하나 이상의 특성을 나타내면, 수학식 7, 7', 또는 7" 의 비용 함수를 최소화하면 도 11에 도시된 바와 같이 SMLO에 기반한 프로세스 윈도우 최대화가 이루어진다. 설계 변수가 소스 및 패터닝 디바이스의 하나 이상의 특성을 나타내면, 수학식 7, 7', 또는 7" 의 비용 함수를 최소화하면 SMO에 기반한 프로세스 윈도우 최대화가 이루어진다. 수학식 7, 7', 또는 7"의 최적화는, 본 명세서에서 설명된 바와 같은, LWR, 2D 피쳐의 국소 CD 변동, 및/또는 쓰루풋과 같은 하나 이상의 확률 변동의 함수인 적어도 하나의
Figure pct00128
을 더 포함할 수 있다.
도 14는 동시 SMLO 프로세스의 하나의 특정한 예가 최적화를 위하여 가우스 뉴턴 알고리즘을 어떻게 사용할 수 있는지를 보여준다. 단계 S702에서, 하나 이상의 설계 변수의 시작 값이 식별된다. 각각의 변수에 대한 튜닝 범위도 식별될 수 있다. 단계 S704에서, 하나 이상의 설계 변수를 사용하여 비용 함수가 규정된다. 단계 S706에서, 비용 함수는 설계 레이아웃 내의 모든 평가 포인트에 대한 시작 값 근처에서 확장된다. 선택적인 단계 S710에서, 칩 전체 시뮬레이션이 실행되어 칩 전체 설계 레이아웃 내의 모든 중요한 패턴을 커버한다. 소망되는 리소그래피 응답 메트릭(예컨대, CD 또는 EPE)이 단계 S714에서 획득되고, 단계 S712에서 그러한 양의 예측된 값과 비교된다. 단계 S716에서, 프로세스 윈도우가 결정된다. 단계 S718, S720, 및 S722는 도 13a에 대하여 설명된 바와 같은 대응하는 단계 S514, S516 및 S518과 유사하다. 전술된 바와 같이, 최종 출력은, 예를 들어 소망되는 이미징 성능을 생성하도록 최적화되는, 퓨필 평면 내의 파면 수차 맵일 수 있다. 최종 출력은, 예를 들어 최적화된 조명 맵 및/또는 최적화된 설계 레이아웃일 수 있다.
도 13b는 비용 함수를 최적화하기 위한 예시적인 방법을 도시하는데, 여기에서 설계 변수
Figure pct00129
은 이산 값들만을 가질 수 있는 설계 변수를 포함한다.
방법은 조명의 픽셀 그룹 및 패터닝 디바이스의 패터닝 디바이스 타일을 규정함으로써 시작된다(단계 802). 일반적으로, 픽셀 그룹 또는 패터닝 디바이스 타일은 리소그래피 프로세스 컴포넌트의 분할이라고도 불릴 수 있다. 하나의 예시적인 접근법에서, 조명은 117 개의 픽셀 그룹으로 분할되고, 94 개의 패터닝 디바이스 타일이 해당 패터닝 디바이스에 대해서 실질적으로 전술된 바와 같이 규정되어, 결과적으로 총 211 개의 분할이 된다.
단계 804에서, 리소그래피 모델이 리소그래피 시뮬레이션의 기반으로서 선택된다. 리소그래피 시뮬레이션은 하나 이상의 리소그래피 메트릭, 또는 응답의 계산에서 사용되는 결과를 생성한다. 특정 리소그래피 메트릭이 최적화될 성능 메트릭이 되도록 규정된다(단계 806). 단계 808에서, 조명 및 패터닝 디바이스에 대한 초기(사전 최적화) 조건이 셋업된다. 초기 조건은, 초기 조명 형상 및 초기 패터닝 디바이스 패턴을 참조할 수 있도록, 조명의 픽셀 그룹 및 패터닝 디바이스의 패터닝 디바이스 타일에 대한 초기 상태를 포함한다. 초기 조건은 마스크 바이어스, NA, 및/또는 초점 램프 범위를 더 포함할 수 있다. 비록 단계(802, 804, 806, 및 808)가 순차적인 단계들로서 도시되지만, 다른 예들에서는 이러한 단계들이 다른 시퀀스로 수행될 수 있다는 것이 이해될 것이다.
단계 810에서, 픽셀 그룹 및 패터닝 디바이스 타일에 순위가 매겨진다(ranked). 픽셀 그룹 및 패터닝 디바이스 타일은 랭킹에 따라 인터리빙될 수 있다. 순차적으로(예를 들어, 픽셀 그룹(1)로부터 픽셀 그룹(117)으로 그리고 패터닝 디바이스 타일(1)로부터 패터닝 디바이스 타일(94)로), 무작위로, 픽셀 그룹 및 패터닝 디바이스 타일의 물리적 위치에 따라서(예를 들어, 조명의 중앙에 더 가까운 픽셀 그룹을 더 높게 랭킹함), 및/또는 픽셀 그룹 또는 패터닝 디바이스 타일의 변경이 성능 메트릭에 어떻게 영향을 주는지에 따라서와 같은 다양한 랭킹 방법이 채용될 수 있다.
픽셀 그룹 및 패터닝 디바이스 타일이 랭킹되면, 조명 및 패터닝 디바이스는 성능 메트릭을 개선시키도록 조명(단계 812). 단계 812에서, 픽셀 그룹 및 패터닝 디바이스 타일 각각이 랭킹의 순서로 분석되어, 픽셀 그룹 또는 패터닝 디바이스 타일의 변경이 성능 메트릭이 개선되게 할지 여부를 결정한다. 성능 메트릭이 개선될 것으로 결정되면, 픽셀 그룹 또는 패터닝 디바이스 타일이 이에 따라서 개조되고, 결과적으로 얻어지는 개선된 성능 메트릭 및 변경된 조명 형상 또는 수정된 패터닝 디바이스 패턴이 낮은 랭킹의 픽셀 그룹 및 패터닝 디바이스 타일의 후속 분석을 위한 비교에 대한 베이스라인을 형성한다. 다르게 말하면, 성능 메트릭을 개선시키는 변경은 보유된다. 픽셀 그룹 및 패터닝 디바이스 타일의 상태에 대한 변경이 이루어지고 보유될 때, 초기 조명 형상 및 초기 패터닝 디바이스 패턴 도 이에 따라서 변화되어, 단계 812에서의 최적화 프로세스로부터 변경된 조명 형상 및 변경된 패터닝 디바이스 패턴이 초래되게 한다.
다른 접근법에서는, 패터닝 디바이스 다각형 형상 조절 및 픽셀 그룹 및/또는 패터닝 디바이스 타일의 쌍단위 폴링도 812의 최적화 프로세스에서 수행된다.
일 예에서, 인터리빙된 동시 최적화 프로시저는 조명의 픽셀 그룹을 변경하는 것을 포함할 수 있고, 성능 메트릭의 개선이 발견되면, 추가적인 개선을 찾기 위해서 선량 또는 세기가 단계별로 상향 및/또는 하향된다. 추가적인 예에서, 선량 또는 세기의 단계별 상향 및/또는 하향은 동시 최적화 프로시저에서의 추가적인 개선을 찾기 위한 패터닝 디바이스 패턴의 바이어스 변화로 대체될 수 있다.
단계 814에서, 성능 메트릭이 수렴되었는지 여부에 대한 결정이 이루어진다. 성능 메트릭은, 예를 들어 성능 메트릭에 대해 개선이 거의 이루어지지 않거나 전혀 이루어지지 않은 것이 단계(810 및 812)의 마지막 서너 개의 반복에서 목격되었다면, 수렴한 것으로 여겨질 수 있다. 성능 메트릭이 수렴되지 않았으면, 810 및 812의 단계들이 다음 반복에서 반복되는데, 여기에서 현재의 반복으로부터의 변경된 조명 형상 및 변경된 패터닝 디바이스가 다음 반복(단계 816)에 대한 초기 조명 형상 및 초기 패터닝 디바이스로서 사용된다.
전술된 최적화 방법은 리소그래피 투영 장치의 쓰루풋을 증가시키기 위하여 사용될 수 있다. 예를 들어, 비용 함수는 노광 시간의 함수인
Figure pct00130
을 포함할 수 있다. 일 예에서, 이러한 비용 함수의 최적화는 확률 변동 또는 다른 메트릭의 척도에 의해서 제약되거나 영향받게 된다. 구체적으로 설명하면, 리소그래피 프로세스의 쓰루풋을 증가시키기 위한 컴퓨터-구현 방법은, 리소그래피 프로세스의 하나 이상의 확률 변동의 함수이고 기판의 노광 시간의 함수인 비용 함수를, 노광 시간을 감소 또는 최소화시키도록 최적화하는 것을 포함할 수 있다.
일 예에서, 비용 함수는 하나 이상의 확률 변동의 함수인 적어도 하나의
Figure pct00131
을 포함한다. 하나 이상의 확률 변동은 2D 피쳐의 LWR 및/또는 국소 CD 변동을 포함할 수 있다. 일 예에서, 하나 이상의 확률 변동은 공간상 또는 레지스트상의 하나 이상의 특성의 하나 이상의 확률 변동을 포함한다. 예를 들어, 이러한 확률 변동은 라인 에지 거칠기(LER), 선폭 거칠기(LWR) 및/또는 국소 임계 치수 균일도(LCDU)를 포함할 수 있다. 비용 함수에 하나 이상의 확률 변동을 포함시키면, 하나 이상의 확률 변동을 최소화시킴으로써, 확률 변동에 기인한 결함의 위험을 감소시키는 하나 이상의 설계 변수의 값을 찾을 수 있다.
도 15a는 일 예에 따른, 특성의 확률 변동(예를 들어, LER) 또는 확률 변동의 함수이거나 확률 변동에 영향을 주는 변수(예를 들어, bl_ILS, ILS, 또는 NILS)에 기반하여 공간상 또는 레지스트상의 핫스폿을 식별하는 방법에 대한 흐름도를 도시한다. 선택적인 단계 2510에서, 공간상 또는 레지스트상의 특성(예를 들어, 에지 위치)에 대한 확률 변동(예를 들어, LER)의 함수이거나 확률 변동에 영향을 주는 변수(예를 들어, bl_ILS, ILS, 또는 NILS)의 값이 얻어진다. 단계 2520에서, 이러한 특성의 확률 변동(예를 들어, LER)의 값이 획득된다(예를 들어, 변수의 값으로부터). 단계 2530에서, 특성의 범위가 획득된다. 이러한 범위는 임의의 적절한 제한사항에 기인하는 것일 수 있다. 예를 들어, 확률 변동이 LER이면, 범위는 설계 레이아웃의 패턴의 기하학적 구조에 의해 표현될 수 있다. 예를 들어, LER의 최대는 에지로부터 그 이웃하는 에지까지의 갭의 폭을 초과하지 않을 수 있다. 단계 2540에서, 확률 변동의 값이 이러한 범위와 비교된다. 확률 변동이 이러한 범위를 초과하면, 이러한 특성은 단계 2550에서 핫스폿으로서 식별된다. 확률 변동을 줄이기 위한 최적화와 같은 추가적인 처리가 핫스폿이라고 식별된 해당 특성에 대해서 수행될 수 있다.
도 15a는 일 예에 따른, 공간상 또는 레지스트상의 특성(예를 들어, 에지 위치)의 특성의 확률 변동(예를 들어, LER) 또는 확률 변동의 함수이거나 확률 변동에 영향을 주는 변수(예를 들어, bl_ILS, ILS, 또는 NILS)에 기반하여 공간상 또는 레지스트상의 핫스폿을 식별하는 방법에 대한 흐름도를 도시한다. 단계 2610에서, 특성의 범위가 획득된다. 단계 2620에서, 확률 변동(예를 들어, LER)의 범위 또는 변수(예를 들어, bl_ILS, ILS, 또는 NILS)의 범위가 특성의 범위에 기반하여 획득된다. 단계 2630에서, 확률 변동의 값 또는 변수의 값이 획득된다. 단계 2640에서, 확률 변동의 값 또는 변수의 값이 그 각각의 범위와 비교된다. 확률 변동의 값 또는 변수의 값이 그 각각의 범위를 벗어나면, 이러한 특성은 단계 2650에서 핫스폿이라고 식별된다. 확률 변동을 줄이기 위한 최적화와 같은 추가적인 처리가 핫스폿이라고 식별된 해당 특성에 대해서 수행될 수 있다.
도 16은 일 예에 따른, 공간상 또는 레지스트상의 하나 이상의 특성(예를 들어, 에지 위치)의 확률 변동(예를 들어, LER)을 감소시키는 방법에 대한 흐름도를 도시한다. 단계 2710에서, 예를 들어 도 15a 또는 도 15b의 방법을 사용하여, 하나 이상의 특성을 설계 레이아웃의 일부로부터의 핫스폿으로서 식별함으로써, 하나 이상의 특성을 획득한다. 단계 2720에서, 예를 들어 확률 변동 또는 확률 변동의 함수이거나 확률 변동에 영향을 주는 변수(예를 들어, bl_ILS, ILS, 또는 NILS)를 적어도 나타내는 비용 함수를 사용하여, 하나 이상의 특성의 확률 변동을 감소시킨다. 단계 2730에서, 설계 레이아웃의 일부로부터 핫스폿을 재식별한다. 단계 2740에서, 핫스폿이 식별되는지를 결정된다. 핫스폿이 식별되면, 단계 2750으로 진행한다; 아무것도 식별되지 않으면, 방법은 끝난다. 단계 2750에서, 최적화의 하나 이상의 파라미터(예를 들어, δ 및/또는 사용자-선택 오프셋)를 변경하고, 방법은 단계 2720으로 재반복되며, 변경된 하나 이상의 파라미터로 최적화를 수행한다. 대안으로서, 하나 이상의 파라미터는 설계 레이아웃의 일부일 수 있고, 단계(2740 및 2750)가 제거될 수 있다.
도 17은 본 명세서에서 개시되는 최적화 방법 및 흐름을 구현하는 것을 보조할 수 있는 컴퓨터 시스템(100)을 예시하는 블록도이다. 컴퓨터 시스템(100)은 정보를 통신하기 위한 버스(102) 또는 다른 통신 메커니즘, 및 정보를 처리하기 위하여 버스(102)와 커플링되는 프로세서(104)(또는 여러 프로세서(104 및 105))를 포함한다. 컴퓨터 시스템(100)은 프로세서(104)에 의하여 실행될 정보 및 명령을 저장하기 위하여 버스(102)에 커플링되는, 랜덤 액세스 메모리(RAM) 또는 다른 동적 스토리지 디바이스와 같은 메인 메모리(106)를 더 포함한다. 메인 메모리(106)는 프로세서(104)에 의하여 실행될 명령이 실행되는 도중에 일시적 변수 또는 다른 중간 정보를 저장하기 위해서도 사용될 수 있다. 컴퓨터 시스템(100)은 프로세서(104)에 대한 정적 정보 및 명령을 저장하기 위하여 버스(102)에 커플링된 판독 전용 메모리(ROM)(108) 또는 다른 정적 스토리지 디바이스를 더 포함한다. 자기적 디스크 또는 광학적 디스크와 같은 스토리지 디바이스(110)가 제공되고 정보 및 명령을 저장하기 위하여 버스(102)에 커플링된다.
컴퓨터 시스템(100)은 정보를 컴퓨터 사용자에게 디스플레이하기 위하여, 버스(102)를 통해서 음극선관(CRT) 또는 평판 또는 터치 패널 디스플레이와 같은 디스플레이(112)에 커플링될 수 있다. 영숫자 키와 다른 키들을 포함하는 입력 디바이스(114)는 정보 및 커맨드 셀렉션을 프로세서(104)로 통신하기 위하여 버스(102)에 커플링된다. 다른 타입의 사용자 입력 디바이스는, 지시 정보와 커맨드 셀렉션을 프로세서(104)로 통신하고 디스플레이(112) 상에서의 커서 움직임을 제어하기 위한, 마우스, 트랙볼, 또는 커서 방향 키와 같은 커서 콘트롤(116)이다. 이러한 입력 디바이스는 통상적으로 두 개의 축인 제 1 축(예를 들어, x)과 제 2 축(예를 들어, y)에서 2-자유도를 가져서, 디바이스가 평면에서의 위치를 특정하게 한다. 터치 패널(스크린) 디스플레이가 입력 디바이스로서 사용될 수도 있다.
일 예에 따르면, 최적화 프로세스의 일부가, 프로세서(104)가 메인 메모리(106) 내에 포함된 하나 이상의 명령의 하나 이상의 시퀀스를 실행하는 것에 응답하여 컴퓨터 시스템(100)에 의해 수행될 수 있다. 이러한 명령들은 스토리지 디바이스(110)와 같은 다른 컴퓨터-판독가능 매체로부터 메인 메모리(106)로 독출될 수 있다. 메인 메모리(106)에 포함된 명령의 시퀀스를 실행하면, 프로세서(104)는 본 명세서에서 설명되는 프로세스 단계를 수행하게 된다. 메인 메모리(106)에 포함된 명령의 시퀀스를 실행하기 위하여, 다중 처리 장치 내의 하나 이상의 프로세서가 채용될 수도 있다. 대안적인 예에서, 소프트웨어 명령 대신에 또는 이와 조합하여 유선 회로부가 사용될 수 있다. 따라서, 본 명세서의 설명은 하드웨어 회로와 소프트웨어의 임의의 특정한 조합으로 한정되지 않는다.
"컴퓨터-판독가능 매체"라는 용어는 본 명세서에서 사용될 때 실행되도록 프로세서(104)로 명령을 제공하는 데에 참여하는 임의의 유형의(tangible) 매체를 가리킨다. 이러한 매체는 비-휘발성 매체, 휘발성 매체, 및 송신 매체를 포함하지만 이들로 한정되지는 않는 많은 형태를 취할 수도 있다. 비-휘발성 매체는 예를 들어, 스토리지 디바이스(110)와 같은 광학적이거나 자기적 디스크를 포함한다. 휘발성 매체는 메인 메모리(106)와 같은 동적 메모리를 포함한다. 송신 매체는 동축 케이블, 구리 배선, 및 버스(102)를 포함하는 와이어를 포함하는 섬유 광학계(fiber optics)를 포함한다. 송신 매체는 무선 주파수(RF) 및 적외선(IR) 데이터 통신 중에 생성되는 것과 같은 음파 또는 광파의 형태를 띨 수도 있다. 컴퓨터-판독가능 매체의 공통 형태는, 예를 들어 플로피 디스크, 가요성 디스크, 하드 디스크, 자기 테이프, 및 임의의 다른 자기적 매체, 자기-광학적 매체, CD-ROM, DVD, 임의의 다른 광학적 매체, 펀치 카드, 종이 테이프, 홀들의 패턴을 가진 임의의 다른 물리적 매체, RAM, PROM, 및 EPROM, FLASH EPROM, 임의의 다른 메모리 칩 또는 카트리지, 후술될 반송파, 또는 컴퓨터가 판독할 수 있는 임의의 다른 매체를 포함한다.
다양한 형태의 컴퓨터 판독가능 매체들이 하나 이상의 명령의 하나 이상의 시퀀스를 실행되도록 프로세서(104)로 운반하는 것에 수반될 수 있다. 예를 들어, 명령들은 처음에 원격 컴퓨터의 자기적 디스크 상에 보유될 수도 있다. 원격 컴퓨터는 명령들을 자신의 동적 메모리 내로 로딩하고 명령들을 모뎀을 사용하여 전화선을 통해 전송할 수 있다. 컴퓨터 시스템(100)에 국지적으로 보유되는 모뎀은 전화선에서 데이터를 수신하고, 적외선 송신기를 사용하여 이러한 데이터를 적외선 신호로 변환한다. 버스(102)에 커플링된 적외선 검출기는 적외선 신호에서 운반되는 데이터를 수신하고, 이러한 데이터를 버스(102)에 로딩할 수 있다. 버스(102)는 데이터를 메인 메모리(106)로 운반하며, 프로세서(104)는 이로부터 명령들을 취출하고 실행한다. 메인 메모리(106)로부터 수신된 명령들은 프로세서(104)에 의한 실행 이전에 또는 그 이후에 선택적으로 스토리지 디바이스(110)에 저장될 수 있다.
컴퓨터 시스템(100)은 버스(102)에 커플링된 통신 인터페이스(118)를 더 포함할 수 있다. 통신 인터페이스(118)는 국소 네트워크(122)에 연결된 네트워크 링크(120)로 양-방향 데이터 통신 커플링을 제공한다. 예를 들어, 통신 인터페이스(118)는 대응하는 타입의 전화선에 데이터 통신 연결을 제공하기 위한 종합 정보 통신망(integrated services digital network; ISDN) 카드 또는 모뎀일 수 있다. 다른 예로서, 통신 인터페이스(118)는 호환가능한 LAN에 데이터 통신 연결을 제공하기 위한 근거리 네트워크(LAN) 카드일 수 있다. 무선 링크가 구현될 수도 있다. 임의의 이러한 구현형태에서, 통신 인터페이스(118)는 다양한 타입의 정보를 나타내는 디지털 데이터 스트림을 운반하는 전기적, 전자기적이거나 광학적 신호를 전송하고 수신한다.
네트워크 링크(120)는 통상적으로 하나 이상의 네트워크를 통해 다른 데이터 디바이스로 데이터 통신을 제공한다. 예를 들어, 네트워크 링크(120)는 국소 네트워크(122)를 통해 호스트 컴퓨터(124) 또는 인터넷 서비스 제공자(ISP)(126)에 의하여 작동되는 데이터 장비로 연결을 제공할 수 있다. 이제 ISP(126)는, 현재 일반적으로 "인터넷(128)"이라고 불리는 월드와이드 패킷 데이터 통신 네트워크를 통해 데이터 통신 서비스를 제공한다. 국소 네트워크(122)와 인터넷(128) 양자 모두는 디지털 데이터 스트림을 운반하는 전기적, 전자기적이거나 광학적 신호를 사용한다. 컴퓨터 시스템(100)으로의 또는 그로부터의 디지털 데이터를 운반하는, 다양한 네트워크들을 통과하는 신호와 네트워크 링크(120)를 통과하고 통신 인터페이스(118)를 통과하는 신호는 정보를 수송하는 반송파의 예시적인 형태들이다.
컴퓨터 시스템(100)은 네트워크(들), 네트워크 링크(120), 및 통신 인터페이스(118)를 통해서, 메시지를 전송하고 프로그램 코드를 포함하는 데이터를 수신할 수 있다. 인터넷의 예에서, 서버(130)는 애플리케이션 프로그램에 대한 요청된 코드를 인터넷(128), ISP(126), 국소 네트워크(122) 및 통신 인터페이스(118)를 통해 송신할 수 있다. 이러한 다운로드된 하나의 애플리케이션은, 예를 들어 예시적인 조명 최적화를 제공할 수 있다. 수신된 코드는 수신될 때 프로세서(104)에 의하여 실행되고, 및/또는 추후에 실행되도록 스토리지 디바이스(110), 또는 다른 비-휘발성 스토리지에 저장될 수 있다. 이러한 방식으로, 컴퓨터 시스템(100)은 애플리케이션 코드를 반송파의 형태로 획득할 수 있다.
도 18은 그 조명이 본 명세서에서 설명되는 방법을 활용하여 최적화될 수 있는 예시적인 리소그래피 투영 장치를 개략적으로 도시한다. 이러한 장치는:
- 방사선 빔(B)을 조절하도록 구성되는 조명 시스템(IL) - 이러한 특정한 경우에서는 조명 시스템이 방사선 소스(SO)도 포함함 -;
- 패터닝 디바이스(MA)(예를 들어, 레티클)를 홀딩하기 위한 패터닝 디바이스 홀더가 제공되고, 패터닝 디바이스를 아이템(PS)에 대해서 정확하게 위치설정하기 위한 제 1 위치설정기에 연결되는 제 1 대상물 테이블(예를 들어, 패터닝 디바이스 테이블)(MT);
- 기판(W)(예를 들어, 레지스트-코팅된 실리콘 웨이퍼)을 홀딩하기 위한 기판 홀더가 제공되고, 기판을 아이템(PS)에 대해서 정확하게 위치설정하기 위한 제 2 위치설정기에 연결되는 제 2 대상물 테이블(기판 테이블)(WT); 및
- 패터닝 디바이스(MA)의 조사된 부분을 기판(W)의 타겟부(C)(예를 들어, 하나 이상의 다이를 포함함) 상에 이미징하기 위한 투영 시스템(예를 들어, 굴절형, 반사형 또는 반사굴절형 광학 시스템)을 포함한다.
본 명세서에 도시된 것처럼, 이러한 장치는 투과형이다(즉, 투과형 패터닝 디바이스를 가짐). 그러나, 일반적으로, 이것은 예를 들어 반사형 타입일 수 있다(반사형 마스크를 가짐). 장치는 전통적인 마스크에 다른 종류의 패터닝 디바이스를 채용할 수도 있다; 그 예에는 프로그래밍가능한 미러 어레이 또는 LCD 매트릭스가 있다.
소스(SO)(예를 들어, 수은 램프 또는 엑시머 레이저, 레이저 생성 플라스마(LPP) EUV 소스)는 방사선의 빔을 생성한다. 이러한 빔이, 예를 들어 직접적으로 또는 빔 확장기(Ex)와 같은 조절 수단을 거친 후에 조명 시스템(조명기)(IL)으로 공급된다. 조명기(IL)는 빔 내의 세기 분포의 외부 및/또는 내부 방사상 범위(일반적으로 내측-σ 및 외측-σ라고 각각 불림)를 설정하기 위한 조절 수단(AD)을 포함할 수 있다. 또한, 조명기(IL)는 일반적으로 집속기(integrator)(IN) 및 집광기(condenser)(CO)와 같은 다양한 다른 컴포넌트들을 포함할 것이다. 이러한 방식으로, 패터닝 디바이스(MA)에 충돌하는 빔(B)은 소망되는 균일도 및 세기 분포를 자신의 단면에 가진다.
도 18에 관하여, 소스(SO)가 리소그래피 투영 장치의 하우징 내에 있을 수 있지만(소스(SO)가, 예를 들어 수은 램프인 경우에서와 같음), 리소그래피 투영 장치로부터 떨어져 있을 수도 있고, 이것이 생성하는 방사선 빔이 장치로 유도된다(예를 들어, 적절한 지향 미러의 도움을 받아)는 것에 주의해야 한다; 이러한 후자의 시나리오는 소스(SO)가 엑시머 레이저(예를 들어, KrF, ArF 또는 F2 레이징에 기반함)인 경우에 자주 일어난다.
후속하여, 빔(PB)은 패터닝 디바이스 테이블(MT) 상에 홀딩되는 패터닝 디바이스(MA)와 만난다. 패터닝 디바이스(MA)를 가로지르면, 빔(B)은 기판(W)의 타겟부(C) 상에 빔(B)을 포커싱하는 렌즈(PL)를 통과한다. 제 2 위치설정 수단(및 간섭측정식 측정 수단(IF)의 도움을 받아), 예를 들어 빔(PB)의 경로에 있는 상이한 타겟부들(C)을 위치설정하기 위하여, 기판 테이블(WT)이 정확하게 이동될 수 있다. 이와 유사하게, 예를 들어 패터닝 디바이스 라이브러리로부터 패터닝 디바이스(MA)를 기계적으로 취출한 이후에, 또는 스캔 도중에, 빔(B)의 경로에 대해서 패터닝 디바이스(MA)를 정확하게 위치시키기 위해서 제 1 위치설정 수단이 사용될 수 있다. 일반적으로, 마스크 테이블(MT, WT)의 이동은, 도 18에는 명시적으로 도시되지 않는 롱-스트로크 모듈(long-stroke module; 개략적 위치 설정) 및 숏-스트로크 모듈(short-stroke module; 미세 위치 설정)을 이용하여 실현될 것이다. 그러나, 스테퍼의 경우(스텝-및-스캔 툴에 반대됨), 패터닝 디바이스 테이블(MT)은 숏-스트로크 액추에이터에만 연결될 수도 있고, 또는 고정될 수도 있다.
도시된 툴은 수 개의 상이한 모드들에서 사용될 수 있다:
- 스텝 모드에서, 패터닝 디바이스 테이블(MT)은 본질적으로 정지된 상태로 유지되고, 전체 패터닝 디바이스 이미지가 한 번에(즉, 단일 "플래시") 타겟부(C) 상으로 투영된다. 그러면, 상이한 타겟부(C)가 빔(PB)에 의해 조사될 수 있도록, 기판 테이블(WT)이 x 및/또는 y 방향으로 천이된다;
- 스캔 모드에서도, 주어진 타겟부(C)가 단일 "플래시(flash)"에서 노광되지 않는다는 것을 제외하고는 본질적으로 동일한 시나리오가 적용된다. 그 대신에, 패터닝 디바이스 테이블(MT)은 주어진 방향(소위 "스캔 방향", 예를 들어 y 방향)으로 속도 v로 이동될 수 있어서, 투영 빔(B)이 패터닝 디바이스 이미지에 걸쳐 스캔하게 한다; 동시에, 기판 테이블(WT)은 속도 V = Mv로 동일한 방향 또는 반대 방향으로 동시에 이동되는데, M은 렌즈(PL)의 확대율이다(통상적으로, M = 1/4 또는 1/5 임). 이러한 방식으로, 분해능을 떨어뜨릴 필요가 없이 상대적으로 큰 타겟부(C)가 노광될 수 있다.
도 19는 그 조명이 본 명세서에서 설명되는 방법을 활용하여 최적화될 수 있는 예시적인 다른 리소그래피 투영 장치(1000)를 개략적으로 도시한다.
리소그래피 투영 장치(1000)는:
- 소스 콜렉터 모듈(SO),
- 방사선 빔(B)(예를 들어 EUV 방사선)을 조절하도록 구성되는 조명 시스템(조명기)(IL).
- 패터닝 디바이스(예를 들어, 마스크 또는 레티클)(MA)를 지지하도록 구성되고 패터닝 디바이스를 정확하게 위치설정하도록 구성되는 제 1 위치설정기(PM)에 연결되는 지지 구조체(예를 들어, 패터닝 디바이스 테이블)(MT);
- 기판(예를 들어, 레지스트-코팅된 웨이퍼)(W)을 홀딩하도록 구성되고 기판을 정확하게 위치설정하도록 구성되는 제 2 위치설정기(PW)에 연결되는 기판 테이블(예를 들어, 웨이퍼 테이블)(WT); 및
- 패터닝 디바이스(MA)에 의하여 방사선 빔(B)에 부여된 패턴을 기판(W)의 타겟부(C)(예를 들어, 하나 이상의 다이) 상에 투영하도록 구성되는 투영 시스템(예를 들어, 반사형 투영 시스템)(PS)을 포함한다.
도시된 것처럼, 장치(1000)는 반사형이다(예를 들어, 반사식 패터닝 디바이스를 채용함). 거의 모든 재료들이 EUV 파장 범위 내에서 흡수형이기 때문에, 패터닝 디바이스가 예를 들어 몰리브덴 및 실리콘의 다중-스택을 포함하는 다중층 반사기를 가질 수 있다는 것에 주목하여야 한다. 일 예에서, 다중-스택 반사기는 몰리브덴 및 실리콘의(40) 개의 층 쌍을 가지며, 각 층의 두께는 사분파장이다. X-선 리소그래피를 사용하여 더 작은 파장도 생성될 수 있다. 거의 모든 재료가 EUV 및 x-선 파장에서 흡수성이기 때문에, 패터닝 디바이스 토포그래피 상의 얇은 층의 패터닝된 흡수 재료(예를 들어, 다중층 반사기의 맨 위에 있는 TaN 흡수기)는 어디에 피쳐들이 인쇄되어야 하거나(양의 레지스트) 또는 인쇄되지 않아야 하는지(음의 레지스트)를 규정한다.
도 19를 참조하면, 조명기(IL)는 소스 콜렉터 모듈(SO)로부터 극자외 방사선 빔을 수광한다. EUV 방사선을 생성하기 위한 방법은, EUV 범위 내에 하나 이상의 방출 라인이 있으면서 재료를 적어도 하나의 원소, 예를 들어 제논, 리튬 또는 주석을 가지는 플라즈마 상태로 변환하는 단계를 포함하지만 반드시 이것으로 제한되는 것은 아니다. 하나의 이러한 방법에서, 흔히 레이저 생성 플라즈마("laser produced plasma; LPP")라고 명명되는 플라즈마는, 연료, 예컨대 사전-방출 요소를 가지는 액적, 스트림, 클러스터를 레이저 빔으로 조사함으로써 생성될 수 있다. 소스 콜렉터 모듈(SO)은, 연료를 여기시키는 레이저 빔을 제공하기 위한, 도 19에는 도시되지 않는 레이저를 포함하는 EUV 방사선 시스템의 일부일 수도 있다. 결과적으로 얻어지는 플라즈마는, 소스 콜렉터 모듈 내에 배치되는 방사선 수집기에 의하여 수집되는 출력 방사선, 예를 들어 EUV 방사선을 방출한다. 레이저 및 소스 콜렉터 모듈은, 예를 들어 CO2 레이저가 연료 여기를 위한 레이저 빔을 제공하기 위하여 사용되는 경우에 별개의 엔티티들일 수도 있다.
이러한 경우에, 레이저는 리소그래피 장치의 일부를 형성하는 것으로 간주되지 않고, 방사선 빔은, 예를 들어 적합한 지향 미러 및/또는 빔 확장기를 포함하는 빔 전달 시스템의 도움으로, 레이저로부터 소스 콜렉터 모듈로 전달된다. 다른 경우에, 소스는, 예를 들어 소스가 흔히 DPP 소스라고 명명되는 방전 생산 플라즈마(discharge produced plasma) EUV 발생기인 경우에 소스 콜렉터 모듈의 내장 부품일 수도 있다.
조명기(IL)는 방사선 빔의 각 세기 분포(angular intensity distribution)를 조절하기 위한 조절기를 포함할 수도 있다. 일반적으로, 조명기(IL)의 퓨필 평면(pupil plane)에서의 세기 분포의 적어도 외측 및/또는 내측 반경 범위(통상적으로, 각각 외측-σ 및 내측-σ라 함)는 조절될 수 있다. 추가적으로, 조명기(IL)는 다면 필드 및 퓨필 미러(facetted field and pupil mirror) 디바이스와 같은 다양한 다른 컴포넌트들을 포함할 수도 있다. 조명기는 방사선 빔이 자신의 단면에서 원하는 균일도 및 세기 분포를 가지도록 조절하기 위하여 사용될 수 있다.
방사선 빔(B)은 지지 구조체(예를 들어, 패터닝 디바이스 테이블)(MT) 상에 홀딩되는 패터닝 디바이스(예를 들어, 마스크)(MA) 상에 입사하고, 그리고 패터닝 디바이스에 의하여 패터닝된다. 패터닝 디바이스(예를 들어 마스크(MA)로부터 반사된 이후에, 방사선 빔(B)은 기판(W)의 타겟부(C) 상에 빔을 포커싱하는 투영 시스템(PS)을 통과한다. 제 2 위치설정기(PW) 및 위치 센서(PS2)(예를 들어, 간섭측정 측정 디바이스, 선형 인코더, 또는 용량성 센서)의 도움을 받아, 예를 들어 방사선 빔(B)의 경로에 상이한 타겟부들(C)을 위치설정하기 위하여, 기판 테이블(WT)이 정확하게 이동될 수 있다. 이와 유사하게, 제 1 위치설정기(PM) 및 다른 위치 센서(PS1)가, 패터닝 디바이스(예를 들어, 마스크)(MA)를 방사선 빔(B)의 경로에 대하여 정확하게 위치시키기 위하여 사용될 수 있다. 패터닝 디바이스(예를 들어 마스크(MA) 및 기판(W)은 패터닝 디바이스 정렬 마크(M1, M2) 및 기판 정렬 마크(P1, P2)를 이용하여 정렬될 수 있다.
도시된 장치(1000)는 다음 모드들 중 하나 이상의 모드로 사용될 수 있다:
1. 스텝 모드에서는, 방사선 빔에 부여된 전체 패턴이 한 번에 타겟부(C) 상에 투영되는 동안에, 지지 구조체(예를 들어 패터닝 디바이스 테이블)(MT) 및 기판 테이블(WT)이 본질적으로 정지 상태로 유지된다(즉, 단일 정적 노광). 그러면, 상이한 타겟부(C)가 노광될 수 있도록 기판 테이블(WT)이 X 및/또는 Y 방향으로 천이된다.
2. 스캔 모드에서는, 방사선 빔에 부여된 패턴이 타겟부(C) 상에 투영되는 동안에, 지지 구조체(예를 들어 패터닝 디바이스 테이블)(MT) 및 기판 테이블(WT)이 동기되어 스캐닝된다(즉, 단일 동적 노광). 지지 구조체(예를 들어, 패터닝 디바이스 테이블(MT)에 상대적인 기판 테이블(WT)의 속도 및 방향은 투영 시스템(PS)의 확대율(축소율) 및 이미지 반전 특성에 의하여 결정될 수도 있다.
3. 다른 모드에서는, 지지 구조체(예를 들어 패터닝 디바이스 테이블)(MT)는 프로그램가능 패터닝 디바이스를 홀딩하면서 실질적으로 정지 상태로 유지되고, 방사선 빔(B)에 부여된 패턴이 타겟부(C) 상에 투영되는 동안에 기판 테이블(WT)은 이동되거나 스캐닝된다. 이러한 모드에서, 일반적으로 펄스화된(pulsed) 방사선 소스가 채용되며, 프로그램가능한 패터닝 디바이스는 요구될 때, 기판 테이블(WT)의 각 이동 이후에 또는 스캔 도중의 연속적인 방사선 펄스들 사이에서 업데이트된다. 동작의 이러한 모드는 위에서 언급된 바와 같은 타입의 프로그램가능한 미러 어레이와 같은 프로그램가능한 패터닝 디바이스를 이용하는 마스크 없는 리소그래피에 용이하게 적용될 수 있다.
도 20은 소스 콜렉터 모듈(SO), 조명 시스템(IL), 및 투영 시스템(PS)을 포함하는 리소그래피 장치(1000)를 좀 더 상세하게 도시한다. 소스 콜렉터 모듈(SO)은, 진공 환경이 소스 콜렉터 모듈(SO)의 밀폐 구조(220) 내에서 유지될 수 있도록 구성되고 배치된다. EUV 방사선 방출 플라즈마(210)는 방전 생성 플라즈마 소스에 의하여 형성될 수 있다. 매우 고온의 플라즈마(210)가 전자기 스펙트럼의 EUV 범위에서 방사선을 방출하도록 생성되는, 가스 또는 증기, 예를 들어 Xe 가스, Li 증기 또는 Sn 증기에 의하여 EUV 방사선이 생성될 수 있다. 매우 고온의 플라즈마(210)는, 예를 들어 적어도 부분적으로 이온화된 플라즈마를 초래하는 전기 방전에 의하여 생성된다. 예를 들어, Xe, Li, Sn 증기 또는 임의의 다른 적합한 가스 또는 증기의(10) Pa의 분압이 방사선을 효율적으로 생성하기 위하여 필요할 수 있다. 일 예에서, EUV 방사선을 생성하기 위하여, 여기된 주석(Sn)의 플라즈마가 제공된다.
핫 플라즈마(210)에 의해 방출된 방사선이 소스 챔버(211)로부터 콜렉터 챔버(212) 내로, 소스 챔버(211) 내의 애퍼쳐 내에 또는 뒤에 위치되는 선택적인 가스 베리어 또는 오염물 트랩(230)(일부 경우에는 오염물 베리어 또는 호일 트랩이라고도 불림)을 통해서 전달된다. 오염물 트랩(230)은 채널 구조를 포함할 수 있다. 오염물 트랩(230)은 가스 베리어 또는 가스 베리어 및 채널 구조체의 조합을 더 포함할 수 있다. 본 명세서에 표시되는 오염물 트랩 또는 오염물 베리어(230)는 당업계에서 알려진 바와 같은 채널 구조를 적어도 포함한다.
콜렉터 챔버(211)는 소위 그레이징 입사 콜렉터일 수 있는 방사선 콜렉터(CO)를 포함할 수 있다. 방사선 콜렉터(CO)는 업스트림 방사선 콜렉터측(251) 및 다운스트림 방사선 콜렉터측(252)을 가진다. 콜렉터(CO)를 가로지르는 방사선은 격자 스펙트럼 필터(240)에 의해서 반사되어 일점 쇄선 'O'에 의해 표시되는 광축을 따라서 가상 소스 포인트(IF)에 포커싱될 수 있다. 가상 소스 포인트(IF)는 일반적으로 중간 초점이라고 지칭되고, 소스 콜렉터 모듈은, 중간 초점(IF)이 밀폐 구조(220) 내의 개구부(221)에 또는 이에 인접하게 위치되도록 정렬된다. 가상 소스 포인트(IF)는 방사선 방출 플라즈마(210)의 이미지이다.
후속하여, 방사선은 조명 시스템(IL)을 가로지르는데, 이것은 패터닝 디바이스(MA)에서 방사선 빔(21)의 원하는 각도 분포와 패터닝 디바이스(MA)에서의 방사선 강도의 원하는 균일도를 제공하도록 정렬되는 면 필드 미러 디바이스(facetted field mirror device; 22) 및 면 퓨필 미러 디바이스(24)를 포함할 수도 있다. 지지체 구조체(MT)에 의해 홀딩되는 패터닝 디바이스(MA)에서 방사선 빔(21)이 반사되면 패터닝된 빔(26)이 형성되고, 패터닝된 빔(26)은 반사 요소(28, 30)를 통하여 투영 시스템(PS)에 의해, 기판 테이블(WT)에 의하여 홀딩되는 기판(W) 상에 이미징된다.
도시된 것보다 더 많은 요소들이 일반적으로 조명 광학기(IL) 및 투영 시스템(PS) 내에 존재할 수도 있다. 리소그래피 장치의 타입에 따라서 선택적으로 격자 스펙트럼 필터(240)가 존재할 수도 있다. 더 나아가, 도면에서 도시된 것보다 많은 미러가 존재할 수 있고, 예를 들어 투영 시스템(PS) 내에는 도 20에 도시되는 것보다 1 개 내지 6 개의 추가적인 반사 요소가 존재할 수도 있다.
도 20에 도시된 바와 같이, 콜렉터 광학기(CO)는 단지 콜렉터(또는 콜렉터 미러)의 일 예로서, 그레이징 입사 반사기(253, 254 및 255)가 있는 네스팅된 콜렉터로서 도시된다. 그레이징 입사 반사기(253, 254 및 255)는 광축(O)에 축대칭으로 배치되고, 이러한 타입의 콜렉터 광학기(CO)는 흔히 DPP(discharge produced plasma) 소스라고 불리는 방전 생성 플라즈마 소스와 함께 사용될 수 있다.
또는, 소스 콜렉터 모듈(SO)은 도 21에 도시된 바와 같은 LPP 방사선 시스템을 일부일 수도 있다. 레이저(LA)는 제논(Xe), 주석(Sn) 또는 리튬(Li)과 같은 연료로 레이저 에너지를 적립하여, 수 십 eV의 전자 온도를 가지는 고도로 이온화된 플라즈마(210)를 생성하도록 구현된다. 역-여기(de-excitation)와 이러한 이온들의 재조합 도중에 발생되는 에너지 방사선(energetic radiation)은 플라즈마로부터 방출되고, 준수직 입사 콜렉터 광학기(CO)에 의하여 수집되며, 밀폐 구조(220) 내의 개구부(221) 상에 포커싱된다.
미국 특허 출원 공개 번호 제 US 2013-0179847 이 그 전체로서 본 명세서에 원용에 의해 포함된다.
본 명세서에서 개시된 개념들은 서브 파장 피쳐를 이미징하기 위한 임의의 일반적인 이미징 시스템을 시뮬레이션하거나 수학적으로 모델링할 수 있고, 점점 더 작은 크기의 파장을 생성할 수 있는 대두되는 이미징 기술과 함께 사용될 때 특히 유용할 수 있다. 이미 사용 중인 대두되는 기술에는 ArF 레이저를 사용하여 193nm 파장을, 그리고 불소 레이저를 사용하여 심지어 157nm 파장을 생성할 수 있는 EUV(극자외선), DUV 리소그래피가 포함된다. 더욱이, EUV 리소그래피는 싱크로트론을 사용하거나 이러한 범위 내에서 광자를 생성하기 위하여 재료(고체 또는 플라즈마)를 고 에너지 전자로 타격함으로써 20-5nm의 범위 내에서 파장을 생성할 수 있다.
본 명세서에 개시된 개념들이 실리콘 웨이퍼와 같은 기판 상의 이미징을 위하여 사용될 수 있지만, 개시된 개념은 임의의 타입의 리소그래피 이미징 시스템, 예를 들어 실리콘 웨이퍼가 아닌 기판 상의 이미징을 위해서 사용되는 것들과 함께 사용될 수도 있다는 것이 이해될 것이다.
전술된 기법은 설계 레이아웃의 일부를 리소그래피 장치를 사용하여 기판 상에 이미징하는 특정 리소그래피 프로세스를 개선하는 특정한 애플리케이션에 대해서 설명된 바 있다.
실시형태들은 일반적으로, 이미지-관련 메트릭을 사용하여 기판 상의 반도체 구조체를 제작, 테스팅, 측정하는 프로세스 및 다른 프로세스 중 임의의 것을 개선하는 기법을 제공한다. 특히, 새로운 이미지-관련 메트릭이 생성된다. 새로운 이미지-관련 메트릭은 본 명세서 전체에서 오버레이 마진이라고 불린다. 오버레이 마진은 제조되고 있는 피쳐에 있는 오버레이 오차에 대한 공차의 표시를 제공한다.
또한 실시형태들은, 오버레이 마진에 의존하여 기판 상의 반도체 구조체에 수행될 수 있는 제작, 테스팅, 측정 및 다른 프로세스 중에 수행되는 프로세스들 중 임의의 것에서 제어 파라미터를 결정하는 것을 개선하기 위한 기법을 제공한다.
오버레이 마진은 기판의 상이한 층 및 부분들의 복수 개의 이미지로부터 결정될 수 있다. 각각의 이미지는 e-빔 기반 계측 장치 또는 임의의 타입의 스캐닝 전자 현미경과 같은 이미징 디바이스를 사용하여 획득될 수 있다. e-빔 장치(예를 들어 HMI에 의해 제조됨)는 10μm * 10μm 가시 범위를 가질 수 있다.
실시형태들의 기법에 의해 개선될 수 있는 프로세스는: 리소그래피 프로세스, 스캐닝 프로세스, 프라이밍 프로세스, 레지스트 코팅 프로세스, 소프트 베이킹 프로세스, 노광후 베이킹 프로세스, 현상 프로세스, 하드 베이킹 프로세스, 측정/검사 프로세스, 에칭 프로세스, 이온-주입 프로세스, 금속화 프로세스, 산화 프로세스 및 화학-기계적 연마 프로세스 중 임의의 것을 포함한다. 이러한 프로세스 및 이러한 프로세스들 중 임의의 조합 중 임의의 것에 대한 제어 파라미터를 결정하기 위하여 오버레이 마진이 사용될 수 있다.
실시형태들은 계산적 계측 및 제어 프로세스 양자 모두를 수행하는 것을 포함할 수 있다. 계산 프로세스는, 기판의 복수 개의 층들 각각 상의, 기판의 부분들의 하나 이상의 이미지를 획득하는 것을 포함한다. 각각의 획득된 이미지는 기판 상에 제조되고 있는 구조체가 포함하는 피쳐를 포함한다. 오버레이 마진은 피쳐의 속성, 예컨대 피쳐의 컨투어에 의존하여 계산된다. 그러면, 피쳐의 제조 및 다른 프로세스에서의 제어 프로세스에 대한 제어 파라미터가 오버레이 마진에 의존하여 결정될 수 있다.
도 24는 기판의 일부 상의 피쳐의 이미지를 보여준다. 이미지는, 예를 들어 기판 상의 10μm * 10μm 구역을 나타낼 수 있다. 이미지 내의 두꺼운 선은 피쳐들 중 하나의 타겟 컨투어이다. 이미지 내의 얇은 선은 제작된 피쳐의 실제 윤곽이다. 비록 피쳐의 이상적인 형상이 사각형일 수 있지만, 타겟 컨투어는 만곡형/라운드형인데, 그 이유는 이것이 사각형에 가장 가까운 제조될 수 있는 형상이고, 따라서 실제로 얻어질 수 있는 최선의 컨투어이기 때문이다. 또는, 이상적인 형상이 타겟 형상으로서 사용될 수도 있다.
도 25는 복수 개의 적층된 이미지를 보여준다. 적층된 이미지들은 기판의 상이한 층 내의 동일한 피쳐의 하나 이상의 대응하는 이미지 및/또는 기판의 동일한 층 상의 복수 개의 피쳐의 이미지로부터 각각 획득되었을 수 있다. 추가적으로 또는 대안적으로, 이미지는 복수 개의 상이한 기판 상의 피쳐들의 이미지 및/또는 기판의 동일한 층 상의 동일한 피쳐의 이미지이지만 상이한 이미징 디바이스에 의해 촬영된 이미지일 수 있다.
이미지를 적층할 때에, 정렬 프로세스가 수행되었다. 정렬 프로세스는 이미지들 각각 내에 있거나 이미지들 상으로 중첩되는 하나 이상의 레퍼런스 위치에 의존하여 이미지들을 정렬하여, 이미지들 사이에 오버레이 오차가 없게 하는 것에 기반할 수 있다. 예를 들어, 정렬 프로세스는 타겟 설계들 사이에 오버레이 오차가 존재하지 않도록 이미지 내의 피쳐들의 타겟 설계들을 정렬시키는 것을 포함할 수 있다. 정렬 프로세스는 gds 데이터에 의존하여 이미지들을 정렬하는 것에 기반할 수 있다. 정렬 프로세스를 수행하는 효과는, 상이한 이미지들 사이의 임의의 오버레이 오차의 영향을 제거하는 것이다.
오버레이 마진은 정렬된 이미지들의 적층 내의 피쳐들의 확률 변동의 척도이다. 오버레이 마진은 이미지의 정렬된 버전 내의 대응하는 피쳐들의 컨투어들 사이의 차이에 의존하여 계산될 수 있다. 또한, 오버레이 마진은 피쳐들에 대한 타겟 컨투어에 의존하여 계산될 수 있다. 예를 들어, 이미지들 각각에 대하여, 오버레이 마진은 이미지 내의 피쳐와 피쳐의 타겟과의 비교에 의존하여 계산될 수 있다. 어떤 이미지 내의 피쳐의 컨투어 및 다른 이미지 내의 피쳐의 컨투어, 및 피쳐에 대한 타겟 컨투어 사이의 차이는, 임계 치수 균일도(CDU), 선폭 거칠기(LWR), 임계 치수 진폭 및 배치 오차와 같은 복수 개의 주지된 특정한 이미지-관련 메트릭에 의해서 결정될 수 있다.
오버레이 마진은 공지된 이미지-메트릭 에지 배치 오차(EPE)에 관련된다. EPE는 피쳐들의 하나 이상의 이미지의 컨투어와 해당 피쳐에 대한 타겟 컨투어 사이의 차이의 전체적인 표현을 제공하는 이미지-메트릭이다. EPE는 피쳐의 이미지와 피쳐에 대한 타겟 컨투어 사이의 오버레이 오차를 포함한다.
오버레이 마진이 피쳐의 이미지들 사이의 오버레이 오차를 포함하지 않는다는 점에서 오버레이 마진은 EPE와 다른데, 이것은 오버레이 오차가 전술된 정렬 프로세스에 의해서 제거되기 때문이다.
오버레이 마진을 결정하는 방법이 수학식 8에 표시된다.
Figure pct00132
따라서, EPE 및 오버레이 오차를 계산함으로써 오버레이 마진이 계산될 수 있다. 오버레이 오차는 이미지에 수행된 정렬에 의존하여 계산될 수 있다. 그러면, 오버레이 오차를 EPE의 계산으로부터 감산함으로써 오버레이 마진이 계산될 수 있다.
수학식 8의 오버레이 오차가 실제 오버레이 양 및 디자인 사양의 조합으로서 계산될 수 있다는 것에 주의해야 한다. 이것은, 구조체의 상이한 층 내의 피쳐들 사이에 중첩이 있는 것이 소망될 경우에 고장 조건이 발생될 수 있기 때문이지만, 중첩이 발생해도 요구되는 중첩 면적은 획득되지 않는다. 이와 유사하게, 구조체의 상이한 층 내의 피쳐들 사이에 분리가 있는 것이 소망될 경우에 고장 조건이 발생될 수 있지만, 피쳐들의 분리되어도 요구되는 분리량은 획득되지 않는다. 디자인 사양은 피쳐들의 요구되는 중첩 면적 및/또는 피쳐들의 요구되는 분리량을 포함한다. 그러므로, 오버레이 오차를 실제 오버레이 양 및 디자인 사양의 조합에 의존하여 계산하는 것이 적절하다.
대안적으로, 오버레이 마진은 정렬된 이미지 내의 오버레이 마진에 대한 기여도들의 조합에 의존하여 결정될 수 있다. 이것이 수학식 9에 표시된다.
Figure pct00133
수학식 9에서:
Figure pct00134
는 광학 근접 정정에 의해 초래되는 오차에 의존한다;
Figure pct00135
는 근접 바이어스 평균에 의해 초래되는 오차에 의존한다;
Figure pct00136
은 선폭 거칠기에 의해 초래되는 오차에 의존한다; 그리고
Figure pct00137
는 임계 치수 균일도에 의해 초래되는 오차에 의존한다.
수학식 9에서, 결정된 오버레이 마진에 대한 기여도는 OPC, PBA, LWR 및 CDU이다. 실시형태들은, 오버레이 마진에 대한 하나 이상의 추가적인 기여도를 포함하고 및/또는 수학식 9에 포함된 오버레이 마진에 대한 기여도 중 하나 이상을 포함하지 않는 오버레이 마진을 결정하는 수학식의 대안적인 구성을 포함한다. 오버레이 마진은 오버레이 오차와 별개로 EPE 계산에 대한 기여도 모두에 의존하여 계산될 수 있다.
이미지들 각각은 통상적으로 기판의 오직 작은 부분에 대한 이미지이다. 예를 들어, 각각의 이미지는 기판 상의 10μm * 10μm 면적을 나타낼 수 있다. 오버레이 마진은 기판의 동일한 부분의 상이한 층의 복수 개의 이미지에 의존하여 계산될 수 있다. 이것은 기판의 해당 부분에 대한 국소 오버레이 마진이다.
복수 개의 국소 오버레이 마진이 기판의 복수 개의 상이한 부분들 각각에 대해서 계산될 수 있고, 국소 오버레이 마진들 각각은 기판의 동일한 부분의 상이한 층의 복수 개의 이미지에 의존하여 계산된다. 국소 오버레이 마진은 기판 상의 모든 위치에서 획득되거나 기판 상의 위치들 중 일부들에서만 획득될 수도 있다. 국소 오버레이 마진이 기판 상의 위치들 중 일부에서만 획득되는 경우, 위치들은 기판의 지문을 제공하도록 선택될 수 있다.
추가적으로 또는 대안적으로, 각각의 이미지는 복수 개의 섹션을 포함하는 것으로 여겨질 수 있다. 국소 오버레이 마진은 이미지의 섹션들 각각에 대해서 계산되어, 각각의 이미지에 대하여 복수 개의 국소 오버레이 마진이 존재할 수 있다.
기판의 오버레이 마진은 복수 개의 국소 오버레이 마진을 포함할 수 있고, 국소 오버레이 마진들 각각은 기판의 상이한 부분 및/또는 이미지들의 섹션의 이미지에 의존하여 계산된다.
중첩 오버레이 마진은 이미지 및/또는 이미지의 섹션 내의 피쳐들의 최소 오버레이 마진으로서 규정될 수 있다.
오버레이 마진은 기판에 걸친 오버레이 마진의 국소 변동을 보여주는 오버레이 마진 맵으로서 표현될 수 있다.
또는, 오버레이 마진은 기판에 걸친 중첩 오버레이 마진의 국소 변동을 보여주는 중첩 오버레이 마진 맵으로서 표현될 수 있다.
광역 오버레이 마진은 기판의 국소 오버레이 마진 및 /또는 중첩 오버레이 마진의 평균으로서 계산될 수 있다.
오버레이 마진 및 오버레이 마진의 표현은 오버레이 마진에 기여할 수 있는 각각의 파라미터의 복수 개의 값들 각각에 대해서 계산될 수 있다. 각각의 파라미터에 대한 오버레이 마진의 의존도는, 파라미터의 값들에 대해 계산된 오버레이 마진으로부터 계산되거나 추론될 수 있다. 복수 개의 파라미터에 대한 오버레이 마진의 의존도도 역시 결정될 수 있다.
예를 들어, 기판의 두 개 이상의 층들 사이의 기판의 표면에 걸친 중첩 오버레이 마진의 변동을 보여주는 중첩 오버레이 마진 맵이 생성될 수 있다. 중첩 오버레이 마진 맵은 임계 치수(CD)의 함수로서 결정될 수 있다. 그러므로, 오버레이 및 CD 공동-최적화를 위해서 사용될 수 있는 다차원 메트릭이 생성된다.
오버레이 마진에 기여할 수 있는 파라미터는, 초점, 선량, 조명 퓨필 형상(예를 들어 타원율), 수차(예를 들어 코마 수차, 구면 수차, 비점수차), 에칭 레이트, 오버레이, 콘트라스트, 임계 치수, 척 온도, 가스 흐름 및 RF 파워 분포를 포함할 수 있다. 이러한 파라미터 중 하나 이상에 대한 오버레이 마진의 의존도가 결정될 수 있다.
반도체 제조 프로세스의 수율은 제조 오차가 발생하는 것에 의존한다. 제조 오차는 구조체의 상이한 층 내의 피쳐들 사이에 요구되는 중첩 면적이 발생되지 않을 경우에 생긴다. 또한, 제조 오차는 구조체의 상이한 층 내의 피쳐들의 최소의 요구된 분리가 획득되지 않는 경우에 생긴다. EPE는 피쳐 및 피쳐의 컨투어의 위치의 변동의 척도이고, 정확하게 제작된 구조체의 기대된 수율 및/또는 구조체가 부정확하게 제작될 확률을 결정하기 위하여 사용될 수 있다. 수학식 8에 표시된 바와 같은 오버레이 마진과 EPE 사이의 관계 때문에, 정확하게 제작된 구조체의 기대된 수율 및/또는 구조체가 부정확하게 제작될 확률을 얻기 위해서, 오버레이 마진이 오버레이 오차의 허용가능 양을 결정하기 위하여 사용될 수 있다.
오버레이 오차는 여러 제어가능한 파라미터에 의존한다. 그러므로, 오버레이 오차에 영향을 주는 파라미터의 값, 및 값들의 가능한 범위는, 오버레이 오차가 기대된 수율을 얻는 것으로 기대되는 범위 내에 속하도록 오버레이 마진에 의존하여 결정될 수 있다. 기대된 수율은 제조 사양에 따른 소망되는 수율일 수 있다.
실시형태는, 기판 상의 구조체의 제조, 검사 및/또는 테스팅 프로세스를 오버레이 마진에 의존하여 제어하기 위한 파라미터를 결정하는 것을 포함한다. 오버레이 마진에 의존하여 제어될 수 있는 파라미터는: 초점, 선량, 조명 퓨필 형상(예를 들어 타원율), 수차(예를 들어 코마 수차, 구면 수차, 비점수차), 에칭 레이트, 오버레이, 콘트라스트, 임계 치수, 척 온도, 가스 흐름 및 RF 파워 분포를 포함할 수 있다. 이러한 파라미터에 의해 제어되는 프로세스는 리소그래피 프로세스, 프라이밍 프로세스, 레지스트 코팅 프로세스, 소프트 베이킹 프로세스, 노광후 베이킹 프로세스, 현상 프로세스, 하드 베이킹 프로세스, 측정/검사 프로세스, 에칭 프로세스, 이온-주입 프로세스, 금속화 프로세스, 산화 프로세스 및 화학-기계적 연마 프로세스일 수 있다.
EPE의 허용가능한 레벨은 제조 사양에 의존한다. 제조 사양은: 소망되는 수율, 피쳐가 부정확하게 제작될 최대 확률, EPE의 결정된 최대 허용가능 크기, 결정된 최대 허용가능 오버레이 오차; 및 반도체 디바이스의 소망되는 수율 중 하나 이상에 의존할 수 있다.
전술된 바와 같이, EPE는 오버레이 마진 및 오버레이 오차에 의존한다. 따라서, 오버레이 마진은, EPE가 특정 레벨이 되도록 오버레이 오차에 대한 제한이 결정되게 한다. 각각의 파라미터에 대한 오버레이 오차의 의존도가 결정될 수 있다. 그러므로, 파라미터들 각각에 대한 값 및 값들의 범위가 오버레이 마진에 의존하여 결정될 수 있다.
각각의 파라미터는 오버레이 마진 맵, 하나 이상의 국소 오버레이 마진 및 광역 오버레이 마진 중 하나 이상에 의존하여 결정될 수 있다.
또한, 오버레이 오차에 영향을 주는 파라미터는, 제어 파라미터들 중 하나의 적용된 값가 제어 파라미터들 중 다른 것의 적용된 값에 의존하도록 공동으로 결정될 수 있다. 제어 파라미터 중 적어도 두 개의 공동-결정은, 적어도 두 개의 제어 파라미터의 결합된 효과 및/또는 적어도 두 개의 제어 파라미터의 상호의존도에 의존할 수 있다. 제어 파라미터를 공동-결정함으로써, 제어 파라미터의 결합된 효과, 및/또는 제어 파라미터들의 효과의 상호의존도가, 수율을 개선하거나 임의의 다른 목표에 대해서 최적화하기 위한 제어 파라미터의 결정을 바람직하게 개선하기 위해서 사용될 수 있다.
프로세스 도중의 제어 파라미터의 변화 속도 및 범위에 대한 제약이 결정될 수 있다. 예를 들어, 디바이스의 제조 중에, 초점이 변할 수 있는 속도 및 이동 속도 때문에 초점이 기판 상의 두 개의 상이한 위치 사이에서 변할 수 있는 정도에는 제한이 있을 것이다. 실시형태들은, 허용가능한 오버레이 오차가 주어지면 제어 파라미터의 결정된 제약을 사용하여 제어 파라미터에 최적화 프로세스를 수행하는 것을 포함한다. 예를 들어, 적용될 수 있는 파라미터의 값에 대한 제한이 주어지면, 파라미터는 오버레이 오차에 대한 증가된 기여도를 초래하는 레벨로 설정될 수 있다. 오버레이 오차에 대한 다른 파라미터의 기여도를 감소시키도록 다른 파라미터를 제어함으로써, 이것은 총 오버레이 오차가 허용가능한 범위 내에 남게 하면서 가능할 수 있다.
오버레이 오차는 공동으로 결정된 제어 파라미터들 중 적어도 하나에 의존할 수 있고, 반도체 디바이스 상에 제조되는 피쳐의 치수는 공동으로 결정된 제어 파라미터 중 적어도 하나의 다른 하나에 의존할 수 있다.
공동으로 결정된 제어 파라미터는, 초점, 선량, 조명 퓨필 형상, 수차, 에칭 레이트, 오버레이, 콘트라스트, 임계 치수, 척 온도, 가스 흐름 및 RF 파워 분포를 포함할 수 있다.
전술된 바와 같이, 오버레이 마진 및 적용된 파라미터 사이의 관계가 결정될 수 있다. 파라미터의 적용된 값, 및 적용가능한 범위는 해당 파라미터가 오버레이 마진에 어떻게 영향을 주는지에 의존하여 결정될 수 있다.
파라미터의 적용된 값 및 적용가능한 범위의 결정은, 오버레이 마진 및 오버레이 오차 양자 모두에 대한 파라미터의 영향에 의존하여 이루어질 수 있다.
복수 개의 파라미터의 적용된 값 및 적용가능한 범위의 공동-결정은, 오버레이 마진 및 오버레이 오차 양자 모두에 대한 복수 개의 파라미터의 영향에 의존하여 이루어질 수 있다.
예를 들어, 하나 이상의 파라미터는 오버레이 오차에 대한 제한을 감소시키기 위해서 오버레이 마진을 최소화하도록 결정될 수 있다. 그러면 다른 파라미터들이 오버레이 오차에 대한 그들의 기여도를 증가시키는 값으로 설정되게 될 수 있다. 특히, 중첩 오버레이 마진 맵은 임계 치수(CD)의 함수로서 결정될 수 있다. 그러면, 이것이 오버레이 및 CD 공동-최적화를 위해서 사용될 수 있다.
도 26은 일 실시형태에 따르는, 기판 상의 피쳐들의 이미지-메트릭을 결정하기 위한 프로세스의 흐름도이다.
단계 2601에서, 프로세스가 시작된다.
단계 2603에서, 기판 상의 복수 개의 피쳐의 제 1 이미지가 획득된다.
단계 2605에서, 하나 이상의 추가적인 이미지가 기판 상의 대응하는 복수 개의 피쳐에 대해서 획득되는데, 여기에서 하나 이상의 추가적인 이미지 중 적어도 하나는 제 1 이미지와 다른 기판의 층의 이미지이다.
단계 2607에서, 제 1 이미지 및 하나 이상의 추가적인 이미지의 정렬된 버전이 제 1 이미지 및 하나 이상의 추가적인 이미지 상에 정렬 프로세스를 수행함으로써 생성되는데, 정렬 프로세스는 제 1 이미지 내의 피쳐와 하나 이상의 추가적인 이미지들 각각 내의 대응하는 피쳐 사이의 임의의 오버레이 오차의 영향을 실질적으로 제거한다.
단계 2609에서, 제 1 이미지 내의 정렬된 버전의 피쳐와 하나 이상의 추가적인 이미지의 정렬된 버전 내의 대응하는 피쳐의 비교에 의존하여 계산된다.
단계 2611에서, 프로세스가 끝난다.
실시형태들은 알려진 프로세스에 대한 여러 변형예 및 변경예를 포함한다.
본 명세서 전체에서 설명된 기법들 중 임의의 것은 실시형태들의 이미지-관련 메트릭을 결정 및 최적화하기 위해서 사용될 수 있다.
실시형태들은 반도체 디바이스의 제조 중의 제어 프로세스에 대한 제어 파라미터를 결정한다. 이러한 프로세스는 측정 프로세스를 포함하는 임의의 프로세스를 포함하고, 임의의 공지된 장치에 의해서 수행될 수 있다. 실시형태들에 따른 프로세스는 비-일시적 컴퓨터 판독가능 매체에 저장된 프로세스를 수행하기 위한 명령을 실행하는 컴퓨팅 시스템에 의해 제어될 수 있다.
본 발명의 추가적인 실시형태가 다음 나열된 절들의 목록에 개시된다:
1. 기판 상의 피쳐의 이미지-메트릭을 결정하기 위한 방법으로서,
기판 상의 복수 개의 피쳐의 제 1 이미지를 획득하는 단계;
기판 상의 대응하는 복수 개의 피쳐의 하나 이상의 추가적인 이미지를 획득하는 단계 - 상기 하나 이상의 추가적인 이미지 중 적어도 하나는 상기 기판 중 상기 제 1 이미지와 다른 층의 이미지임 -;
상기 제 1 이미지 및 상기 하나 이상의 추가적인 이미지 상에 정렬 프로세스를 수행함으로써 상기 제 1 이미지 및 상기 하나 이상의 추가적인 이미지의 정렬된 버전을 생성하는 단계 - 상기 정렬 프로세스는 상기 제 1 이미지 내의 피쳐와 상기 하나 이상의 추가적인 이미지들 각각 내의 대응하는 피쳐 사이의 임의의 오버레이 오차의 영향을 실질적으로 제거함 -; 및
상기 제 1 이미지의 정렬된 버전 내의 피쳐와 상기 하나 이상의 추가적인 이미지의 정렬된 버전 내의 대응하는 피쳐의 비교에 의존하여 이미지-메트릭을 계산하는 단계를 포함하는, 이미지-메트릭 결정 방법.
2. 제 1 절에 있어서,
복수 개의 피쳐들 각각은 상기 피쳐의 타겟 구조체에 의존하여 생성되고,
이미지들의 정렬 프로세스는 상기 피쳐의 타겟 구조체에 의존하여 이미지들을 실질적으로 정렬하는 것을 포함하는, 이미지-메트릭 결정 방법.
3. 제 1 절 또는 제 2 절에 있어서,
상기 이미지들의 정렬 프로세스는, 이미지들 각각 내에 있거나 이미지들 상으로 중첩되는 하나 이상의 레퍼런스 위치에 의존하여 이미지들을 실질적으로 정렬하는 것을 포함하는, 이미지-메트릭 결정 방법.
4. 제 1 절 내지 제 3 절 중 어느 한 절에 있어서,
각각의 이미지는 상기 기판 중 나머지 이미지들과 다른 층의 이미지인, 이미지-메트릭 결정 방법.
5. 제 1 절 내지 제 4 절 중 어느 한 절에 있어서,
복수 개의 추가적인 이미지가 존재하고, 추가적인 이미지 중 적어도 하나는 상기 제 1 이미지와 같은 기판의 층의 이미지인, 이미지-메트릭 결정 방법.
6. 제 1 절 내지 제 5 절 중 어느 한 절에 있어서,
각각의 이미지는 상기 기판의 단지 부분의 이미지인, 이미지-메트릭 결정 방법.
7. 제 6 절에 있어서,
상기 방법은,
상기 기판의 복수 개의 층들 각각에 대하여, 상기 기판의 층의 복수 개의 상이한 부분의 복수 개의 이미지를 획득하는 단계; 및
상기 기판의 복수 개의 층 내의 상기 기판의 복수 개의 상이한 부분들 각각의 이미지에 의존하여 이미지-메트릭을 계산하는 단계를 더 포함하는, 이미지-메트릭 결정 방법.
8. 제 6 절 또는 제 7 절에 있어서,
상기 방법은,
상기 기판의 동일한 부분의 복수 개의 이미지에 의존하여 국소 이미지-메트릭을 계산하는 단계를 포함하고,
상기 이미지-메트릭은 상기 국소 이미지-메트릭을 포함하는, 이미지-메트릭 결정 방법.
9. 제 8 절에 있어서,
상기 방법은,
복수 개의 국소 이미지-메트릭을 계산하는 단계를 포함하고,
국소 이미지-메트릭들 각각은, 상기 기판 중 나머지 국소 이미지-메트릭과 다른 부분의 이미지에 의존하여 계산되며,
상기 이미지-메트릭은 상기 복수 개의 국소 이미지-메트릭을 포함하는, 이미지-메트릭 결정 방법.
10. 제 9 절에 있어서,
상기 방법은,
상기 복수 개의 국소 이미지-메트릭에 의존하여 광역 이미지-메트릭을 계산하는 단계를 포함하고,
상기 이미지-메트릭은 상기 광역 이미지-메트릭을 포함하는, 이미지-메트릭 결정 방법.
11. 제 1 절 내지 제 10 절 중 어느 한 절에 있어서,
상기 기판의 부분의 각각의 이미지는 상기 기판 상의 10μm * 10μm 면적인, 이미지-메트릭 결정 방법.
12. 제 1 절 내지 제 11 절 중 어느 한 절에 있어서,
상기 이미지-메트릭은, 상기 제 1 이미지의 정렬된 버전 내의 피쳐의 컨투어 및 상기 하나 이상의 추가적인 이미지의 정렬된 버전 내의 대응하는 피쳐의 컨투어에 의존하여 결정되고, 및/또는
상기 이미지-메트릭은 이미지들 중 하나 이상에 대하여, 상기 이미지 내의 피쳐와 상기 피쳐의 타겟과의 비교에 의존하여 결정되는, 이미지-메트릭 결정 방법.
13. 제 1 절 내지 제 12 절 중 어느 한 절에 있어서,
상기 이미지-메트릭은, 임계 치수 균일성, 선폭 거칠기, 임계 치수 진폭 및 상기 제 1 이미지의 정렬된 버전 내의 피쳐 및 상기 하나 이상의 추가적인 이미지의 정렬된 버전 내의 대응하는 피쳐의 배치 오차 중 하나 이상에 의존하여 결정되는, 이미지-메트릭 결정 방법.
14. 제 1 절 내지 제 13 절 중 어느 한 절에 있어서,
상기 방법은,
하나 이상의 파라미터의 복수 개의 값들 각각에 대하여 상기 이미지-메트릭을 계산하는 단계; 및
계산된 이미지-메트릭에 의존하여, 상기 하나 이상의 파라미터에 대한 상기 이미지-메트릭의 의존성을 결정하는 단계를 더 포함하는, 이미지-메트릭 결정 방법.
15. 제 14 절에 있어서,
상기 하나 이상의 파라미터는, 초점, 선량, 조명 퓨필 형상, 수차, 에칭 레이트, 오버레이, 콘트라스트, 임계 치수, 척 온도, 가스 흐름 및 RF 파워 분포를 포함하는, 이미지-메트릭 결정 방법.
16. 제 1 절 내지 제 15 절 중 어느 한 절에 있어서,
이미지-관련 메트릭은, 오버레이 마진, 중첩 오버레이 마진, 오버레이 마진 맵 또는 중첩 오버레이 마진 맵인, 이미지-메트릭 결정 방법.
17. 기판 상의 디바이스의 제조, 검사 및/또는 테스팅 프로세스에서의 방법으로서,
임의의 앞선 절의 방법에 따라서 이미지-메트릭을 획득하는 단계; 및
상기 이미지-메트릭에 의존하여, 기판 상의 디바이스의 제조, 검사 및/또는 테스팅 프로세스의 하나 이상의 제어 파라미터를 결정하는 단계를 포함하는, 방법.
18. 제 14 절을 인용하는 제 17 절에 있어서,
상기 하나 이상의 제어 파라미터는 상기 하나 이상의 제어 파라미터에 대한 상기 이미지-메트릭의 의존도에 의존하여 결정되는, 방법.
19. 제 17 절 또는 제 18 절에 있어서,
상기 방법은,
결정된 하나 이상의 제어 파라미터에 의존하여 장치를 제어하는 단계를 더 포함하는, 방법.
20. 제 17 절 내지 제 19 절 중 어느 한 절에 있어서,
상기 하나 이상의 제어 파라미터는,
리소그래피 프로세스, 프라이밍 프로세스, 레지스트 코팅 프로세스, 소프트 베이킹 프로세스, 노광후 베이킹 프로세스, 현상 프로세스, 하드 베이킹 프로세스, 측정/검사 프로세스, 에칭 프로세스, 이온-주입 프로세스, 금속화 프로세스, 산화 프로세스 및 화학-기계적 연마 프로세스 중 하나 이상에 대한 것인, 방법.
21. 제 17 절 내지 제 20 절 중 어느 한 절에 있어서,
상기 하나 이상의 제어 파라미터는, 초점, 선량, 조명 퓨필 형상, 수차, 에칭 레이트, 오버레이, 콘트라스트, 임계 치수, 척 온도, 가스 흐름 및 RF 파워 분포를 포함하는, 방법.
22. 제 17 절 내지 제 21 절 중 어느 한 절에 있어서,
상기 방법은,
제조 사양에 의존하여 하나 이상의 제어 파라미터를 결정하는 단계를 더 포함하고, 상기 제조 사양은:
피쳐가 부정확하게 제조되는 최대 확률;
에지 배치 오차의 결정된 최대 허용가능 크기;
결정된 최대 허용가능 오버레이 오차; 및
반도체 디바이스의 소망되는 수율 중 하나 이상에 의존하는, 방법.
23. 제 17 절 내지 제 22 절 중 어느 한 절에 있어서,
상기 복수 개의 제어 파라미터는 공동으로 결정되는, 방법.
24. 제 23 절에 있어서,
상기 제어 파라미터 중 적어도 두 개를 공동으로 결정하는 것은, 제어 파라미터 중 하나의 적용된 값을 제어 파라미터 중 다른 것의 적용된 값에 의존하여 결정하는 것을 포함하는, 방법.
25. 제 23 절 또는 제 24 절에 있어서,
상기 제어 파라미터 중 적어도 두 개를 공동으로 결정하는 것은,
적어도 두 개의 제어 파라미터의 결합된 효과; 및/또는
적어도 두 개의 제어 파라미터의 상호의존성에 의존하는, 방법.
26. 제 23 절 내지 제 25 절 중 어느 한 절에 있어서,
상기 오버레이 오차는 공동으로 결정된 제어 파라미터 중 적어도 하나에 의존하고,
반도체 디바이스 상에 제조되는 피쳐의 치수는 공동으로 결정된 제어 파라미터 중 적어도 하나의 다른 하나에 의존하는, 방법.
27. 제 22 절 내지 제 26 절 중 어느 한 절에 있어서,
공동으로 결정되는 제어 파라미터는, 초점, 선량, 조명 퓨필 형상, 수차, 에칭 레이트, 오버레이, 콘트라스트, 임계 치수, 척 온도, 가스 흐름 및 RF 파워 분포인, 방법.
28. 제 1 절 내지 제 27 절 중 어느 한 절의 방법을 수행하도록 구성되는 시스템.
29. 제 27 절에 있어서,
상기 시스템은,
컴퓨팅 시스템 및 전자 빔 장치를 포함하고,
상기 전자 빔 장치는 기판의 이미지를 획득하도록 구성되며,
상기 컴퓨팅 시스템은, 기판의 획득된 이미지를 수신하고, 제 1 절 내지 제 27 절의 방법을 수행하도록 구성되는, 시스템.
30. 제 28 절 또는 제 29 절에 있어서,
상기 시스템은 리소그래피 장치 및/또는 계측 장치를 포함하는, 시스템.
31. 실행되면, 기판 상의 디바이스의 제조 프로세스가 제 1 절 내지 제 27 절 중 어느 한 절의 방법에 따라 제어되게 하는 명령을 포함하는, 비-일시적 컴퓨터-판독가능 매체.
실시형태에 따른 시스템은 컴퓨팅 시스템 및 전자 빔 장치를 포함할 수 있는데, 전자 빔 장치는 하나 이상의 기판의 이미지를 획득하도록 구성된다. 시스템은 리소그래피 장치 및/또는 계측 장치를 포함할 수 있다.
본 발명의 다른 실시형태는 상세한 설명을 고려하고 본 명세서에 개시된 실시형태를 실시함으로써 당업자에게 명백해질 것이다. 상세한 설명 및 예들은 오직 예시적인 것이고, 본 발명의 참 범위와 사상은 후속하는 청구범위에 의해서 표시된다는 것이 의도된다. 추가하여, 본 출원이 방법 또는 프로시저의 단계들을 특정 순서로 나열한 경우, 일부 단계들이 수행되는 순서를 바꾸는 것이 가능하고, 특정한 상황에서는 더 편리할 수도 있으며, 후술되는 청구 범위에 진술된 방법 또는 프로시저의 특정 단계들이 이러한 순서 특정이 청구항에 명백하게 진술되지 않는 한, 순서 특이적인 것으로 이해되어서는 안 된다는 것이 의도된다.

Claims (19)

  1. 기판 상의 피쳐의 이미지-메트릭을 결정하기 위한 방법으로서,
    기판 상의 복수 개의 피쳐의 제 1 이미지를 획득하는 단계;
    기판 상의 대응하는 복수 개의 피쳐의 하나 이상의 추가적인 이미지를 획득하는 단계 - 상기 하나 이상의 추가적인 이미지 중 적어도 하나는 상기 기판 중 상기 제 1 이미지와 다른 층의 이미지임 -;
    상기 제 1 이미지 및 상기 하나 이상의 추가적인 이미지 상에 정렬 프로세스를 수행함으로써 상기 제 1 이미지 및 상기 하나 이상의 추가적인 이미지의 정렬된 버전을 생성하는 단계 - 상기 정렬 프로세스는 상기 제 1 이미지 내의 피쳐와 상기 하나 이상의 추가적인 이미지들 각각 내의 대응하는 피쳐 사이의 임의의 오버레이 오차의 영향을 실질적으로 제거함 -; 및
    상기 제 1 이미지의 정렬된 버전 내의 피쳐와 상기 하나 이상의 추가적인 이미지의 정렬된 버전 내의 대응하는 피쳐의 비교에 의존하여 이미지-메트릭을 계산하는 단계를 포함하는, 이미지-메트릭 결정 방법.
  2. 제 1 항에 있어서,
    복수 개의 피쳐들 각각은 상기 피쳐의 타겟 구조체에 의존하여 생성되고,
    이미지들의 정렬 프로세스는 상기 피쳐의 타겟 구조체에 의존하여 이미지들을 실질적으로 정렬하는 것을 포함하는, 이미지-메트릭 결정 방법.
  3. 제 1 항 또는 제 2 항에 있어서,
    상기 이미지들의 정렬 프로세스는, 이미지들 각각 내에 있거나 이미지들 상으로 중첩되는 하나 이상의 레퍼런스 위치에 의존하여 이미지들을 실질적으로 정렬하는 것을 포함하는, 이미지-메트릭 결정 방법.
  4. 제 1 항에 있어서,
    각각의 이미지는 상기 기판 중 나머지 이미지들과 다른 층의 이미지인, 이미지-메트릭 결정 방법.
  5. 제 1 항에 있어서,
    각각의 이미지는 기판의 단지 부분의 이미지이고, 상기 방법은,
    상기 기판의 복수 개의 층들 각각에 대하여, 상기 기판의 층의 복수 개의 상이한 부분의 복수 개의 이미지를 획득하는 단계; 및
    상기 기판의 복수 개의 층 내의 상기 기판의 복수 개의 상이한 부분들 각각의 이미지에 의존하여 이미지-메트릭을 계산하는 단계를 더 포함하는, 이미지-메트릭 결정 방법.
  6. 제 5 항에 있어서,
    상기 방법은,
    상기 기판의 동일한 부분의 복수 개의 이미지에 의존하여 국소 이미지-메트릭을 계산하는 단계를 더 포함하고,
    상기 이미지-메트릭은 상기 국소 이미지-메트릭을 포함하는, 이미지-메트릭 결정 방법.
  7. 제 6 항에 있어서,
    상기 방법은,
    복수 개의 국소 이미지-메트릭을 계산하는 단계를 더 포함하고,
    국소 이미지-메트릭들 각각은, 상기 기판 중 나머지 국소 이미지-메트릭과 다른 부분의 이미지에 의존하여 계산되며,
    상기 이미지-메트릭은 상기 복수 개의 국소 이미지-메트릭을 포함하는, 이미지-메트릭 결정 방법.
  8. 제 7 항에 있어서,
    상기 방법은,
    상기 복수 개의 국소 이미지-메트릭에 의존하여 광역 이미지-메트릭을 계산하는 단계를 더 포함하고,
    상기 이미지-메트릭은 상기 광역 이미지-메트릭을 포함하는, 이미지-메트릭 결정 방법.
  9. 제 1 항에 있어서,
    상기 기판의 부분의 각각의 이미지는, 상기 기판 상의 10μm * 10μm의 치수의 면적을 커버하는, 이미지-메트릭 결정 방법.
  10. 제 1 항에 있어서,
    상기 이미지-메트릭은, 상기 제 1 이미지의 정렬된 버전 내의 피쳐의 컨투어 및 상기 하나 이상의 추가적인 이미지의 정렬된 버전 내의 대응하는 피쳐의 컨투어에 의존하여 결정되고, 및/또는 상기 이미지-메트릭은 이미지들 중 하나 이상에 대하여, 상기 이미지 내의 피쳐와 상기 피쳐의 타겟과의 비교에 의존하여 결정되는, 이미지-메트릭 결정 방법.
  11. 제 1 항에 있어서,
    상기 이미지-메트릭은, 임계 치수 균일도, 선폭 거칠기, 임계 치수 진폭 및 상기 제 1 이미지의 정렬된 버전 내의 피쳐 및 상기 하나 이상의 추가적인 이미지의 정렬된 버전 내의 대응하는 피쳐의 배치 오차 중 하나 이상에 의존하여 결정되는, 이미지-메트릭 결정 방법.
  12. 제 1 항에 있어서,
    상기 방법은,
    하나 이상의 파라미터의 복수 개의 값들 각각에 대하여 상기 이미지-메트릭을 계산하는 단계; 및
    계산된 이미지-메트릭에 의존하여, 상기 하나 이상의 파라미터에 대한 상기 이미지-메트릭의 의존도를 결정하는 단계를 더 포함하는, 이미지-메트릭 결정 방법.
  13. 제 12 항에 있어서,
    상기 하나 이상의 파라미터는, 초점, 선량, 조명 퓨필 형상, 수차, 에칭 레이트, 오버레이, 콘트라스트, 임계 치수, 척 온도, 가스 흐름 및 RF 파워 분포를 포함하는, 이미지-메트릭 결정 방법.
  14. 제 1 항에 있어서,
    이미지-관련 메트릭은, 오버레이 마진, 중첩 오버레이 마진, 오버레이 마진 맵 또는 중첩 오버레이 마진 맵인, 이미지-메트릭 결정 방법.
  15. 기판 상의 디바이스의 제조, 검사 및/또는 테스팅 프로세스에서의 방법으로서,
    제 1 항의 방법에 따라 이미지-메트릭을 획득하는 단계; 및
    상기 이미지-메트릭에 의존하여, 기판 상의 디바이스의 제조, 검사 및/또는 테스팅 프로세스의 하나 이상의 제어 파라미터를 결정하는 단계를 포함하는, 방법.
  16. 제 1 항의 방법을 수행하도록 구성되는, 시스템.
  17. 제 16 항에 있어서,
    상기 시스템은,
    컴퓨팅 시스템 및 전자 빔 장치를 포함하고,
    상기 전자 빔 장치는 기판의 이미지를 획득하도록 구성되며,
    상기 컴퓨팅 시스템은, 기판의 획득된 이미지를 수신하고, 제 1 항의 방법을 수행하도록 구성되는, 시스템.
  18. 제 16 항 또는 제 17 항에 있어서,
    상기 시스템은 리소그래피 장치 및/또는 계측 장치를 포함하는, 시스템.
  19. 실행되면, 기판 상의 디바이스의 제조 프로세스가 제 15 항의 방법에 따라 제어되게 하는 명령을 포함하는, 비-일시적 컴퓨터-판독가능 매체.
KR1020217013872A 2018-11-09 2019-09-23 디바이스의 제조 프로세스에서의 방법, 비-일시적 컴퓨터-판독가능 매체 및 이러한 방법을 수행하기 위한 시스템 KR102621036B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
EP18205329.8 2018-11-09
EP18205329.8A EP3650940A1 (en) 2018-11-09 2018-11-09 A method in the manufacturing process of a device, a non-transitory computer-readable medium and a system configured to perform the method
US201962851727P 2019-05-23 2019-05-23
US62/851,727 2019-05-23
PCT/EP2019/075531 WO2020094286A1 (en) 2018-11-09 2019-09-23 A method in the manufacturing process of a device, a non-transitory computer-readable medium and a system configured to perform the method

Publications (2)

Publication Number Publication Date
KR20210065187A true KR20210065187A (ko) 2021-06-03
KR102621036B1 KR102621036B1 (ko) 2024-01-03

Family

ID=64270663

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020217013872A KR102621036B1 (ko) 2018-11-09 2019-09-23 디바이스의 제조 프로세스에서의 방법, 비-일시적 컴퓨터-판독가능 매체 및 이러한 방법을 수행하기 위한 시스템

Country Status (6)

Country Link
US (1) US20210407112A1 (ko)
EP (2) EP3650940A1 (ko)
KR (1) KR102621036B1 (ko)
CN (1) CN112969971A (ko)
TW (1) TW202030698A (ko)
WO (1) WO2020094286A1 (ko)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN116157744A (zh) 2020-07-22 2023-05-23 Asml荷兰有限公司 用于控制制造工艺的方法和相关联的装置
TW202311868A (zh) 2020-09-28 2023-03-16 荷蘭商Asml荷蘭公司 目標結構及相關聯之方法與裝置
EP4036646A1 (en) 2021-01-29 2022-08-03 ASML Netherlands B.V. Metrology methods and appratuses
EP4261616A1 (en) 2022-04-13 2023-10-18 ASML Netherlands B.V. Method and computer program for grouping pattern features of a substantially irregular pattern layout
WO2023131476A1 (en) 2022-01-07 2023-07-13 Asml Netherlands B.V. Method and computer program for grouping pattern features of a substantially irregular pattern layout
US11861824B1 (en) * 2022-02-03 2024-01-02 Kla Corporation Reference image grouping in overlay metrology

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20150131047A (ko) * 2013-03-18 2015-11-24 제너럴 일렉트릭 캄파니 멀티-취득 슬라이드 이미징에서의 참조
KR20160124850A (ko) * 2014-02-21 2016-10-28 에이에스엠엘 네델란즈 비.브이. 리소그래피를 수반하는 제조 공정을 위한 공정 파라미터의 측정
KR20180116388A (ko) * 2016-03-01 2018-10-24 에이에스엠엘 네델란즈 비.브이. 패터닝 프로세스 파라미터를 결정하는 방법 및 장치

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5523193A (en) 1988-05-31 1996-06-04 Texas Instruments Incorporated Method and apparatus for patterning and imaging member
EP0527166B1 (de) 1990-05-02 1995-06-14 Fraunhofer-Gesellschaft Zur Förderung Der Angewandten Forschung E.V. Belichtungsvorrichtung
US5229872A (en) 1992-01-21 1993-07-20 Hughes Aircraft Company Exposure device including an electrically aligned electronic mask for micropatterning
EP0824722B1 (en) 1996-03-06 2001-07-25 Asm Lithography B.V. Differential interferometer system and lithographic step-and-scan apparatus provided with such a system
WO1998028665A1 (en) 1996-12-24 1998-07-02 Koninklijke Philips Electronics N.V. Two-dimensionally balanced positioning device with two object holders, and lithographic device provided with such a positioning device
JP3994691B2 (ja) * 2001-07-04 2007-10-24 株式会社日立製作所 荷電粒子線装置および自動非点収差調整方法
CN1795536A (zh) * 2003-05-28 2006-06-28 株式会社尼康 位置信息测量方法及装置、和曝光方法及装置
US7003758B2 (en) 2003-10-07 2006-02-21 Brion Technologies, Inc. System and method for lithography simulation
US20060017936A1 (en) * 2004-07-22 2006-01-26 Michel Cantin Transparent object height measurement
CN101305320B (zh) 2005-09-09 2012-07-04 Asml荷兰有限公司 采用独立掩模误差模型的掩模验证系统和方法
US7873585B2 (en) * 2007-08-31 2011-01-18 Kla-Tencor Technologies Corporation Apparatus and methods for predicting a semiconductor parameter across an area of a wafer
NL1036189A1 (nl) 2007-12-05 2009-06-08 Brion Tech Inc Methods and System for Lithography Process Window Simulation.
WO2010035416A1 (ja) * 2008-09-26 2010-04-01 株式会社日立ハイテクノロジーズ 荷電粒子ビーム装置
CN102224459B (zh) 2008-11-21 2013-06-19 Asml荷兰有限公司 用于优化光刻过程的方法及设备
US8786824B2 (en) 2009-06-10 2014-07-22 Asml Netherlands B.V. Source-mask optimization in lithographic apparatus
JP2011199279A (ja) * 2010-03-18 2011-10-06 Ims Nanofabrication Ag ターゲット上へのマルチビーム露光のための方法
NL2009982A (en) 2012-01-10 2013-07-15 Asml Netherlands Bv Source mask optimization to reduce stochastic effects.
CN104423142B (zh) * 2013-08-22 2020-05-05 中芯国际集成电路制造(上海)有限公司 用于光学邻近校正模型的校准数据收集方法和系统
TWI601627B (zh) * 2014-03-17 2017-10-11 三緯國際立體列印科技股份有限公司 立體列印方法、立體列印裝置及電子裝置
US10067118B2 (en) * 2014-10-07 2018-09-04 National Institute Of Standards And Technology Single molecule filter and single molecule electrograph, and process for making and using same
US10247814B2 (en) * 2015-01-20 2019-04-02 National Institute Of Standards And Technology Phase shift detector process for making and use of same
US11230471B2 (en) * 2016-02-05 2022-01-25 X-Celeprint Limited Micro-transfer-printed compound sensor device
JP6767811B2 (ja) * 2016-08-31 2020-10-14 キヤノン株式会社 位置検出方法、位置検出装置、リソグラフィ装置および物品製造方法
EP3462240A1 (en) * 2017-09-27 2019-04-03 ASML Netherlands B.V. Method of determining control parameters of a device manufacturing process

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20150131047A (ko) * 2013-03-18 2015-11-24 제너럴 일렉트릭 캄파니 멀티-취득 슬라이드 이미징에서의 참조
KR20160124850A (ko) * 2014-02-21 2016-10-28 에이에스엠엘 네델란즈 비.브이. 리소그래피를 수반하는 제조 공정을 위한 공정 파라미터의 측정
KR20180116388A (ko) * 2016-03-01 2018-10-24 에이에스엠엘 네델란즈 비.브이. 패터닝 프로세스 파라미터를 결정하는 방법 및 장치

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
Shinichi Shinoda, Yasutaka Toyoda, Yutaka Hojo, Hitoshi Sugahara, Hiroyuki Sindo, Proc. SPIE 9778, Metrology, Inspection, and Process Control for Microlithography XXX, 97783K (25 March 2016) *

Also Published As

Publication number Publication date
EP3877812A1 (en) 2021-09-15
EP3650940A1 (en) 2020-05-13
WO2020094286A1 (en) 2020-05-14
TW202030698A (zh) 2020-08-16
CN112969971A (zh) 2021-06-15
KR102621036B1 (ko) 2024-01-03
US20210407112A1 (en) 2021-12-30

Similar Documents

Publication Publication Date Title
US11835862B2 (en) Model for calculating a stochastic variation in an arbitrary pattern
US9934346B2 (en) Source mask optimization to reduce stochastic effects
US10394131B2 (en) Image log slope (ILS) optimization
US11768442B2 (en) Method of determining control parameters of a device manufacturing process
KR102621036B1 (ko) 디바이스의 제조 프로세스에서의 방법, 비-일시적 컴퓨터-판독가능 매체 및 이러한 방법을 수행하기 위한 시스템
KR102641682B1 (ko) 반도체 디바이스의 제조 프로세스를 특성화하기 위한 방법
TWI752652B (zh) 在裝置製程中的方法、非暫態電腦可讀媒體、及組態以執行該方法的系統
EP3462240A1 (en) Method of determining control parameters of a device manufacturing process
KR20210141673A (ko) 핫스팟 감소를 위한 결함 기반 패터닝 공정 특성 결정 방법
EP3822703A1 (en) Method for determining a field-of-view setting
NL2025146A (en) A method in the manufacturing process of a device, a non-transitory computer-readable medium and a system configured to perform the method
TW202409967A (zh) 在器件之製造程序中之方法、非暫態電腦可讀取媒體及經組態以執行該方法之系統

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant