KR20210016091A - Integrated CMOS Source Drain Formation Using Advanced Control - Google Patents

Integrated CMOS Source Drain Formation Using Advanced Control Download PDF

Info

Publication number
KR20210016091A
KR20210016091A KR1020217003480A KR20217003480A KR20210016091A KR 20210016091 A KR20210016091 A KR 20210016091A KR 1020217003480 A KR1020217003480 A KR 1020217003480A KR 20217003480 A KR20217003480 A KR 20217003480A KR 20210016091 A KR20210016091 A KR 20210016091A
Authority
KR
South Korea
Prior art keywords
semiconductor
forming
substrate
semiconductor device
seg
Prior art date
Application number
KR1020217003480A
Other languages
Korean (ko)
Other versions
KR102425907B1 (en
Inventor
벤자민 콜롬뷰
투샤르 만드레카
패트리샤 엠. 리우
수케투 아룬 파리크
마티아스 바우어
디미트리 알. 키오우시스
산제이 나타라잔
아비섹 두베
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Priority to KR1020227018096A priority Critical patent/KR102495729B1/en
Publication of KR20210016091A publication Critical patent/KR20210016091A/en
Application granted granted Critical
Publication of KR102425907B1 publication Critical patent/KR102425907B1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66636Lateral single gate silicon transistors with source or drain recessed by etching or first recessed by etching and then refilled
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02293Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process formation of epitaxial layers by a deposition process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/0257Doping during depositing
    • H01L21/02573Conductivity type
    • H01L21/02576N-type
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/0257Doping during depositing
    • H01L21/02573Conductivity type
    • H01L21/02579P-type
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02587Structure
    • H01L21/0259Microstructure
    • H01L21/02603Nanowires
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/02636Selective deposition, e.g. simultaneous growth of mono- and non-monocrystalline semiconductor materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/02636Selective deposition, e.g. simultaneous growth of mono- and non-monocrystalline semiconductor materials
    • H01L21/02639Preparation of substrate for selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67276Production flow monitoring, e.g. for increasing throughput
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68707Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a robot blade, or gripped by a gripper for conveyance
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • H01L29/0653Dielectric regions, e.g. SiO2 regions, air gaps adjoining the input or output region of a field-effect device, e.g. the source or drain region
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66439Unipolar field-effect transistors with a one- or zero-dimensional channel, e.g. quantum wire FET, in-plane gate transistor [IPG], single electron transistor [SET], striped channel transistor, Coulomb blockade transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66742Thin film unipolar transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/775Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7851Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with the body tied to the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78606Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device
    • H01L29/78618Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device characterised by the drain or the source properties, e.g. the doping structure, the composition, the sectional shape or the contact structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78684Thin film transistors, i.e. transistors with a channel being at least partly a thin film having a semiconductor body comprising semiconductor materials of Group IV not being silicon, or alloys including an element of the group IV, e.g. Ge, SiN alloys, SiC alloys
    • H01L29/78687Thin film transistors, i.e. transistors with a channel being at least partly a thin film having a semiconductor body comprising semiconductor materials of Group IV not being silicon, or alloys including an element of the group IV, e.g. Ge, SiN alloys, SiC alloys with a multilayer structure or superlattice structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions

Abstract

FinFET 디바이스는 반도체 기판의 벌크 반도체 부분과 FinFET 디바이스의 게이트 스페이서 사이에 배치되는 도핑된 소스 및/또는 드레인 확장부를 포함하는데, 이러한 n-도핑된 또는 p-도핑된 소스 또는 드레인 확장부는 반도체 기판의 벌크 반도체 부분 상에 배치된다. 도핑된 소스 또는 드레인 확장부는, SEG(selective epitaxial growth) 프로세스에 의해, 게이트 스페이서에 근접하게 형성된 캐비티에 형성된다. 캐비티의 형성 후에, 기판을 산화 환경에 노출시키지 않고, 오목부의 거리를 결정하기 위해 APC(advanced processing controls)(즉, 통합 계측)가 사용된다. 등방성 에칭 프로세스, 계측 및 선택적 에피택셜 성장은 동일한 플랫폼에서 수행될 수 있다.The FinFET device includes a doped source and/or drain extension disposed between the bulk semiconductor portion of the semiconductor substrate and the gate spacer of the FinFET device, such n-doped or p-doped source or drain extension It is disposed on the semiconductor part. The doped source or drain extension is formed in a cavity formed close to the gate spacer by a selective epitaxial growth (SEG) process. After formation of the cavity, advanced processing controls (APC) (ie, integrated metrology) are used to determine the distance of the recess without exposing the substrate to an oxidizing environment. The isotropic etching process, metrology and selective epitaxial growth can be performed on the same platform.

Description

고급 제어를 이용한 집적 CMOS 소스 드레인 형성Integrated CMOS Source Drain Formation Using Advanced Control

[0001] 본 개시내용의 실시예들은 일반적으로, 집적 회로들의 제작에 관한 것으로, 특히, 선택적 에피택셜 성장(SEG; selective epitaxial growth)을 사용하여 finFET에 소스 드레인 확장부(source drain extension)들을 형성하기 위한 장치 및 방법에 관한 것이다.[0001] Embodiments of the present disclosure generally relate to fabrication of integrated circuits, and in particular, an apparatus for forming source drain extensions in a finFET using selective epitaxial growth (SEG). And a method.

[0002] 트랜지스터는 대부분의 집적 회로들의 핵심 구성요소이다. 트랜지스터의 구동 전류 및 이에 따른 속도가 트랜지스터의 게이트 폭에 비례하기 때문에, 더 빠른 트랜지스터들이 일반적으로, 더 큰 게이트 폭을 필요로 한다. 따라서, 트랜지스터 사이즈와 속도 사이에 트레이드-오프(trade-off)가 있으며, 최대 구동 전류 및 최소 사이즈를 갖는 트랜지스터의 상충되는 목표들을 해결하기 위해 "핀(fin)" 전계-효과 트랜지스터(finFET; fin field-effect transistor)들이 개발되었다. finFET들은, 트랜지스터의 풋프린트를 상당히 증가시키지 않고, 트랜지스터의 사이즈를 크게 증가시키는 핀-형상의 채널 구역을 특징으로 하며, 많은 집적 회로들에서 현재 적용되고 있다. 그러나, finFET들은 그들만의 단점들을 갖는다.[0002] Transistors are a key component of most integrated circuits. Faster transistors generally require a larger gate width because the drive current and hence the speed of the transistor is proportional to the gate width of the transistor. Thus, there is a trade-off between transistor size and speed, and “fin” field-effect transistors (finFETs) to address the conflicting targets of transistors with maximum drive current and minimum size. field-effect transistors) were developed. FinFETs feature a fin-shaped channel region that significantly increases the size of the transistor without significantly increasing the transistor's footprint, and is currently being applied in many integrated circuits. However, finFETs have their own drawbacks.

[0003] 좁고 높은 finFET들의 경우 수평 소스/드레인 확장부들의 형성은 점점 더 어려워지는데, 그 이유는 핀-형상의 채널 구역은 빔라인 이온 주입과 같은 종래의 이온 주입 기법들에 의해 쉽게 비정질화되거나 또는 그렇지 않으면 손상될 수 있기 때문이다. 구체적으로, 일부 finFET 아키텍처들(예컨대, h-GAA(horizontal Gate-All-Around))에서, 이온 주입은 실리콘 채널과 인접 실리콘-게르마늄(SiGe) 희생 층 사이의 심각한 혼합을 유발할 수 있다. 그러한 혼합은 매우 바람직하지 않은데, 그 이유는 그러면, 희생 SiGe 층을 선택적으로 제거하는 능력이 손상되기 때문이다. 게다가, 열 어닐링을 통한 그러한 주입 손상의 수리는 finFET 디바이스의 열 버짓(thermal budget)을 증가시킨다.[0003] In the case of narrow and high finFETs, the formation of horizontal source/drain extensions becomes increasingly difficult because the fin-shaped channel region is easily amorphized or otherwise damaged by conventional ion implantation techniques such as beamline ion implantation. Because it can be. Specifically, in some finFET architectures (eg, h-GAA (horizontal Gate-All-Around)), ion implantation can cause severe mixing between the silicon channel and the adjacent silicon-germanium (SiGe) sacrificial layer. Such mixing is highly undesirable, since the ability to selectively remove the sacrificial SiGe layer is then compromised. In addition, repairing such implant damage through thermal annealing increases the thermal budget of the finFET device.

[0004] 부가적으로, finFET의 수평 소스/드레인 확장 구역에의 원하는 도펀트의 정밀한 배치는 아무리 잘해도 매우 어려운데, 그 이유는 finFET에서의 소스/드레인 확장부가 다른 구조들에 의해 덮일 수 있기 때문이다. 예컨대, 희생 SiGe SL(superlattice) 층 상의 (내부) 측벽 스페이서가 통상적으로, 도핑이 수행될 때 소스/드레인 확장 구역을 덮는다. 결과적으로, 종래의 직선적 이온 주입(line-of-sight ion implantation) 기법들은 finFET 소스/드레인 확장 구역에 균일하게 도펀트들을 직접적으로 증착할 수 없다.[0004] Additionally, the precise placement of the desired dopant in the horizontal source/drain extension region of the finFET is very difficult at best, since the source/drain extension in the finFET can be covered by other structures. For example, (inner) sidewall spacers on a sacrificial SiGe superlattice (SL) layer typically cover the source/drain extension regions when doping is performed. As a result, conventional line-of-sight ion implantation techniques cannot directly deposit dopants uniformly in the finFET source/drain extension region.

[0005] 또한, 기판이 대기에 노출되는 시간(Q-시간으로 또한 불림)이 에피택셜 필름의 결함도(defectivity)에 현저한 영향을 미칠 수 있다. 이에 따라서, 현재 이용가능한 또는 개발중인 finFET 디바이스들에서 소스/드레인 구역들을 정밀하게 도핑하기 위한 프로세싱 장치 및 기법들이 필요하다.[0005] In addition, the time the substrate is exposed to the atmosphere (also referred to as Q-time) can significantly affect the defectivity of the epitaxial film. Accordingly, there is a need for processing apparatus and techniques for precisely doping source/drain regions in finFET devices currently available or under development.

[0006] 본 개시내용의 하나 이상의 실시예들은 반도체 디바이스를 형성하는 방법들에 관한 것이다. 반도체 기판 상의 반도체 재료의 표면을 노출시키기 위해 이 반도체 재료에 대해 비등방성 에칭 프로세스가 수행된다. 이 표면은 반도체 기판의 벌크 반도체 부분과 반도체 디바이스의 기존 구조 사이에 배치되는데, 반도체 재료는 반도체 기판의 벌크 반도체 부분 상에 형성된다. 반도체 기판의 벌크 반도체 부분과 기존 구조 사이에 배치되는 반도체 재료를 일정 거리만큼 오목하게 하여 캐비티를 형성하기 위해, 노출된 측벽에 대해 등방성 에칭 프로세스가 수행된다. SEG(selective epitaxial growth) 프로세스를 통해 캐비티의 표면 상에 증착 재료의 층이 형성된다. 기판은 캐비티의 형성과 SEG 사이에 사전-세정 프로세스를 겪지 않는다.[0006] One or more embodiments of the present disclosure relate to methods of forming a semiconductor device. An anisotropic etching process is performed on the semiconductor material to expose the surface of the semiconductor material on the semiconductor substrate. This surface is disposed between the bulk semiconductor portion of the semiconductor substrate and the existing structure of the semiconductor device, the semiconductor material being formed on the bulk semiconductor portion of the semiconductor substrate. An isotropic etching process is performed on the exposed sidewalls to form a cavity by concave the semiconductor material disposed between the bulk semiconductor portion of the semiconductor substrate and the existing structure by a predetermined distance. A layer of evaporation material is formed on the surface of the cavity through a selective epitaxial growth (SEG) process. The substrate does not undergo a pre-clean process between the formation of the cavity and the SEG.

[0007] 본 개시내용의 부가적인 실시예들은 반도체 디바이스를 형성하는 방법들에 관한 것이다. 반도체 기판이 제1 프로세싱 챔버에서 이 반도체 기판 상의 반도체 재료 내에 포지셔닝된다. 반도체 재료의 표면을 노출시키기 위해 반도체 재료에 대해 비등방성 에칭 프로세스가 수행된다. 이 표면은 반도체 기판의 벌크 반도체 부분과 반도체 디바이스의 기존 구조 사이에 배치되는데, 반도체 재료는 반도체 기판의 벌크 반도체 부분 상에 형성된다. 반도체 기판의 벌크 반도체 부분과 기존 구조 사이에 배치되는 반도체 재료를 일정 거리만큼 오목하게 하여 캐비티를 형성하기 위해, 노출된 측벽에 대해 등방성 에칭 프로세스가 수행된다. 반도체 기판을 산화성 조건들에 노출시키지 않고, 반도체 기판은 제1 프로세싱 챔버로부터 제2 프로세싱 챔버로 이동된다. 등방성 에칭 후에 반도체 재료가 오목하게 된 거리가 결정된다. 제2 프로세싱 챔버에서 SEG(selective epitaxial growth) 프로세스를 사용하여 캐비티의 표면 상에 증착 재료의 층이 형성된다. 반도체 기판은 캐비티의 형성과 SEG 사이에 사전-세정 프로세스를 겪지 않는다. SEG 프로세스는, 등방성 에칭 후에 반도체 재료가 오목하게 된 거리를 고려한다.[0007] Additional embodiments of the present disclosure relate to methods of forming a semiconductor device. A semiconductor substrate is positioned within a semiconductor material on the semiconductor substrate in a first processing chamber. An anisotropic etching process is performed on the semiconductor material to expose the surface of the semiconductor material. This surface is disposed between the bulk semiconductor portion of the semiconductor substrate and the existing structure of the semiconductor device, the semiconductor material being formed on the bulk semiconductor portion of the semiconductor substrate. An isotropic etching process is performed on the exposed sidewalls to form a cavity by concave the semiconductor material disposed between the bulk semiconductor portion of the semiconductor substrate and the existing structure by a predetermined distance. Without exposing the semiconductor substrate to oxidative conditions, the semiconductor substrate is moved from the first processing chamber to the second processing chamber. The distance at which the semiconductor material becomes concave after isotropic etching is determined. A layer of deposition material is formed on the surface of the cavity using a selective epitaxial growth (SEG) process in a second processing chamber. The semiconductor substrate does not undergo a pre-clean process between the formation of the cavity and the SEG. The SEG process takes into account the distance the semiconductor material has become concave after isotropic etching.

[0008] 본 개시내용의 추가적인 실시예들은 반도체 디바이스를 형성하기 위한 프로세싱 툴들에 관한 것이다. 중앙 이송 스테이션은 이 중앙 이송 스테이션 주위에 배치된 복수의 프로세싱 챔버들을 갖는다. 로봇이 중앙 이송 스테이션 내에 있고, 복수의 프로세싱 챔버들 사이에서 기판을 이동시키도록 구성된다. 제1 프로세싱 챔버가 중앙 이송 스테이션에 연결된다. 제1 프로세싱 챔버는 등방성 에칭 프로세스를 수행하도록 구성된다. 로봇이 액세스가능한 계측(metrology) 스테이션이 프로세싱 툴 내에 있다. 계측 스테이션은, 등방성 에칭 프로세스에 의한, 기판 상의 반도체 재료의 오목부(recess)의 거리를 결정하도록 구성된다. 제2 프로세싱 챔버가 중앙 이송 스테이션에 연결된다. 제2 프로세싱 챔버는 SEG(selective epitaxial growth) 프로세스를 수행하도록 구성된다. 제어기가 중앙 이송 스테이션, 로봇, 제1 프로세싱 챔버, 계측 스테이션 또는 제2 프로세싱 챔버 중 하나 이상에 연결된다. 제어기는, 복수의 프로세싱 챔버들과 계측 스테이션 사이에서 로봇 상의 기판을 이동시키기 위한 제1 구성; 제1 프로세싱 챔버에서 기판에 대해 등방성 에칭 프로세스를 수행하기 위한 제2 구성; 계측 스테이션에서 반도체 재료의 오목부를 결정하기 위한 분석을 수행하기 위한 제3 구성; 또는 제2 프로세싱 챔버에서 선택적 에피택셜 성장 프로세스를 수행하기 위한 제4 구성으로부터 선택되는 하나 이상의 구성들을 가지며, 선택적 에피택셜 성장 프로세스는 반도체 재료의 오목부를 위해 조정된다.[0008] Additional embodiments of the present disclosure relate to processing tools for forming a semiconductor device. The central transfer station has a plurality of processing chambers disposed around the central transfer station. The robot is within the central transfer station and is configured to move the substrate between a plurality of processing chambers. The first processing chamber is connected to the central transfer station. The first processing chamber is configured to perform an isotropic etching process. A metrology station accessible to the robot is in the processing tool. The metrology station is configured to determine the distance of a recess in the semiconductor material on the substrate by an isotropic etching process. A second processing chamber is connected to the central transfer station. The second processing chamber is configured to perform a selective epitaxial growth (SEG) process. A controller is connected to one or more of the central transfer station, robot, first processing chamber, metrology station or second processing chamber. The controller includes: a first configuration for moving a substrate on the robot between a plurality of processing chambers and a metrology station; A second configuration for performing an isotropic etching process on the substrate in the first processing chamber; A third configuration for performing an analysis to determine a recess in the semiconductor material at the measurement station; Or a fourth configuration for performing the selective epitaxial growth process in the second processing chamber, wherein the selective epitaxial growth process is adapted for the recess of the semiconductor material.

[0009] 본 개시내용의 위에서 언급된 특징들이 상세히 이해될 수 있는 방식으로, 위에서 간략히 요약된 본 개시내용의 더욱 상세한 설명이 실시예들을 참조함으로써 이루어질 수 있으며, 이 실시예들 중 일부는 첨부된 도면들에서 예시된다. 그러나, 첨부된 도면들이 본 개시내용의 통상적인 실시예들만을 예시하며 이에 따라 본 개시내용의 범위를 제한하는 것으로 간주되지 않아야 한다는 것이 주목되어야 하는데, 이는 본 개시내용이 다른 동등하게 유효한 실시예들을 허용할 수 있기 때문이다.
[0010] 도 1은 본 개시내용의 하나 이상의 실시예에 따른, finFET(fin-field-effect transistor)의 사시도이고;
[0011] 도 2는 본 개시내용의 하나 이상의 실시예에 따른, 도 1의 finFET의 단면도이고;
[0012] 도 3은 본 개시내용의 하나 이상의 실시예들에 따른, finFET를 형성하기 위한 제조 프로세스의 흐름도이고;
[0013] 도 4a-도 4e는 본 개시내용의 하나 이상의 실시예들에 따른, 도 3의 프로세스의 다양한 스테이지들에 대응하는, 반도체 디바이스의 개략적인 단면도들을 도시하고;
[0014] 도 5는 본 개시내용의 하나 이상의 실시예들에 따른, 캐비티들의 형성 후의 도 1의 finFET의 개략적인 단면도이고;
[0015] 도 6은 본 개시내용의 하나 이상의 실시예들에 따른, 나노와이어 구조를 형성하기 위한 제조 프로세스의 흐름도이고;
[0016] 도 7a-도 7g는 본 개시내용의 하나 이상의 실시예들에 따른, 도 6의 프로세스의 다양한 스테이지들에 대응하는, 도 7의 나노와이어/나노시트 구조의 개략적인 단면도들이고;
[0017] 도 8은 본 개시내용의 하나 이상의 실시예에 따른, 반도체 디바이스를 형성하기 위한 제조 프로세스의 흐름도이며; 그리고
[0018] 도 9는 본 개시내용의 실시예들 중 임의의 실시예의 방법들을 수행하기 위한 프로세싱 시스템의 개략도를 도시한다.
[0009] In such a way that the above-mentioned features of the present disclosure can be understood in detail, a more detailed description of the present disclosure briefly summarized above may be made by reference to examples, some of which are Illustrated in the drawings. However, it should be noted that the appended drawings illustrate only typical embodiments of the present disclosure and should not be regarded as limiting the scope of the present disclosure accordingly, as this disclosure describes other equally effective embodiments. Because it is acceptable.
1 is a perspective view of a fin-field-effect transistor (finFET), in accordance with one or more embodiments of the present disclosure;
[0011] Figure 2 is a cross-sectional view of the finFET of Figure 1, in accordance with one or more embodiments of the present disclosure;
3 is a flow diagram of a manufacturing process for forming a finFET, in accordance with one or more embodiments of the present disclosure;
4A-4E show schematic cross-sectional views of a semiconductor device, corresponding to various stages of the process of FIG. 3, in accordance with one or more embodiments of the present disclosure;
5 is a schematic cross-sectional view of the finFET of FIG. 1 after formation of cavities, in accordance with one or more embodiments of the present disclosure;
6 is a flow diagram of a manufacturing process for forming a nanowire structure, in accordance with one or more embodiments of the present disclosure;
7A-7G are schematic cross-sectional views of the nanowire/nanosheet structure of FIG. 7, corresponding to various stages of the process of FIG. 6, in accordance with one or more embodiments of the present disclosure;
8 is a flow diagram of a manufacturing process for forming a semiconductor device, in accordance with one or more embodiments of the present disclosure; And
9 shows a schematic diagram of a processing system for performing the methods of any of the embodiments of the present disclosure.

[0019] 본 개시내용의 여러 예시적인 실시예들을 설명하기 전에, 본 개시내용이 다음의 설명에서 제시된 구성 또는 프로세스 단계들의 세부사항들로 제한되지 않는다는 것이 이해되어야 한다. 본 개시내용은 다른 실시예들이 가능하며, 다양한 방식들로 수행되거나 또는 실시될 수 있다.[0019] Before describing various exemplary embodiments of the present disclosure, it should be understood that the present disclosure is not limited to the details of the construction or process steps presented in the following description. Other embodiments of the present disclosure are possible, and may be performed or practiced in various ways.

[0020] 본 명세서 및 첨부된 청구항들에서 사용되는 바와 같이, "기판"이란 용어는 프로세스가 작용하는 표면 또는 표면의 부분을 지칭한다. 또한, 문맥이 명확하게 달리 표시하지 않는 한, 기판에 대한 언급은 기판의 일부분만을 또한 지칭할 수 있다는 것이 당업자들에 의해 이해될 것이다. 부가적으로, 기판 상에 증착하는 것에 대한 언급은, 베어(bare) 기판, 그리고 하나 이상의 필름들 또는 피처들이 상부에 증착되어 있거나 또는 형성되어 있는 기판 둘 모두를 의미할 수 있다.[0020] As used herein and in the appended claims, the term “substrate” refers to a surface or portion of a surface on which a process acts. Further, it will be understood by those skilled in the art that unless the context clearly indicates otherwise, reference to a substrate may also refer to only a portion of the substrate. Additionally, reference to depositing on a substrate may mean both a bare substrate and a substrate having or having one or more films or features deposited thereon.

[0021] 본원에서 사용되는 "기판"은, 제작 프로세스 동안 필름 프로세싱이 수행되는, 임의의 기판 또는 기판 상에 형성된 재료 표면을 지칭한다. 예컨대, 프로세싱이 수행될 수 있는 기판 표면은, 애플리케이션에 따라, 실리콘, 실리콘 옥사이드, 스트레인드(strained) 실리콘, SOI(silicon on insulator), 탄소 도핑된 실리콘 옥사이드들, 비정질 실리콘, 도핑된 실리콘, 게르마늄, 갈륨 아세나이드, 유리, 사파이어와 같은 재료들, 그리고 금속들, 금속 나이트라이드들, 금속 합금들 및 다른 전도성 재료들과 같은 임의의 다른 재료들을 포함한다. 기판들은 반도체 웨이퍼들을 포함(이에 제한되지 않음)한다. 기판들은 기판 표면을 폴리싱, 에칭, 환원, 산화, 수산화, 어닐링, UV 경화, e-빔 경화 및/또는 베이킹하기 위한 전처리 프로세스에 노출될 수 있다. 기판 자체의 표면 상에 직접적으로 필름 프로세싱하는 것에 부가하여, 본 개시내용에서, 개시된 필름 프로세싱 단계들 중 임의의 필름 프로세싱 단계는 또한, 아래에서 더욱 상세히 개시되는 바와 같이 기판 상에 형성된 하부층(underlayer)에 대해 수행될 수 있으며, "기판 표면"이란 용어는 맥락이 표시할 때 그러한 하부층을 포함하는 것으로 의도된다. 따라서, 예컨대, 필름/층 또는 부분 필름/층이 기판 표면 상에 증착된 경우, 새롭게 증착된 필름/층의 노출된 표면이 기판 표면이 된다.[0021] As used herein, “substrate” refers to any substrate or material surface formed on a substrate on which film processing is performed during the manufacturing process. For example, the substrate surface on which processing can be performed is silicon, silicon oxide, strained silicon, SOI (silicon on insulator), carbon doped silicon oxides, amorphous silicon, doped silicon, germanium, depending on the application. , Materials such as gallium arsenide, glass, sapphire, and any other materials such as metals, metal nitrides, metal alloys and other conductive materials. Substrates include, but are not limited to, semiconductor wafers. Substrates may be exposed to a pretreatment process to polish, etch, reduce, oxidize, hydrate, anneal, UV cure, e-beam cure and/or bake the substrate surface. In addition to film processing directly on the surface of the substrate itself, in the present disclosure, any of the disclosed film processing steps also includes an underlayer formed on the substrate as disclosed in more detail below. As the context indicates, the term “substrate surface” is intended to include such sublayers. Thus, for example, when a film/layer or partial film/layer is deposited on the substrate surface, the exposed surface of the newly deposited film/layer becomes the substrate surface.

[0022] 본 개시내용의 실시예들은, 반도체 기판의 벌크 반도체 부분과 반도체 디바이스의 기존 구조 사이에 배치되는 구역 내에 형성되는 도핑된 반도체 재료를 포함하는, 반도체 디바이스들, 프로세싱 툴들 및 프로세싱 방법들에 관한 것이다. 하나 이상의 실시예들에서, 반도체 디바이스는 finFET 디바이스를 포함한다. 그러한 실시예들에서, n-도핑된 실리콘-함유 재료는 반도체 기판의 벌크 반도체 부분과 finFET의 게이트 스페이서 사이에 배치되는 n-도핑된 소스 또는 드레인 확장부를 형성하는데, 이러한 n-도핑된 소스 또는 드레인 확장부는 반도체 기판의 벌크 반도체 부분 상에 배치된다. 본 개시내용의 실시예들이 nMOS(n-type metal oxide semiconductor) 및 n-도핑된 필름들의 형성에 대하여 설명되지만, 당업자는, p-도핑된 필름들이 또한 유사한 프로세스에 의해 형성될 수 있다는 것을 인식할 것이다. 본 개시내용 전반에 걸쳐 "nMOS" 또는 "n-도핑된" 것에 대한 언급들은 단지 설명의 편의를 위한 것이며, 본 개시내용이 nMOS 또는 n-도핑된 구조들로 제한되는 것으로서 간주되어서는 안된다. 일부 실시예들에서, 방법들은 pMOS(p-type metal oxide semiconductor) 또는 p-도핑된 필름들의 형성에 관한 것이다. 본 개시내용의 일부 실시예들은, 소스/드레인(SD; Source/Drain)이 다수의 SiGe 및 붕소 층들을 포함하는 pMOS 디바이스들을 형성하기 위한 프로세스들에 관한 것이다. 하나 이상의 실시예들에서, SD 재료들은 pMOS 디바이스들에 압축 응력을 제공하고, 이는 정공 이동도를 증가시킨다. 에피택셜 SD 층 형성과 함께 측방향 푸시량의 제어가 전체 성능에 영향을 미칠 수 있다.[0022] Embodiments of the present disclosure relate to semiconductor devices, processing tools and processing methods, including a doped semiconductor material formed in a region disposed between a bulk semiconductor portion of a semiconductor substrate and an existing structure of the semiconductor device. In one or more embodiments, the semiconductor device includes a finFET device. In such embodiments, the n-doped silicon-containing material forms an n-doped source or drain extension disposed between the bulk semiconductor portion of the semiconductor substrate and the gate spacer of the finFET, such an n-doped source or drain. The extension is disposed on the bulk semiconductor portion of the semiconductor substrate. While embodiments of the present disclosure are described for the formation of n-type metal oxide semiconductor (nMOS) and n-doped films, those skilled in the art will recognize that p-doped films can also be formed by similar processes. will be. References to “nMOS” or “n-doped” throughout this disclosure are for convenience of description only and should not be considered as limiting the disclosure to nMOS or n-doped structures. In some embodiments, the methods relate to the formation of p-type metal oxide semiconductor (pMOS) or p-doped films. Some embodiments of the present disclosure relate to processes for forming pMOS devices in which a Source/Drain (SD) includes multiple SiGe and boron layers. In one or more embodiments, SD materials provide compressive stress to pMOS devices, which increases hole mobility. With epitaxial SD layer formation, control of the amount of lateral push can affect the overall performance.

[0023] 도 1은 본 개시내용의 실시예에 따른, finFET(fin-field-effect transistor)(100)의 사시도이다. finFET(100)는 반도체 기판(101), 반도체 기판(101)의 표면 상에 형성된 절연 구역들(102), 반도체 기판(101)의 표면 상에 형성된 핀 구조(120), 및 절연 구역들(102) 상에 그리고 핀 구조(120) 상에 형성된 게이트 전극 구조(130)를 포함한다. 핀 구조(120)의 최상부 부분이 노출되어 finFET(100)의 소스 접점(미도시)에 전기적으로 커플링되고, 핀 구조(120)의 다른 최상부 부분이 노출되어 finFET(100)의 드레인 접점(미도시)에 전기적으로 커플링되며, 반도체 핀(121)의 중앙 부분이 finFET(100)의 채널 구역을 포함한다. 게이트 전극 구조(130)가 finFET(100)의 게이트로서의 역할을 한다.[0023] 1 is a perspective view of a fin-field-effect transistor (finFET) 100, according to an embodiment of the present disclosure. The finFET 100 includes a semiconductor substrate 101, insulating regions 102 formed on the surface of the semiconductor substrate 101, a fin structure 120 formed on the surface of the semiconductor substrate 101, and insulating regions 102. ) And a gate electrode structure 130 formed on the fin structure 120. The uppermost portion of the fin structure 120 is exposed and electrically coupled to the source contact (not shown) of the finFET 100, and the other uppermost portion of the fin structure 120 is exposed to the drain contact (not shown) of the finFET 100. And the central portion of the semiconductor fin 121 includes the channel region of the finFET 100. The gate electrode structure 130 serves as a gate of the finFET 100.

[0024] 반도체 기판(101)은 벌크 실리콘(Si) 기판, 벌크 게르마늄(Ge) 기판, 벌크 실리콘-게르마늄(SiGe) 기판 등일 수 있다. 대안적으로 STI(shallow trench isolation)로 지칭되는 절연 구역들(102)은 실리콘 디옥사이드(SiO2), 실리콘 나이트라이드(Si3N4) 또는 이들의 다수의 층들과 같은 하나 이상의 유전체 재료들을 포함할 수 있다. 절연 구역들(102)은 HDP(high-density plasma), FCVD(flowable chemical vapor deposition) 등에 의해 형성될 수 있다.The semiconductor substrate 101 may be a bulk silicon (Si) substrate, a bulk germanium (Ge) substrate, a bulk silicon-germanium (SiGe) substrate, or the like. Insulation regions 102, alternatively referred to as shallow trench isolation (STI), may comprise one or more dielectric materials such as silicon dioxide (SiO 2 ), silicon nitride (Si 3 N 4 ), or multiple layers thereof. I can. The insulating regions 102 may be formed by high-density plasma (HDP), flowable chemical vapor deposition (FCVD), or the like.

[0025] 핀 구조(120)는 반도체 핀(121), 및 반도체 핀(121)의 측벽들 상에 형성되는 핀 스페이서들(명확성을 위해 미도시)을 포함한다. 반도체 핀(121)은 반도체 기판(101)으로, 또는 반도체 기판(101) 상에 증착되는 상이한 반도체 재료로 형성될 수 있다. 후자의 경우, 상이한 반도체 재료는 실리콘-게르마늄, III-V족 화합물 반도체 재료 등을 포함할 수 있다.[0025] The fin structure 120 includes a semiconductor fin 121 and fin spacers (not shown for clarity) formed on sidewalls of the semiconductor fin 121. The semiconductor fins 121 may be formed from the semiconductor substrate 101 or from a different semiconductor material deposited on the semiconductor substrate 101. In the latter case, the different semiconductor materials may include silicon-germanium, group III-V compound semiconductor materials, and the like.

[0026] 게이트 전극 구조(130)는 게이트 전극 층(131), 게이트 유전체 층(132), 게이트 스페이서들(133) 및 마스크 층(136)을 포함한다. 일부 실시예들에서, 게이트 전극 층(131)은 폴리실리콘 층, 또는 폴리실리콘 층으로 캡핑된 금속 층을 포함한다. 다른 실시예들에서, 게이트 전극 층(131)은 금속 나이트라이드들(이를테면, 티타늄 나이트라이드(TiN), 탄탈럼 나이트라이드(TaN) 및 몰리브데넘 나이트라이드(MoNx)), 금속 카바이드들(이를테면, 탄탈럼 카바이드(TaC) 및 하프늄 카바이드(HfC)), 금속-나이트라이드-카바이드들(이를테면, TaCN), 금속 옥사이드들(이를테면, 몰리브데넘 옥사이드(MoOx)), 금속 옥시나이트라이드들(이를테면, 몰리브데넘 옥시나이트라이드(MoOxNy)), 금속 실리사이드들(이를테면, 니켈 실리사이드), 및 이들의 조합들로부터 선택되는 재료를 포함한다. 게이트 전극 층(131)은 또한, 폴리실리콘 층으로 캡핑된 금속 층일 수 있다.[0026] The gate electrode structure 130 includes a gate electrode layer 131, a gate dielectric layer 132, gate spacers 133, and a mask layer 136. In some embodiments, the gate electrode layer 131 includes a polysilicon layer, or a metal layer capped with a polysilicon layer. In other embodiments, the gate electrode layer 131 may include metal nitrides (e.g., titanium nitride (TiN), tantalum nitride (TaN), and molybdenum nitride (MoN x ))), metal carbides ( For example, tantalum carbide (TaC) and hafnium carbide (HfC)), metal-nitride-carbides (such as TaCN), metal oxides (such as molybdenum oxide (MoO x )), metal oxynitrides. (Such as molybdenum oxynitride (MoO x N y )), metal silicides (such as nickel silicide), and combinations thereof. The gate electrode layer 131 may also be a metal layer capped with a polysilicon layer.

[0027] 게이트 유전체 층(132)은 반도체 핀(121)의 열 산화에 의해 형성될 수 있는 실리콘 옥사이드(SiOx)를 포함할 수 있다. 다른 실시예들에서, 게이트 유전체 층(132)은 증착 프로세스에 의해 형성된다. 게이트 유전체 층(132)을 형성하기 위한 적절한 재료들은 실리콘 옥사이드, 실리콘 나이트라이드들, 옥시나이트라이드들, 금속 옥사이드들, 이를테면, HfO2, HfZrOx, HfSiOx, HfTiOx, HfAlOx 및 이들의 조합들 및 다층들을 포함한다. 게이트 스페이서들(133)은 게이트 전극 층(131)의 측벽들 상에 형성되고, 게이트 스페이서들(133) 각각은 도시된 바와 같이 나이트라이드 부분(134) 및/또는 옥사이드 부분(135)을 포함할 수 있다. 일부 실시예들에서, 마스크 층(136)은 도시된 바와 같이 게이트 전극 층(131) 상에 형성될 수 있고, 실리콘 나이트라이드를 포함할 수 있다.The gate dielectric layer 132 may include silicon oxide (SiOx), which may be formed by thermal oxidation of the semiconductor fins 121. In other embodiments, the gate dielectric layer 132 is formed by a deposition process. Suitable materials for forming the gate dielectric layer 132 are silicon oxide, silicon nitrides, oxynitrides, metal oxides, such as HfO 2 , HfZrO x , HfSiO x , HfTiO x , HfAlO x and combinations thereof. And multiple layers. The gate spacers 133 are formed on sidewalls of the gate electrode layer 131, and each of the gate spacers 133 may include a nitride portion 134 and/or an oxide portion 135 as shown. I can. In some embodiments, the mask layer 136 may be formed on the gate electrode layer 131 as shown, and may include silicon nitride.

[0028] 도 2는 본 개시내용의 실시예에 따른, finFET(100)의 단면도이다. 도 2에서 예시된 단면도는 도 1의 섹션(A-A)에서 취해진다. 도시된 바와 같이, finFET(100)는 고농도로 도핑된 구역들(201), 도핑된 확장 구역들(202) 및 채널 구역(205)을 갖는 반도체 핀(121)을 포함한다. 본원의 실시예들이 nMOS의 형성에 대하여 설명되지만, 당업자는, 고농도로 도핑된 구역(201) 및 도핑된 확장 구역(202)이 p-도핑된 구역들일 수 있다는 것을 인식할 것이다.[0028] 2 is a cross-sectional view of finFET 100, according to an embodiment of the present disclosure. The cross-sectional view illustrated in FIG. 2 is taken in section A-A of FIG. 1. As shown, the finFET 100 includes a semiconductor fin 121 having heavily doped regions 201, doped extension regions 202 and channel regions 205. While embodiments herein are described for the formation of nMOS, one of ordinary skill in the art will recognize that the heavily doped region 201 and the doped extension region 202 may be p-doped regions.

[0029] 고농도로 도핑된 구역들(201)은 finFET(100)의 소스 및 드레인 구역들을 형성하고, 비교적 높은 농도들의 n-도펀트들(예컨대, 인(P), 비소(As), 안티모니(Sb), 비스무트(Bi), 리튬(Li)) 또는 p-도펀트들(예컨대, 붕소(B), 알루미늄(Al), 갈륨(Ga) 또는 인듐(In))을 포함한다. 구역(201)이 고농도로 n-도핑된 것으로 지칭될 수 있지만, 당업자는, 이 구역이 p-도핑된 구역일 수 있고 붕소(B)와 같은 비교적 높은 농도들의 p-도펀트들을 포함할 수 있다는 것을 인식할 것이다. 예컨대, 일부 실시예들에서, 고농도로 도핑된 구역들(201) 내의 도펀트들의 농도는 5x1021 원자/cm3만큼 높을 수 있다. 일부 실시예들에서, 고농도로 도핑된 구역(201)은 약 1x1020 원자/cm3 내지 약 1x1022 원자/cm3 범위의 도펀트 농도를 갖는다. 고농도로 도핑된 구역들(201)은 임의의 적절한 도핑 기법에 의해 생성될 수 있다. 고농도로 도핑된 구역들(201)이 일반적으로, 도핑 시에 finFET(100)의 개재 구조에 의해 덮이지 않기 때문에, 빔라인 이온 주입과 같은 직선적 도핑 기법이 사용될 수 있다. 대안적으로, PLAD(plasma doping)와 같은 컨포멀 도핑(conformal doping) 기법이, 고농도로 도핑된 구역들(201)을 형성하기 위해 사용될 수 있는데, 그 이유는 각각의 고농도로 도핑된 구역(201)의 상당한 부분이 일반적으로, 도핑 시에 노출되기 때문이다.The heavily doped regions 201 form the source and drain regions of the finFET 100, and relatively high concentrations of n-dopants (eg, phosphorus (P), arsenic (As), antimony ( Sb), bismuth (Bi), lithium (Li)) or p-dopants (eg, boron (B), aluminum (Al), gallium (Ga) or indium (In)). While zone 201 may be referred to as being n-doped at a high concentration, one of ordinary skill in the art knows that this zone can be a p-doped zone and may contain relatively high concentrations of p-dopants such as boron (B). Will recognize. For example, in some embodiments, the concentration of dopants in heavily doped regions 201 may be as high as 5×10 21 atoms/cm 3 . In some embodiments, the heavily doped region 201 has a dopant concentration ranging from about 1× 10 20 atoms/cm 3 to about 1×10 22 atoms/cm 3 . The heavily doped regions 201 can be created by any suitable doping technique. Since the heavily doped regions 201 are generally not covered by the intervening structure of the finFET 100 upon doping, a linear doping technique such as beamline ion implantation may be used. Alternatively, a conformal doping technique, such as plasma doping (PLA), may be used to form highly doped regions 201, because each highly doped region 201 This is because a significant portion of) is usually exposed during doping.

[0030] 도핑된 확장 구역들(202)은 finFET(100)의 소스 및 드레인 확장부들을 형성하고, 하나 이상의 n-도펀트들을 포함한다. 당업자는 확장 구역이 p-도핑된 구역일 수 있다는 것을 인식할 것이다. 본 개시내용의 실시예들에 따르면, 도핑된 확장 구역들(202)은, 고농도로 도핑된 구역들(201)에 위치된 n-도펀트들에 대한 확산 장벽으로서의 역할을 하는 하나 이상의 n-도펀트들을 포함한다. 따라서, 도핑된 확장 구역들(202)이 채널 구역(205)과 고농도로 도핑된 구역들(201) 사이에 배치되기 때문에, 인과 같은, 고농도로 도핑된 구역들(201)에 위치된 n-도펀트들은 채널 구역(205) 안으로 확산될 수 없다. 현대 finFET 디바이스들과 연관된 작은 기하학적 구조들로, 게이트 스페이서들(133)의 폭(133A) ―이러한 폭(133A)은 또한 대략 고농도로 도핑된 구역들(201) 사이의 거리임― 은 단지 몇 나노미터일 수 있다. 그러므로, 그러한 n-도펀트 확산은 finFET(100)와 같은 nMOS 디바이스들에서 심각한 난제일 수 있다. 일부 실시예들에서, 도핑된 확장 구역들(202)은, 채널 구역(205)에서 압축 응력을 증가시키는 하나 이상의 더 무거운 질량 원자들(예컨대, Ge, Sn 등)을 포함한다.[0030] Doped extension regions 202 form the source and drain extensions of finFET 100 and include one or more n-dopants. One of skill in the art will recognize that the extension region may be a p-doped region. According to embodiments of the present disclosure, the doped extension regions 202 may contain one or more n-dopants that serve as a diffusion barrier for n-dopants located in the heavily doped regions 201. Include. Thus, since the doped extension regions 202 are disposed between the channel region 205 and the heavily doped regions 201, the n-dopant located in the heavily doped regions 201, such as phosphorus. They cannot spread into the channel region 205. With the small geometries associated with modern finFET devices, the width 133A of the gate spacers 133-this width 133A is also approximately the distance between the heavily doped regions 201-is only a few nanometers. It can be a meter. Therefore, such n-dopant diffusion can be a serious challenge in nMOS devices such as finFET 100. In some embodiments, the doped extended regions 202 include one or more heavier mass atoms (eg, Ge, Sn, etc.) that increase the compressive stress in the channel region 205.

[0031] 일부 실시예들에서, 고농도로 도핑된 구역들(201)에 위치된 n-도펀트들은 인을 포함할 수 있다. 그러한 실시예들에서, 도핑된 확장 구역들(202)에 포함된 n-도펀트들은 비소(As)를 포함할 수 있고, 이러한 비소(As)는 인 확산에 대한 상당한 확산 장벽으로서 또는 단순히 공간적(기하학적) 오프셋으로서의 역할을 할 수 있다. 대안적으로 또는 부가적으로, 그러한 실시예들에서, 도핑된 확장 구역들(202)에 포함된 n-도펀트들은 안티모니(Sb)를 포함할 수 있으며, 이러한 안티모니(Sb)는 또한, 인 확산에 대한 부분 장벽으로서의 역할을 할 수 있다. 일부 실시예들에서, 구역(201) 및 구역(202)에 포함된 p-도펀트들은 독립적으로, 붕소(B), 알루미늄(Al), 갈륨(Ga) 또는 인듐(In) 중 하나 이상을 포함할 수 있다.[0031] In some embodiments, n-dopants located in heavily doped regions 201 may include phosphorus. In such embodiments, the n-dopants included in the doped extension regions 202 may include arsenic (As), which is a significant diffusion barrier to phosphorus diffusion, or simply spatial (geometric ) Can serve as an offset. Alternatively or additionally, in such embodiments, the n-dopants included in the doped expansion regions 202 may include antimony (Sb), which antimony (Sb) is also It can serve as a partial barrier to diffusion. In some embodiments, the p-dopants included in zone 201 and zone 202 independently comprise one or more of boron (B), aluminum (Al), gallium (Ga), or indium (In). I can.

[0032] 일부 실시예들에서, 도핑된 확장 구역들(202)은 게이트 스페이서들(133)의 폭(133A)보다 더 작은 두께(202A)로 형성된다. 예컨대, 그러한 실시예들에서, 도핑된 확장 구역들(202)의 두께(202A)는 폭(133A)보다 대략 1 나노미터 더 작을 수 있다. 결과적으로, 그러한 실시예들에서, 도핑된 확장 구역들(202)은 채널 구역(205)으로 확장되지 않는다.[0032] In some embodiments, the doped extension regions 202 are formed with a thickness 202A that is less than the width 133A of the gate spacers 133. For example, in such embodiments, the thickness 202A of the doped extension regions 202 may be approximately 1 nanometer less than the width 133A. Consequently, in such embodiments, the doped extension regions 202 do not extend into the channel region 205.

[0033] 또한, 본 개시내용의 실시예들에 따르면, 도핑된 확장 구역들(202)은 (SEG) 프로세스를 통해 형성된다. 구체적으로, 반도체 기판(101)의 벌크 반도체 부분과 게이트 스페이서들(133) 사이에 배치되는, 반도체 핀(121)의 부분에 캐비티가 형성된다. 그런 다음, 캐비티는 n-도핑된 또는 p-도핑된 반도체 재료, 이를테면, 비소(As)가 도핑된 실리콘 재료(예컨대, 본원에서 Si:As로 또한 지칭됨) 또는 붕소(B)가 도핑된 실리콘 재료(예컨대, 본원에서 Si:B로 또한 지칭됨)로 충전된다. 따라서, finFET(100)에 대한 소스-드레인 확장부들은 반도체 기판(101)의 벌크 반도체 부분과 반도체 핀(121)의 기존 구조 사이에 있는, 반도체 핀(121)의 구역에 형성된다. 또한, 도핑된 확장 구역들(202)에 포함된 n-도펀트들은 고농도로 도핑된 구역들(201)에 위치된 n-도펀트들에 대한 확산 장벽으로서의 역할을 하도록 선택될 수 있다. 게이트 스페이서들(133)의 존재에 기인하여, 도핑된 확장 구역들(202)은 빔라인 이온 주입 또는 PLAD에 의해 형성될 수 없다는 것이 주목된다. 도핑된 확장 구역들(202)이 finFET(100)에 형성될 수 있게 하는 다양한 실시예들이 도 3 및 도 4a-도 4e와 함께 아래에서 설명된다.[0033] Further, according to embodiments of the present disclosure, the doped extension regions 202 are formed through a (SEG) process. Specifically, a cavity is formed in a portion of the semiconductor fin 121, which is disposed between the bulk semiconductor portion of the semiconductor substrate 101 and the gate spacers 133. The cavity is then an n-doped or p-doped semiconductor material, such as an arsenic (As) doped silicon material (e.g., also referred to herein as Si:As) or boron (B) doped silicon. Material (eg, also referred to herein as Si:B). Accordingly, source-drain extensions for finFET 100 are formed in the region of semiconductor fin 121, between the bulk semiconductor portion of semiconductor substrate 101 and the existing structure of semiconductor fin 121. Further, the n-dopants included in the doped extension regions 202 may be selected to serve as a diffusion barrier for n-dopants located in the heavily doped regions 201. It is noted that due to the presence of the gate spacers 133, the doped extension regions 202 cannot be formed by beamline ion implantation or PLAD. Various embodiments that allow doped extension regions 202 to be formed in finFET 100 are described below in conjunction with FIGS. 3 and 4A-4E.

[0034] 도 3은 본 개시내용의 다양한 실시예들에 따른, nMOS finFET를 형성하기 위한 제조 프로세스(300)의 흐름도이다. 당업자는, pMOS finFET가 유사한 제조 프로세스에 의해 형성될 수 있다는 것을 인식할 것이다. 도 4a-도 4e는 본 개시내용의 다양한 실시예들에 따른, 프로세스(300)의 다양한 스테이지들에 대응하는, 반도체 디바이스, 이를테면, 도 1의 finFET(100)의 개략적인 단면도들이다. 도핑된 확장 구역을 형성하기 위한 프로세스(300)가 예시되지만, 프로세스(300)는 기판 상에 다른 구조들을 형성하기 위해서도 또한 사용될 수 있다.[0034] 3 is a flow diagram of a fabrication process 300 for forming an nMOS finFET, in accordance with various embodiments of the present disclosure. Those of skill in the art will recognize that pMOS finFETs can be formed by similar manufacturing processes. 4A-4E are schematic cross-sectional views of a semiconductor device, such as finFET 100 of FIG. 1, corresponding to various stages of process 300, in accordance with various embodiments of the present disclosure. While a process 300 for forming a doped extension region is illustrated, the process 300 can also be used to form other structures on a substrate.

[0035] 프로세스(300)는 동작(301)에서 시작하고, 이 동작(301)에서, 도 4a에 도시된 바와 같이 반도체 핀(121) 상에 게이트 전극 구조(130) 및 게이트 스페이서들(133)이 형성된다. 도 4a에서 예시된 실시예에서, 반도체 핀(121)은 반도체 기판(101)의 일부분으로 형성된다.[0035] Process 300 begins in operation 301, in which operation 301, gate electrode structure 130 and gate spacers 133 are formed on semiconductor fin 121 as shown in FIG. 4A. . In the embodiment illustrated in FIG. 4A, the semiconductor fins 121 are formed as part of the semiconductor substrate 101.

[0036] 동작(302)에서, 반도체 기판(101)의 벌크 반도체 부분과 게이트 스페이서들(133) 사이에 배치되는, 반도체 핀(121)의 부분에 대해 비등방성 에칭 프로세스가 수행된다. 그 결과, 도 4b에서 예시된 바와 같이, 반도체 핀(121)의 반도체 재료의 하나 이상의 측벽 표면들(401)이 노출된다. 도시된 바와 같이, 측벽 표면(401)은 반도체 기판(101)의 벌크 반도체 부분과 finFET(100)의 기존 구조 사이에 배치된다. 즉, 측벽 표면(401)은 반도체 기판(101)과 게이트 스페이서들(133) 사이에 배치된다. 결과적으로, 측벽 표면(401)은 종래의 표면-법선 직선적 이온 주입 기법(surface-normal line-of-sight ion implantation technique)이 액세스할 수 없는, 반도체 핀(121)의 구역에 있다.[0036] In operation 302, an anisotropic etching process is performed on a portion of the semiconductor fin 121, which is disposed between the bulk semiconductor portion of the semiconductor substrate 101 and the gate spacers 133. As a result, as illustrated in FIG. 4B, one or more sidewall surfaces 401 of the semiconductor material of the semiconductor fin 121 are exposed. As shown, the sidewall surface 401 is disposed between the bulk semiconductor portion of the semiconductor substrate 101 and the existing structure of the finFET 100. That is, the sidewall surface 401 is disposed between the semiconductor substrate 101 and the gate spacers 133. As a result, the sidewall surface 401 is in the region of the semiconductor fin 121, which is inaccessible to conventional surface-normal line-of-sight ion implantation techniques.

[0037] 동작(302)의 비등방성 에칭 프로세스는, 측벽 표면(401)이 임의의 적절한 타겟 길이(401A)를 갖도록, 반도체 핀(121)으로부터 충분한 재료를 제거하도록 선택될 수 있다. 예컨대, 일부 실시예들에서, 동작(302)의 비등방성 에칭 프로세스는, 측벽 표면(401)이 약 5 nm 내지 약 10 nm의 타겟 길이(401A)를 갖도록 수행된다. 다른 실시예들에서, 측벽 표면(401)은, 게이트 스페이서들(133)의 기하학적 구조, 고농도로 도핑된 구역들(201) 내의 n-도펀트들의 농도, 채널 구역(205)의 치수들 및 다른 인자들에 따라, 10 nm 초과 또는 5 nm 미만의 타겟 길이(401A)를 가질 수 있다. 동작(302)의 비등방성 에칭 프로세스는 예컨대 DRIE(deep reactive-ion etch) 프로세스일 수 있고, 이 DRIE(deep reactive-ion etch) 프로세스 동안, 게이트 스페이서들(133) 및 finFET(100)의 다른 부분들이 마스킹된다.[0037] The anisotropic etching process of operation 302 may be selected to remove sufficient material from semiconductor fins 121 such that sidewall surface 401 has any suitable target length 401A. For example, in some embodiments, the anisotropic etching process of operation 302 is performed such that the sidewall surface 401 has a target length 401A of about 5 nm to about 10 nm. In other embodiments, the sidewall surface 401 is characterized by the geometry of the gate spacers 133, the concentration of n-dopants in the heavily doped regions 201, the dimensions of the channel region 205 and other factors. Depending on the case, it may have a target length 401A of more than 10 nm or less than 5 nm. The anisotropic etch process of operation 302 may be, for example, a deep reactive-ion etch (DRIE) process, and during this deep reactive-ion etch (DRIE) process, the gate spacers 133 and other parts of the finFET 100 Are masked.

[0038] 동작(303)에서, 도 4c에서 예시된 바와 같이, 반도체 핀(121)의 재료에 하나 이상의 캐비티들(402)을 형성하기 위해 등방성 에칭 프로세스가 측벽 표면(401)에 대해 수행된다. 도시된 바와 같이, 각각의 캐비티(402)는 표면(403)을 갖는다. 또한, 각각의 캐비티(402)는 반도체 기판(101)의 벌크 반도체 부분과 finFET(100)의 기존 구조(즉, 게이트 스페이서들(133) 중 하나) 사이에 배치된다. 결과적으로, 캐비티들(402)의 일부분들은 각각, 직선적 이온 주입 기법이 액세스할 수 없는, 반도체 핀(121)의 구역에 있다.[0038] In operation 303, an isotropic etching process is performed on the sidewall surface 401 to form one or more cavities 402 in the material of the semiconductor fin 121, as illustrated in FIG. 4C. As shown, each cavity 402 has a surface 403. In addition, each cavity 402 is disposed between the bulk semiconductor portion of the semiconductor substrate 101 and the existing structure of the finFET 100 (ie, one of the gate spacers 133 ). As a result, portions of the cavities 402 are each in a region of the semiconductor fin 121 that is inaccessible to the linear ion implantation technique.

[0039] 동작(303)의 등방성 에칭 프로세스는, 캐비티(402)가 임의의 적절한 타겟 폭(402A)을 갖도록, 반도체 핀(121)으로부터 충분한 재료를 제거하도록 선택될 수 있다. 예컨대, 일부 실시예들에서, 동작(303)의 등방성 에칭 프로세스는, 캐비티(402)가 약 2 nm 내지 약 10 nm의 타겟 폭(402A)을 갖도록 수행된다. 다른 실시예들에서, 측벽 표면(401)은, 게이트 스페이서들(133)의 기하학적 구조, 고농도로 도핑된 구역들(201) 내의 n-도펀트들 또는 p-도펀트들의 농도 및 다른 인자들에 따라, 10 nm 초과 또는 2 nm 미만의 타겟 폭(402A)을 가질 수 있다. 예컨대, 일부 실시예들에서, 타겟 폭(402A)은, 캐비티들(402)이 게이트 스페이서들(133)의 폭(133A)보다 약 1 nm 이하만큼 더 작은 타겟 폭(402A)을 갖도록 선택될 수 있다.[0039] The isotropic etching process of operation 303 may be selected to remove sufficient material from semiconductor fins 121 such that cavity 402 has any suitable target width 402A. For example, in some embodiments, the isotropic etching process of operation 303 is performed such that the cavity 402 has a target width 402A of about 2 nm to about 10 nm. In other embodiments, the sidewall surface 401 depends on the geometry of the gate spacers 133, the concentration of n-dopants or p-dopants in the heavily doped regions 201 and other factors, It may have a target width 402A greater than 10 nm or less than 2 nm. For example, in some embodiments, the target width 402A may be selected such that the cavities 402 have a target width 402A that is less than the width 133A of the gate spacers 133 by about 1 nm or less. have.

[0040] 동작(303)의 등방성 에칭 프로세스는 반도체 핀(121)의 반도체 재료에 선택적인 임의의 적절한 에칭 프로세스를 포함할 수 있다. 예컨대, 반도체 핀(121)이 실리콘(Si)을 포함할 때, 동작(303)의 등방성 에칭 프로세스는 HCl-기반 CVE(chemical vapor etch) 프로세스, HCl-기반 및 GeH4-기반 CVE 프로세스 및/또는 Cl2-기반 CVE 프로세스 중 하나 이상을 포함할 수 있다. 일부 실시예들에서, 동작(303)의 등방성 에칭 프로세스는 습식 에칭 프로세스 또는 건식 에칭 프로세스 중 하나 이상을 포함한다. 일부 실시예들에서, 동작(303)의 등방성 에칭 프로세스는 건식 에칭 프로세스를 포함한다.The isotropic etching process of operation 303 may include any suitable etching process selective to the semiconductor material of the semiconductor fin 121. For example, when the semiconductor fin 121 comprises silicon (Si), the isotropic etching process of operation 303 may be a HCl-based chemical vapor etch (CVE) process, an HCl-based and GeH 4 -based CVE process and/or It may include one or more of the Cl 2 -based CVE processes. In some embodiments, the isotropic etching process of operation 303 includes one or more of a wet etching process or a dry etching process. In some embodiments, the isotropic etching process of operation 303 includes a dry etching process.

[0041] 일부 실시예들에서, 선택적인 동작(304)이 수행되며, 이 동작(304)에서, 증착-전 세정 프로세스 또는 다른 표면 준비 프로세스가 캐비티들(402)의 표면들(403)에 대해 수행된다. 표면 준비 프로세스는, 동작(305)에서 수행되는 (SEG) 프로세스 전에, 표면(403) 상의 자연 옥사이드(native oxide)를 제거하도록 그리고 표면(403)을 다른 방식으로 준비하도록 수행될 수 있다. 표면 준비 프로세스는 건식 에칭 프로세스, 습식 에칭 프로세스, 또는 둘 모두의 조합을 포함할 수 있다.[0041] In some embodiments, an optional operation 304 is performed, in which operation 304 a pre-deposition cleaning process or other surface preparation process is performed on the surfaces 403 of the cavities 402. The surface preparation process may be performed to remove the native oxide on the surface 403 and to prepare the surface 403 in a different way prior to the (SEG) process performed in operation 305. The surface preparation process may include a dry etch process, a wet etch process, or a combination of both.

[0042] 그러한 실시예들에서, 건식 에칭 프로세스는 종래의 플라즈마 에칭 또는 원격 플라즈마-보조 건식 에칭 프로세스, 이를테면, 캘리포니아주 산타 클라라에 위치된 Applied Materials, Inc.로부터 입수가능한 SiCoNiTM 에칭 프로세스를 포함할 수 있다. SiCoNiTM 에칭 프로세스에서, 표면들(403)은 H2, NF3 및/또는 NH3 플라즈마 종(species), 예컨대, 플라즈마-여기된 수소 및 불소 종에 노출된다. 예컨대, 일부 실시예들에서, 표면들(403)은 H2, NF3 및 NH3 플라즈마에 대한 동시 노출을 경험할 수 있다. 동작(304)의 SiCoNiTM 에칭 프로세스는 SiCoNi Preclean 챔버에서 수행될 수 있으며, 이러한 SiCoNi Preclean 챔버는, Applied Materials로부터 입수가능한 CenturaTM, Dual ACP, ProducerTM GT 및 Endura 플랫폼을 포함한 다양한 멀티-프로세싱 플랫폼들 중 하나에 통합될 수 있다. 습식 에칭 프로세스는 HF(hydrofluoric) 산 최종 프로세스(acid last process), 즉, 소위 "HF 최종" 프로세스를 포함할 수 있으며, 여기서, 표면들(403)이 수소-종결되게 두는, 표면(403)의 HF 에칭이 수행된다. 대안적으로, 동작(304)에서, 임의의 다른 액체-기반 사전-에피택셜 사전-세정 프로세스가 사용될 수 있다. 일부 실시예들에서, 프로세스는 자연 옥사이드 제거를 위한 승화 에칭을 포함한다. 에칭 프로세스는 플라즈마 또는 열 기반일 수 있다. 플라즈마 프로세스들은 임의의 적절한 플라즈마(예컨대, 전도성 결합 플라즈마, 유도 결합 플라즈마, 마이크로파 플라즈마)일 수 있다.[0042] In such embodiments, the dry etch process may include a conventional plasma etch or a remote plasma-assisted dry etch process, such as a SiCoNi etch process available from Applied Materials, Inc. located in Santa Clara, CA. I can. In the SiCoNi etching process, the surfaces 403 are exposed to H 2 , NF 3 and/or NH 3 plasma species, such as plasma-excited hydrogen and fluorine species. For example, in some embodiments, surfaces 403 may experience simultaneous exposure to H 2 , NF 3 and NH 3 plasma. The SiCoNi TM etching process of operation 304 can be performed in a SiCoNi Preclean chamber, which SiCoNi Preclean chambers are available from Applied Materials, including Centura TM , Dual ACP, Producer TM GT and Endura platforms, including various multi-processing platforms. Can be incorporated into either. The wet etching process may comprise a hydrofluoric acid last process (HF), i.e., a so-called "HF final" process, wherein the surfaces 403 are subjected to hydrogen-termination. HF etching is performed. Alternatively, in operation 304, any other liquid-based pre-epitaxial pre-clean process may be used. In some embodiments, the process includes sublimation etching for natural oxide removal. The etching process can be plasma or heat based. Plasma processes can be any suitable plasma (eg, conductively coupled plasma, inductively coupled plasma, microwave plasma).

[0043] 일부 실시예들에서, 장치 또는 프로세스 툴은, 옥사이드 층의 형성을 방지하기 위해 진공 조건들 하에서 기판을 유지하도록 구성되며, 사전-에피택셜 사전-세정 프로세스가 사용되지 않는다. 이러한 종류의 실시예들에서, 프로세스 툴은, 기판을 대기 조건들에 노출시키지 않고, 에칭 프로세스 챔버로부터 에피택시 챔버로 기판을 이동시키도록 구성된다.[0043] In some embodiments, the apparatus or process tool is configured to hold the substrate under vacuum conditions to prevent formation of an oxide layer, and a pre-epitaxial pre-clean process is not used. In this kind of embodiments, the process tool is configured to move the substrate from the etch process chamber to the epitaxy chamber without exposing the substrate to atmospheric conditions.

[0044] 동작(305)에서, 도 4d에서 예시된 바와 같이, SEG(selective epitaxial growth) 프로세스가 증착 재료(406)의 층을 성장시키기 위해 표면들(403)에 대해 수행되어서, 도핑된 확장 구역들(202)을 형성한다. 구체적으로, 증착 재료는 실리콘과 같은 반도체 재료, 및 n-형 도펀트를 포함한다. 예컨대, 일부 실시예들에서, 증착 재료(406)는 Si:As를 포함하며, 여기서, 증착 재료(406) 내의 비소 농도는 finFET(100)의 전기적 요건들에 기반하여 선택된다. Si:As는 약 5x1021 원자/cm3만큼 높은 비소의 전기 활성 도펀트 농도로 (SEG)를 통해 증착될 수 있다는 것이 주목된다. 그러나, 도핑된 확장 구역들(202)에 존재하는 그러한 높은 비소 농도들은, As V(arsenic-vacancy) 복합체들의 원하지 않는 형성 및 채널 구역(205)으로의 비소 확산에 기인하여 비저항의 증가를 야기할 수 있다. 또한, AsP V(arsenic-phosphorous-vacancy) 복합체들이 도핑된 확장 구역들(202)에 형성되어서, 채널 구역(205)으로의 인의 증가된 확산을 유발할 수 있다. 결과적으로, 일부 실시예들에서, 증착 재료(406)는 약 5x1020 원자/cm3 이하의 비소의 전기 활성 도펀트 농도를 포함한다.[0044] In operation 305, as illustrated in FIG. 4D, a selective epitaxial growth (SEG) process is performed on the surfaces 403 to grow a layer of deposition material 406, such that the doped extension region Forms 202. Specifically, the deposition material includes a semiconductor material such as silicon, and an n-type dopant. For example, in some embodiments, the deposition material 406 includes Si:As, where the arsenic concentration in the deposition material 406 is selected based on the electrical requirements of the finFET 100. It is noted that Si:As can be deposited via (SEG) at an electroactive dopant concentration of arsenic as high as about 5x10 21 atoms/cm 3 . However, such high arsenic concentrations present in the doped expansion regions 202 will cause an increase in resistivity due to undesired formation of As V (arsenic-vacancy) complexes and arsenic diffusion into the channel region 205. I can. In addition, arsenic-phosphorous-vacancy (AsP V) complexes may be formed in the doped expansion regions 202, resulting in increased diffusion of phosphorus into the channel region 205. Consequently, in some embodiments, the deposition material 406 comprises an electroactive dopant concentration of arsenic of no more than about 5x10 20 atoms/cm 3 .

[0045] 일부 실시예들에서, 증착 재료(406)는 약 2 nm 내지 약 10 nm의 증착 두께(406A)를 가질 수 있다. 다른 실시예들에서, 증착 재료(406)는 finFET(100)의 특정 구성들에 대해 10 nm보다 더 두꺼운 증착 두께(406A)를 가질 수 있다. 일부 실시예들에서, 증착 두께(406A)는, 도 4d에 도시된 바와 같이 증착 재료(406)가 캐비티(402)를 완전히 충전하도록 선택된다. 다른 실시예들에서, 증착 두께(406A)는, 증착 재료(406)가 캐비티(402)를 부분적으로 충전하며 그리고 캐비티(402)를 형성하는, 반도체 핀(121)의 노출된 표면을 덮도록 선택된다.[0045] In some embodiments, the deposition material 406 can have a deposition thickness 406A of about 2 nm to about 10 nm. In other embodiments, the deposition material 406 may have a deposition thickness 406A that is greater than 10 nm for certain configurations of the finFET 100. In some embodiments, the deposition thickness 406A is selected such that the deposition material 406 completely fills the cavity 402 as shown in FIG. 4D. In other embodiments, the deposition thickness 406A is selected to cover the exposed surface of the semiconductor fin 121, where the deposition material 406 partially fills the cavity 402 and forms the cavity 402. do.

[0046] 동작(305)에서의 적절한 SEG 프로세스는, 특정 n-도핑된 또는 p-도핑된 반도체 재료의 선택적 성장을 가능하게 하도록 선택되는 특정 프로세스 온도들 및 압력들, 프로세스 가스들 및 가스 유동들을 포함할 수 있다. 특정 n-도핑된 반도체 재료가 Si:As를 포함하는 실시예들에서, 동작(305)의 SEG 프로세스에서 사용되는 도핑 가스는 AsH3, As(SiH3)3, AsCl3 또는 3차 부틸아르신(TBA)을 포함할 수 있다. SEG 프로세스에서 사용되는 다른 가스들은 디클로로실란(DCS), HCl, SiH4, Si2H6 및/또는 Si4H10을 포함할 수 있다. 그러한 실시예들에서, 동작(305)의 SEG 프로세스는 낮은 H2 캐리어 가스 유동을 갖는 대기압 또는 높은 부압(sub-atmospheric pressure) 챔버에서 수행될 수 있다. 예컨대, 그러한 실시예들에서, SEG 프로세스를 수행하는 프로세싱 챔버 내의 프로세스 압력은 약 20-700 T 정도일 수 있다. 그러한 실시예들에서, 높은 반응기 압력 및 (낮은 캐리어 가스 유동에 기인한) 낮은 희석은 높은 비소 및 높은 디클로로실란(H2SiCl2 또는 DCS) 부분 압력들을 산출하여서, SEG 프로세스 동안 표면(403)으로부터 염소(Cl) 및 과잉 비소의 제거를 촉진할 수 있다. 결과적으로, 높은 필름 성장 레이트 및 연관된 높은 비소 혼입 레이트들이 실현되고, 우수한 결정 품질이 달성될 수 있다. 일부 실시예들에서, 사용된 도핑 가스는 p-도핑된 반도체 재료를 제공한다. 일부 실시예들에서, p-도핑된 반도체 재료는 붕소(B), 알루미늄(Al), 갈륨(Ga) 또는 인듐(In) 중 하나 이상을 포함한다. 일부 실시예들에서, 도핑 전구체는 보란, 디보란 또는 이들의 플라즈마들 중 하나 이상을 포함한다.[0046] A suitable SEG process in operation 305 may include specific process temperatures and pressures, process gases and gas flows selected to enable selective growth of a particular n-doped or p-doped semiconductor material. Can include. In embodiments where the specific n-doped semiconductor material comprises Si:As, the doping gas used in the SEG process of operation 305 is AsH 3 , As(SiH 3 ) 3 , AsCl 3, or tertiary butylarsine. (TBA) may be included. Other gases used in the SEG process may include dichlorosilane (DCS), HCl, SiH 4 , Si 2 H 6 and/or Si 4 H 10 . In such embodiments, the SEG process of operation 305 may be performed in an atmospheric or high sub-atmospheric pressure chamber with a low H 2 carrier gas flow. For example, in such embodiments, the process pressure in the processing chamber performing the SEG process may be on the order of 20-700 T. In such embodiments, high reactor pressure and low dilution (due to low carrier gas flow) yields high arsenic and high dichlorosilane (H 2 SiCl 2 or DCS) partial pressures from surface 403 during the SEG process. It can promote the removal of chlorine (Cl) and excess arsenic. As a result, high film growth rates and associated high arsenic incorporation rates are realized, and good crystal quality can be achieved. In some embodiments, the doping gas used provides a p-doped semiconductor material. In some embodiments, the p-doped semiconductor material includes one or more of boron (B), aluminum (Al), gallium (Ga), or indium (In). In some embodiments, the doping precursor includes one or more of borane, diborane, or plasmas thereof.

[0047] 동작(305)의 SEG 프로세스는 임의의 적절한 프로세싱 챔버, 이를테면, Applied Materials로부터 입수가능한 ProducerTM GT, CenturaTM AP 및 Endura 플랫폼을 포함한 다양한 멀티-프로세싱 플랫폼들 중 하나에 통합되는 프로세싱 챔버에서 수행될 수 있다. 그러한 실시예들에서, 동작(304)의 SiCoNiTM 에칭 프로세스는 동일한 멀티-프로세싱 플랫폼의 다른 챔버에서 수행될 수 있다.[0047] The SEG process of operation 305 is in any suitable processing chamber, such as a processing chamber integrated into one of a variety of multi-processing platforms including Producer TM GT, Centura TM AP and Endura platforms available from Applied Materials. Can be done. In such embodiments, the SiCoNi etch process of operation 304 may be performed in another chamber of the same multi-processing platform.

[0048] 동작(306)에서, 도 4e에서 예시된 바와 같이, 고농도로 도핑된 구역들(201)이 형성되는 제2 SEG 프로세스가 수행된다. 고농도로 도핑된 구역들(201)은 도핑된 확장 구역들(202) 상에 형성된다. 고농도로 도핑된 구역들(201)은 도핑된 실리콘, 도핑된 실리콘 게르마늄, 도핑된 실리콘 탄소 등을 포함하는 임의의 적절한 반도체 재료로 형성될 수 있다. 도펀트 또는 도펀트들은 인과 같은 임의의 적절한 n-도펀트를 포함할 수 있다. 예컨대, 일부 실시예들에서, 고농도로 도핑된 구역들(201)은 인-도핑된 실리콘(Si:P)을 포함할 수 있다. 임의의 적절한 SEG 프로세스가, 고농도로 도핑된 구역들(201)을 형성하기 위해 사용될 수 있다. 고농도로 도핑된 구역들(201)의 두께 및 다른 필름 특성들은, finFET(100)의 전기 요건들, finFET(100)의 사이즈 및 다른 인자들에 기반하여 선택될 수 있다.[0048] In operation 306, a second SEG process is performed in which heavily doped regions 201 are formed, as illustrated in FIG. 4E. The heavily doped regions 201 are formed on the doped expansion regions 202. The heavily doped regions 201 may be formed of any suitable semiconductor material including doped silicon, doped silicon germanium, doped silicon carbon, and the like. The dopant or dopants may include any suitable n-dopant such as phosphorus. For example, in some embodiments, heavily doped regions 201 may include phosphorus-doped silicon (Si:P). Any suitable SEG process can be used to form heavily doped regions 201. The thickness of the heavily doped regions 201 and other film properties may be selected based on the electrical requirements of the finFET 100, the size of the finFET 100, and other factors.

[0049] 일부 실시예들에서, 제2 SEG 프로세스는 동작(305)의 SEG 프로세스와 동일한 프로세스 챔버에서 수행된다. 따라서, 도핑된 확장 구역들(202)은, 고농도로 도핑된 구역들(201)의 형성 동안 사실상 예비 증착 단계인 단계에서 형성될 수 있다. 결과적으로, 그러한 실시예들에서, 도핑된 확장 구역들(202)을 형성하기 위한 전용 프로세스 챔버는 필요하지 않으며, (도핑된 확장 구역들(202)의 SEG를 수행하기 위한) 제1 프로세스 챔버로부터 (고농도로 도핑된 구역들(201)의 SEG를 수행하기 위한) 제2 프로세스 챔버로 기판을 이송하기 위한 부가적인 시간이 회피된다. 게다가, 증착 재료(406)는 그러한 실시예들에서 공기에 노출되지 않는다. 대안적으로, 일부 실시예들에서, 제2 SEG 프로세스가 동작(305)의 SEG 프로세스와 상이한 프로세스 챔버에서 수행되어서, 비소와 같은 위험한 도펀트들에 노출되는 프로세스 챔버들의 수를 감소시킨다. 그러한 실시예들에서, 챔버들 둘 모두가 동일한 멀티-프로세싱 플랫폼에 통합되어서, 진공 파괴 및 공기에 대한 증착 재료(406)의 노출이 회피될 수 있다.[0049] In some embodiments, the second SEG process is performed in the same process chamber as the SEG process of operation 305. Thus, the doped extension regions 202 may be formed in a step that is in fact a pre-deposition step during the formation of the heavily doped regions 201. As a result, in such embodiments, a dedicated process chamber for forming the doped expansion regions 202 is not required, and from the first process chamber (to perform the SEG of the doped expansion regions 202). The additional time to transfer the substrate to the second process chamber (for performing the SEG of heavily doped regions 201) is avoided. In addition, the deposition material 406 is not exposed to air in such embodiments. Alternatively, in some embodiments, the second SEG process is performed in a different process chamber than the SEG process of operation 305 to reduce the number of process chambers exposed to dangerous dopants such as arsenic. In such embodiments, both chambers are integrated into the same multi-processing platform, so that vacuum breakdown and exposure of the deposition material 406 to air can be avoided.

[0050] 동작(306) 후에, finFET(100)의 나머지 구성요소들은 종래의 제작 기법들을 사용하여 완성될 수 있다.[0050] After operation 306, the remaining components of finFET 100 can be completed using conventional fabrication techniques.

[0051] 프로세스(300)의 구현은 정밀하게 정의된 위치에서, 즉, 종래의 이온 주입 기법들로 액세스하기 어려운 반도체 핀(121)의 구역에서, 도핑된 확장 구역들(202)의 형성을 가능하게 한다. 게다가, 도핑된 확장 구역(202)이 형성되게 하는 프로세스가, finFET의 제작 시에 이미 사용된 기존 선택적 에피택셜 성장 단계에 통합되어서, finFET를 형성하기 위한 프로세스 흐름에 대한 방해들이 최소화되거나 또는 제거될 수 있다. 또한, 주입 손상, 즉, 무거운 질량 이온 주입(heavy mass ion implantation)으로 인한 결함들, 이를테면, 실리콘 틈새들, 또는 심지어 실리콘 비정질화 뿐만 아니라, 그러한 결정 결함들과 고농도들의 비소 및/또는 인 사이의 임의의 유해한 상호작용들이 회피된다. 그러므로, 주입 후 어닐링(post implant anneal) 또는 프로세스들에 영향을 미치는 연관된 부가적인 열 버짓이 필요하지 않다. 또한, 동작(305)의 SEG 프로세스가 동작(306)의 SEG 프로세스와 동일한 프로세스 챔버에서 수행되거나 또는 동일한 멀티-프로세싱 플랫폼 상의 상이한 프로세스 챔버들에서 수행될 때, 부가적인 사전-세정 관련 재료 손실이 또한 회피되는데, 그 이유는 도핑된 확장 구역들(202)의 증착과 고농도로 도핑된 구역들(201)의 증착 사이에 진공 파괴가 발생하지 않기 때문이다.[0051] The implementation of the process 300 allows the formation of doped extension regions 202 at precisely defined locations, ie in the region of the semiconductor fin 121 that is difficult to access with conventional ion implantation techniques. In addition, the process by which the doped extension region 202 is formed is incorporated into the existing selective epitaxial growth step already used in the fabrication of the finFET, so that disturbances to the process flow to form the finFET will be minimized or eliminated. I can. In addition, implantation damage, i.e. defects due to heavy mass ion implantation, such as silicon fissures, or even silicon amorphization, as well as between such crystal defects and high concentrations of arsenic and/or phosphorus. Any harmful interactions are avoided. Therefore, there is no need for post implant anneal or the associated additional thermal budget to affect the processes. In addition, when the SEG process of operation 305 is performed in the same process chamber as the SEG process of operation 306 or in different process chambers on the same multi-processing platform, additional pre-clean-related material losses are also This is avoided because vacuum breakdown does not occur between the deposition of the doped extension regions 202 and the heavily doped regions 201.

[0052] 기술분야에서 잘 알려진 바와 같이, nMOS finFET의 채널 구역 안으로의 인장 변형의 도입은 nMOS finFET에서의 전하 이동도를 증가시킬 수 있다. 또한, 본원에서 설명된 바와 같이, 반도체 핀(121)의 채널 구역(205)에 인접하게 에피택셜 성장된 Si:As 재료의 형성은, 채널 구역(205)에 상당한 인장 변형을 도입할 수 있다. 예컨대, 본 개시내용의 일부 실시예들에 따르면, n-도핑된 확장 구역들은, 도핑된 확장 구역들(202) 내에 타겟 인장 변형을 초래하기에 충분한 비소 농도로 증착될 수 있다. 따라서, 증착 재료(406)가 에피택셜 성장된 Si:As를 포함하는 실시예들에서, finFET(100)에서의 도핑된 확장 구역들(202)의 형성의 부가적인 이점은, 채널 구역(205)이 n-도핑된 확장 구역들의 형성에 의해 이 채널 구역(205)에 도입된 인장 변형의 결과로서 개선된 전하 이동도를 가질 수 있다는 점이다. 일부 실시예들에서, 예컨대 게르마늄(Ge), 안티모니(Sb) 및/또는 틴(Sn)은 채널에 압축 응력을 제공하기 위해 p-도핑된 확장 구역으로 도핑된다.[0052] As is well known in the art, the introduction of tensile strain into the channel region of the nMOS finFET can increase the charge mobility in the nMOS finFET. Also, as described herein, the formation of an epitaxially grown Si:As material adjacent to the channel region 205 of the semiconductor fin 121 can introduce significant tensile strain to the channel region 205. For example, in accordance with some embodiments of the present disclosure, n-doped expansion regions may be deposited at a concentration of arsenic sufficient to cause a target tensile strain within the doped expansion regions 202. Thus, in embodiments where the deposition material 406 comprises epitaxially grown Si:As, an additional advantage of the formation of doped extension regions 202 in finFET 100 is channel region 205 The formation of these n-doped extension regions allows for improved charge mobility as a result of the tensile strain introduced into this channel region 205. In some embodiments, for example germanium (Ge), antimony (Sb) and/or tin (Sn) are doped with a p-doped extension region to provide compressive stress to the channel.

[0053] 일부 실시예들에서, 선택적인 탄소-함유 층이 캐비티들(402)에 형성된다. 그러한 실시예들에서, 탄소-함유 층은 도핑된 확장 구역(202)과 고농도로 n-도핑된 구역(201) 사이의 라이너일 수 있다. 그러한 일 실시예가 도 5에서 예시된다.[0053] In some embodiments, an optional carbon-containing layer is formed in the cavities 402. In such embodiments, the carbon-containing layer may be a liner between the doped expansion region 202 and the heavily n-doped region 201. One such embodiment is illustrated in FIG. 5.

[0054] 도 5는 본 개시내용의 다양한 실시예들에 따른, 캐비티들(402)의 형성 후의 finFET(100)의 개략적인 단면도이다. 도시된 바와 같이, 탄소-함유 층(501)이 증착 재료(406)의 표면(407) 상에 증착된다. 탄소(C)의 존재는 인의 확산을 감소시키면서 비소의 확산을 증가시킬 수 있다. 따라서, 일부 실시예들에서, 탄소-함유 층(501)은 약 0.5% 내지 약 1.0%의 탄소를 포함한다. 그러한 실시예들에서, 탄소-함유 층(501)은 예컨대 약 1x1020 원자/cm3 내지 약 5x1020 원자/cm3의 인을 더 포함할 수 있다. 그러한 탄소-함유 층은 대기 또는 거의 대기의 SEG 챔버에서 약 650 ℃ ± 50 ℃의 프로세스 온도에서 성장될 수 있다. 따라서, 탄소-함유 층(501)이 Si:C:P를 포함하는 실시예들에서, Si:P(고농도로 n-도핑된 구역(201)), Si:C:P(탄소-함유 층(501)) 및 Si:As(도핑된 확장 구역들(202))를 포함하는 삼중층 구조가 형성된다. 그러한 삼중층 구조는, 채널 구역(205)으로부터 멀어져 고농도로 n-도핑된 구역(201) 쪽으로 향하는 비소의 확산을 유발할 수 있다.5 is a schematic cross-sectional view of finFET 100 after formation of cavities 402, according to various embodiments of the present disclosure. As shown, a carbon-containing layer 501 is deposited on the surface 407 of the deposition material 406. The presence of carbon (C) can increase the diffusion of arsenic while reducing the diffusion of phosphorus. Thus, in some embodiments, the carbon-containing layer 501 includes about 0.5% to about 1.0% carbon. In such embodiments, the carbon-containing layer 501 may further include phosphorus of, for example, about 1x10 20 atoms/cm 3 to about 5x10 20 atoms/cm 3 . Such a carbon-containing layer can be grown at a process temperature of about 650° C.±50° C. in an atmospheric or near atmospheric SEG chamber. Thus, in embodiments where the carbon-containing layer 501 comprises Si:C:P, Si:P (highly n-doped region 201), Si:C:P (carbon-containing layer ( 501)) and Si:As (doped expansion regions 202). Such a triple layer structure can cause diffusion of arsenic away from the channel region 205 and towards the highly n-doped region 201.

[0055] 일부 실시예들에서, 종래의 이온 주입 기법들을 통해 액세스할 수 없는, 나노와이어 구조의 구역들에서, 이 나노와이어 구조의 일부로서, n-도핑된 반도체 재료가 형성될 수 있다. 그러한 일 실시예의 형성이 도 6 및 도 7a-도 7e와 함께 아래에서 설명된다.[0055] In some embodiments, as part of the nanowire structure, an n-doped semiconductor material may be formed in regions of the nanowire structure that are not accessible through conventional ion implantation techniques. The formation of one such embodiment is described below in conjunction with FIGS. 6 and 7A-E.

[0056] 도 6은 본 개시내용의 다양한 실시예들에 따른, 나노와이어 구조(700)를 형성하기 위한 제조 프로세스(600)의 흐름도이다. 도 7a-도 7e는 본 개시내용의 실시예들에 따른, 프로세스(600)의 다양한 스테이지들에 대응하는, 나노와이어 구조(700)의 개략적인 단면도들이다. 나노와이어 구조에 n-도핑된 구역을 형성하기 위한 프로세스(600)가 묘사되지만, 프로세스(600)는 기판 상에 다른 구조들을 형성하기 위해서도 또한 사용될 수 있다.[0056] 6 is a flow diagram of a manufacturing process 600 for forming a nanowire structure 700, in accordance with various embodiments of the present disclosure. 7A-7E are schematic cross-sectional views of nanowire structure 700, corresponding to various stages of process 600, according to embodiments of the present disclosure. Although a process 600 for forming n-doped regions in a nanowire structure is depicted, process 600 can also be used to form other structures on a substrate.

[0057] 프로세스(600)는 동작(601)에서 시작하고, 이 동작(601)에서, 도 7a에서 예시된 바와 같이 벌크 반도체 기판(701) 상에 교번하는 실리콘 층들(710) 및 실리콘-게르마늄(SiGe) 층들이 형성된다. 벌크 반도체 기판(701)은 실리콘, 실리콘 게르마늄, 또는 임의의 다른 적절한 벌크 결정질 반도체 재료로 형성될 수 있다. 실리콘 층들(710) 및 실리콘-게르마늄 층들(720)은 각각, SEG 프로세스를 통해 형성될 수 있으며, 통상적으로 결정질 반도체 재료를 포함할 수 있다.[0057] Process 600 begins at operation 601, at which operation 601, alternating silicon layers 710 and silicon-germanium (SiGe) layers on the bulk semiconductor substrate 701 as illustrated in FIG. 7A. Are formed. The bulk semiconductor substrate 701 may be formed of silicon, silicon germanium, or any other suitable bulk crystalline semiconductor material. The silicon layers 710 and the silicon-germanium layers 720 may each be formed through an SEG process, and may typically include a crystalline semiconductor material.

[0058] 동작(602)에서, 실리콘 층들(710) 및 실리콘-게르마늄 층들(720)은 도 7b에서 예시된 바와 같이 실리콘 층들(710) 상의 수직 측벽들(711) 및 실리콘-게르마늄 층들(720) 상의 수직 측벽들(721)을 노출시키기 위해 패터닝 및 에칭된다. 일부 실시예들에서, 동작(602)은 DRIE 프로세스를 포함한다.[0058] In operation 602, silicon layers 710 and silicon-germanium layers 720 are vertical sidewalls 711 on silicon layers 710 and vertical sidewalls on silicon-germanium layers 720 as illustrated in FIG. 7B. Patterned and etched to expose s 721. In some embodiments, operation 602 includes a DRIE process.

[0059] 동작(603)에서, 실리콘-게르마늄 층들(720)은 도 7c에서 예시된 바와 같이 캐비티들(706)을 형성하기 위해 수직 측벽들(721)로부터 안쪽으로 선택적으로 에칭된다. 일부 실시예들에서, CVE(chemical vapor etching) 프로세스가 실리콘 층들(710) 위의 실리콘-게르마늄 층들(720)을 선택적으로 제거하기 위해 사용된다. 예컨대, 감압-화학 기상 증착 반응기(reduced pressure-chemical vapor deposition reactor)에서 SiGe 대 Si의 가스성 염산 선택적 에칭이 입증되었다. 대안적으로, 동작(603)에서, 엑스-시튜(ex-situ) HF-디핑(dip) 이후에, 에피 반응기(epi reactor)에서 인-시튜(in-situ)로 수행되는 GeH4-강화 Si 에칭이 사용될 수 있다.[0059] In operation 603, silicon-germanium layers 720 are selectively etched inward from vertical sidewalls 721 to form cavities 706 as illustrated in FIG. 7C. In some embodiments, a chemical vapor etching (CVE) process is used to selectively remove the silicon-germanium layers 720 over the silicon layers 710. For example, a gaseous hydrochloric acid selective etching of SiGe to Si in a reduced pressure-chemical vapor deposition reactor has been demonstrated. Alternatively, in operation 603, after ex-situ HF-dip, a GeH4-reinforced Si etching performed in-situ in an epi reactor. Can be used.

[0060] 그런 다음, 동작(604)에서, 로우-k 재료(704)가 도 7d에서 예시된 바와 같이 벌크 반도체 기판(701) 상에 컨포멀 증착된다. 로우-k 재료(704)가 캐비티들(706)의 적어도 일부분을 충전한다.[0060] Then, in operation 604, a low-k material 704 is conformally deposited on the bulk semiconductor substrate 701 as illustrated in FIG. 7D. Low-k material 704 fills at least a portion of cavities 706.

[0061] 동작(605)에서, 로우-k 재료(704)는 도 7e에서 예시된 바와 같이 실리콘 층들(710) 상의 수직 측벽들(711) 및 실리콘-게르마늄 층들(720) 상의 충전된 캐비티들(706)을 노출시키기 위해 패터닝 및 에칭된다. 일부 실시예들에서, 동작(605)은 DRIE 프로세스를 포함한다. 충전된 캐비티들(706)은 스페이서들(702)을 형성하고, 여기서, 각각의 스페이서(702)는 실리콘-게르마늄 층(720)의 에지 구역(705)에 형성된다.[0061] In operation 605, the low-k material 704 fills the vertical sidewalls 711 on the silicon layers 710 and filled cavities 706 on the silicon-germanium layers 720 as illustrated in FIG. 7E. Patterned and etched to expose. In some embodiments, operation 605 includes a DRIE process. Filled cavities 706 form spacers 702, where each spacer 702 is formed in the edge region 705 of the silicon-germanium layer 720.

[0062] 동작(606)에서, 실리콘 층들(710)의 일부분들이 도 7f에 도시된 바와 같이 캐비티들(706)을 형성하기 위해 에지 구역들(705)로부터 선택적으로 제거된다. 실리콘은 CVE 프로세스, 이를테면, 스페이서들(702) 위의 실리콘에 선택적인 CVE 프로세스를 통해 에지 구역들(705)로부터 제거될 수 있다. 일부 실시예들에서, CVE 프로세스는 HCl-기반 CVE 프로세스, HCl-기반 및 GeH4-기반 CVE 프로세스, 및/또는 Cl2-기반 CVE 프로세스 중 하나 이상을 포함할 수 있다.In operation 606, portions of silicon layers 710 are selectively removed from edge regions 705 to form cavities 706 as shown in FIG. 7F. Silicon may be removed from edge regions 705 through a CVE process, such as a CVE process selective to silicon over spacers 702. In some embodiments, the CVE process may include one or more of an HCl-based CVE process, an HCl-based and GeH 4 -based CVE process, and/or a Cl 2 -based CVE process.

[0063] 동작(607)에서, n-도핑된 실리콘 재료(718)가 도 7g에서 예시된 바와 같이 SEG 프로세스를 통해 캐비티들(706)에서 성장된다. 일부 실시예들에서, n 도펀트는 비소이고, n-도핑된 실리콘 재료는 Si:As를 포함한다. 그러한 실시예들에서, 동작(605)의 SEG 프로세스는 위에서 제시된 프로세스(300)에서의 동작(305)의 SEG 프로세스와 실질적으로 유사할 수 있다.[0063] In operation 607, n-doped silicon material 718 is grown in the cavities 706 through the SEG process as illustrated in FIG. 7G. In some embodiments, the n dopant is arsenic and the n-doped silicon material includes Si:As. In such embodiments, the SEG process of operation 605 may be substantially similar to the SEG process of operation 305 in process 300 presented above.

[0064] 대안적인 실시예들에서, 스페이서들(702)은, 실리콘-게르마늄 층들(720)의 일부분들을 선택적으로 에칭하는 것 ―그런 다음, 이 일부분들은 로우-k 재료(704)로 충전됨― 이 아닌, 실리콘-게르마늄 층들(720)의 일부분들을 선택적으로 산화시킴으로써 형성될 수 있다.[0064] In alternative embodiments, the spacers 702 are not selectively etching portions of the silicon-germanium layers 720-then these portions are then filled with low-k material 704- , May be formed by selectively oxidizing portions of the silicon-germanium layers 720.

[0065] 프로세스(600)의 구현은 도핑된 구역들, 즉, n-도핑된 실리콘 재료(708)로 충전된 캐비티들(706)을 포함하는 나노와이어 구조(700)의 형성을 가능하게 한다. 반도체 기판(701)의 벌크 반도체 부분과 나노와이어 구조(700)의 기존 구조 사이에 캐비티들(706)이 배치되기 때문에, 위에서 설명된 도핑된 구역들은 직선적 이온 주입 기법들에 의해 액세스가능하지 않다는 것이 주목된다. 결과적으로, 그러한 도핑된 구역들은 종래의 기법들을 통해 형성될 수 없다.[0065] Implementation of process 600 enables formation of nanowire structure 700 comprising doped regions, ie cavities 706 filled with n-doped silicon material 708. Because the cavities 706 are disposed between the bulk semiconductor portion of the semiconductor substrate 701 and the existing structure of the nanowire structure 700, it is noted that the doped regions described above are not accessible by linear ion implantation techniques. Noted. As a result, such doped regions cannot be formed through conventional techniques.

[0066] 도 8은 본 개시내용의 다른 실시예를 예시한다. 당업자는, 도 8에서 예시된 방법(800)이 프로세스(300) 또는 프로세스(600)과 조합될 수 있다는 것을 인식할 것이다. 도 8 및 도 4a 내지 도 4e를 참조하면, 방법(800)은 801에서 시작하고, 여기서, 반도체 기판이 프로세싱을 위해 제공된다. 반도체 기판은 이 반도체 기판 상에 반도체 재료를 갖는다. 본 명세서 및 첨부된 청구항들에서 사용되는 바와 같이, "제공된"이란 용어는, 기판이 프로세싱을 위한 포지션에 배치되는 것을 의미한다. 예컨대, 기판은 프로세싱을 위해 제1 프로세싱 챔버 내에 배치될 수 있다.[0066] 8 illustrates another embodiment of the present disclosure. Those of skill in the art will recognize that the method 800 illustrated in FIG. 8 may be combined with the process 300 or 600. 8 and 4A-4E, the method 800 begins at 801, where a semiconductor substrate is provided for processing. The semiconductor substrate has a semiconductor material on this semiconductor substrate. As used in this specification and the appended claims, the term “provided” means that the substrate is placed in a position for processing. For example, the substrate may be placed in a first processing chamber for processing.

[0067] 동작(802)에서, 비등방성 에칭 프로세스가 반도체 기판 상의 반도체 재료에 대해 수행된다. 비등방성 에칭 프로세스는 반도체 재료의 표면을 노출시킨다. 일부 실시예들에서, 동작(802)은 수행되지 않는다. 일부 실시예들의 노출된 표면은 반도체 기판의 벌크 반도체 부분과 반도체 디바이스의 기존 구조 사이에 배치되는데, 반도체 재료는 반도체 기판의 벌크 반도체 부분 상에 형성된다.[0067] In operation 802, an anisotropic etching process is performed on the semiconductor material on the semiconductor substrate. The anisotropic etching process exposes the surface of the semiconductor material. In some embodiments, operation 802 is not performed. The exposed surface of some embodiments is disposed between the bulk semiconductor portion of the semiconductor substrate and the existing structure of the semiconductor device, the semiconductor material being formed on the bulk semiconductor portion of the semiconductor substrate.

[0068] 동작(803)에서, 기판의 벌크 반도체 부분과 기존 구조 사이에 배치되는 반도체 재료를 오목하게 하기 위해, 노출된 측벽에 대해 등방성 에칭 프로세스가 수행된다. 측벽이 일정 거리로 오목하게 되어, 캐비티가 형성된다. 측벽이 오목하게 되는 양(amount)은 예컨대 등방성 에칭 조건들에 기반하여 변화될 수 있다.[0068] In operation 803, an isotropic etching process is performed on the exposed sidewalls to concave the semiconductor material disposed between the bulk semiconductor portion of the substrate and the existing structure. The side walls are concave by a certain distance, and a cavity is formed. The amount by which the sidewall becomes concave can be varied based on, for example, isotropic etching conditions.

[0069] 동작(804)에서, 반도체 재료가 등방성 에칭 프로세스에 의해 오목하게 된 거리가 결정된다. 오목부 거리(recess distance)는, 당업자에게 알려진 임의의 적절한 기법에 의해 측정될 수 있다. 일부 실시예들에서, 오목부 거리는 굴절계측(refractometry)에 의해 결정된다.[0069] In operation 804, the distance at which the semiconductor material has been concave by the isotropic etching process is determined. The recess distance can be measured by any suitable technique known to a person skilled in the art. In some embodiments, the recess distance is determined by refractometry.

[0070] 동작(805)에서, SEG(selective epitaxial growth) 프로세스를 통해 캐비티의 표면 상에 증착 재료의 층이 형성된다. 일부 실시예들의 기판은 캐비티의 형성과 SEG 사이에 사전-세정 프로세스를 겪지 않는다. 일부 실시예들에서, 기판은 캐비티의 형성과 SEG 프로세스 사이에 대기 조건들 또는 산화 조건들에 노출되지 않는다.[0070] In operation 805, a layer of deposition material is formed on the surface of the cavity through a selective epitaxial growth (SEG) process. The substrate of some embodiments does not undergo a pre-clean process between the formation of the cavity and the SEG. In some embodiments, the substrate is not exposed to atmospheric conditions or oxidation conditions between the formation of the cavity and the SEG process.

[0071] 일부 실시예들의 SEG 프로세스는, 오목부의 거리에 기반하여, 미리 결정된 방법으로부터 조정된다. 예컨대, 미리 결정된 방법이 5 Å의 오목부 깊이를 위해 구성되고 실제 측정된 오목부 깊이가 6 Å이면, SEG 조건들은 차이를 보상하기에 충분한 필름을 성장시키도록 변경될 수 있다. 일부 실시예들에서, SEG 프로세스는 하나 초과의 유형의 성장을 수행하도록 조정된다. 예컨대, 오목부 깊이가 미리 결정된 제한치를 초과하면, SEG 프로세스는, 도핑된 증착 재료의 형성 전에 실리콘을 증착함으로써 시작할 수 있다.[0071] The SEG process of some embodiments is adjusted from a predetermined method, based on the distance of the recess. For example, if the predetermined method is configured for a depression depth of 5 Å and the actual measured depression depth is 6 Å, the SEG conditions can be changed to grow a film sufficient to compensate for the difference. In some embodiments, the SEG process is tuned to perform more than one type of growth. For example, if the depth of the depression exceeds a predetermined limit, the SEG process can begin by depositing silicon prior to formation of the doped deposition material.

[0072] 하나 이상의 실시예들에서, 동작(803), 동작(804) 및 동작(805)은 APC(advanced process controls)를 사용함으로써 통합된다. 본원에서 사용되는 바와 같이, "통합된"이란 용어는, 측방향 푸시 및 에피택셜 성장이 (진공 프로세싱 하에서) 동일한 플랫폼에서 수행됨을 의미한다. 동작(804)에서, 통합 계측은 오목부 거리의 양을 결정하기 위해 사용될 수 있다. 일부 실시예들에서, 통합 계측은 인 시튜로 수행된다. 일단 오목부 거리가 통합 계측에 의해 결정되었다면, 측정들이 에피택셜 툴에 피딩될 것이며, 따라서 보상이 수행될 수 있다(예컨대, 제1 에피택셜 층의 두께/조성(composition)이 이에 따라서 조정될 수 있음). 일부 실시예들에서, APC는 산란계측(즉, OCD(optical critical dimension) 계측), 굴절계측, 타원계측 또는 e-빔 중 하나 이상을 포함한다.[0072] In one or more embodiments, operation 803, operation 804, and operation 805 are integrated by using advanced process controls (APC). As used herein, the term “integrated” means that lateral push and epitaxial growth are performed on the same platform (under vacuum processing). In operation 804, an integrated metric may be used to determine the amount of recess distance. In some embodiments, the integrated metrology is performed in situ. Once the concave distance has been determined by integrated metrology, the measurements will be fed to the epitaxial tool, so compensation can be performed (e.g., the thickness/composition of the first epitaxial layer can be adjusted accordingly. ). In some embodiments, the APC includes one or more of scatterometry (ie, optical critical dimension (OCD) measurement), refractometry, ellipsmetry, or e-beam.

[0073] 도 9를 참조하면, 본 개시내용의 부가적인 실시예들은 본원에서 설명된 방법들을 실행하기 위한 프로세싱 툴들(900)에 관한 것이다. 도 9는 본 개시내용의 하나 이상의 실시예에 따라, 기판을 프로세싱하기 위해 사용될 수 있는 시스템(900)을 예시한다. 시스템(900)은 클러스터 툴로 지칭될 수 있다. 시스템(900)은 중앙 이송 스테이션(910)을 포함하고, 이 중앙 이송 스테이션(910)은 내부에 로봇(912)을 갖는다. 로봇(912)은 단일 블레이드 로봇으로서 예시되지만; 당업자들은, 다른 로봇(912) 구성들이 본 개시내용의 범위 내에 있다는 것을 인식할 것이다. 로봇(912)은 중앙 이송 스테이션(910)에 연결된 챔버들 사이에서 하나 이상의 기판을 이동시키도록 구성된다.[0073] Referring to FIG. 9, additional embodiments of the present disclosure relate to processing tools 900 for performing the methods described herein. 9 illustrates a system 900 that may be used to process a substrate, in accordance with one or more embodiments of the present disclosure. System 900 may be referred to as a cluster tool. The system 900 includes a central transfer station 910, which has a robot 912 therein. The robot 912 is illustrated as a single blade robot; Those of skill in the art will recognize that other robot 912 configurations are within the scope of the present disclosure. The robot 912 is configured to move one or more substrates between chambers connected to the central transfer station 910.

[0074] 적어도 하나의 사전-세정/완충 챔버(920)가 중앙 이송 스테이션(910)에 연결된다. 사전-세정/완충 챔버(920)는 히터, 라디칼 소스 또는 플라즈마 소스 중 하나 이상을 포함할 수 있다. 사전-세정/완충 챔버(920)는 개별적인 반도체 기판용 또는 프로세싱을 위한 웨이퍼들의 카세트용 홀딩 영역으로서 사용될 수 있다. 사전-세정/완충 챔버(920)는 사전-세정 프로세스들을 수행할 수 있거나, 또는 프로세싱을 위해 기판을 예열할 수 있거나, 또는 단순히 프로세스 시퀀스를 위한 스테이징 영역일 수 있다. 일부 실시예들에서, 중앙 이송 스테이션(910)에 연결된 2 개의 사전-세정/완충 챔버들(920)이 있다.[0074] At least one pre-clean/buffer chamber 920 is connected to the central transfer station 910. The pre-clean/buffer chamber 920 may include one or more of a heater, a radical source, or a plasma source. The pre-clean/buffer chamber 920 may be used as a holding area for an individual semiconductor substrate or a cassette of wafers for processing. The pre-clean/buffer chamber 920 may perform pre-clean processes, or may preheat a substrate for processing, or may simply be a staging area for a process sequence. In some embodiments, there are two pre-clean/buffer chambers 920 connected to the central transfer station 910.

[0075] 도 9에 도시된 실시예에서, 사전-세정 챔버들(920)은 공장 인터페이스(905)와 중앙 이송 스테이션(910) 사이의 통과(pass through) 챔버들로서의 역할을 할 수 있다. 공장 인터페이스(905)는 카세트로부터 사전-세정/완충 챔버(920)로 기판을 이동시키기 위한 하나 이상의 로봇(906)을 포함할 수 있다. 그런 다음, 로봇(912)은 사전-세정/완충 챔버(920)로부터 시스템(900) 내의 다른 챔버들로 기판을 이동시킬 수 있다.[0075] In the embodiment shown in FIG. 9, the pre-clean chambers 920 may serve as pass through chambers between the factory interface 905 and the central transfer station 910. Factory interface 905 may include one or more robots 906 for moving the substrate from the cassette to the pre-clean/buffer chamber 920. The robot 912 can then move the substrate from the pre-clean/buffer chamber 920 to other chambers in the system 900.

[0076] 제1 프로세싱 챔버(930)가 중앙 이송 스테이션(910)에 연결될 수 있다. 제1 프로세싱 챔버(930)는 비등방성 에칭 챔버로서 구성될 수 있고, 반응성 가스들의 하나 이상의 유동들을 제1 프로세싱 챔버(930)에 제공하기 위한 하나 이상의 반응성 가스 소스들과 유체 연통할 수 있다. 기판은, 격리 밸브(914)를 통과하는 로봇(912)에 의해 증착 챔버(930)로 그리고 증착 챔버(930)로부터 이동될 수 있다.[0076] The first processing chamber 930 may be connected to the central transfer station 910. The first processing chamber 930 may be configured as an anisotropic etch chamber and may be in fluid communication with one or more reactive gas sources for providing one or more flows of reactive gases to the first processing chamber 930. The substrate can be moved to and from the deposition chamber 930 by the robot 912 passing through the isolation valve 914.

[0077] 프로세싱 챔버(940)가 또한, 중앙 이송 스테이션(910)에 연결될 수 있다. 일부 실시예들에서, 프로세싱 챔버(940)는 등방성 에칭 챔버를 포함하고, 등방성 에칭 프로세스를 수행하기 위해, 반응성 가스의 유동들을 프로세싱 챔버(940)에 제공하기 위한 하나 이상의 반응성 가스 소스들과 유체 연통한다. 기판은, 격리 밸브(914)를 통과하는 로봇(912)에 의해 증착 챔버(940)로 그리고 증착 챔버(940)로부터 이동될 수 있다.[0077] The processing chamber 940 can also be connected to the central transfer station 910. In some embodiments, the processing chamber 940 includes an isotropic etch chamber and is in fluid communication with one or more reactive gas sources to provide flows of reactive gas to the processing chamber 940 to perform the isotropic etch process. do. The substrate can be moved to and from the deposition chamber 940 by the robot 912 passing through the isolation valve 914.

[0078] 프로세싱 챔버(945)가 또한, 중앙 이송 스테이션(910)에 연결될 수 있다. 일부 실시예들에서, 프로세싱 챔버(945)는 프로세싱 챔버(940)와 동일한 프로세스를 수행하도록 구성된 동일한 유형의 프로세싱 챔버(940)이다. 프로세싱 챔버(940)에서 발생하는 프로세스가 프로세싱 챔버(930)에서의 프로세스보다 훨씬 더 오래 걸리는 경우, 이 배열(arrangement)은 유용할 수 있다.[0078] The processing chamber 945 can also be connected to the central transfer station 910. In some embodiments, processing chamber 945 is the same type of processing chamber 940 configured to perform the same process as processing chamber 940. If the process taking place in the processing chamber 940 takes much longer than the process in the processing chamber 930, this arrangement may be useful.

[0079] 일부 실시예들에서, 프로세싱 챔버(960)는 중앙 이송 스테이션(910)에 연결되고, 선택적 에피택셜 성장 챔버로서의 역할을 하도록 구성된다. 프로세싱 챔버(960)는 하나 이상의 상이한 에피택셜 성장 프로세스들을 수행하도록 구성될 수 있다.[0079] In some embodiments, the processing chamber 960 is connected to the central transfer station 910 and is configured to serve as an optional epitaxial growth chamber. The processing chamber 960 may be configured to perform one or more different epitaxial growth processes.

[0080] 일부 실시예들에서, 비등방성 에칭 프로세스는 등방성 에칭 프로세스와 동일한 프로세싱 챔버에서 발생한다. 이러한 종류의 실시예들에서, 프로세싱 챔버(930) 및 프로세싱 챔버(960)는 동시에 2 개의 기판들에 대해 에칭 프로세스들을 수행하도록 구성될 수 있고, 프로세싱 챔버(940) 및 프로세싱 챔버(945)는 선택적 에피택셜 성장 프로세스들을 수행하도록 구성될 수 있다.[0080] In some embodiments, the anisotropic etching process occurs in the same processing chamber as the isotropic etching process. In this kind of embodiments, processing chamber 930 and processing chamber 960 may be configured to perform etching processes on two substrates at the same time, and processing chamber 940 and processing chamber 945 are optional. It can be configured to perform epitaxial growth processes.

[0081] 일부 실시예들에서, 프로세싱 챔버들(930, 940, 945 및 960) 각각은 프로세싱 방법의 상이한 부분들을 수행하도록 구성된다. 예컨대, 프로세싱 챔버(930)는 비등방성 에칭 프로세스를 수행하도록 구성될 수 있고, 프로세싱 챔버(940)는 등방성 에칭 프로세스를 수행하도록 구성될 수 있고, 프로세싱 챔버(945)는 계측 스테이션으로 구성되거나 또는 제1 선택적 에피택셜 성장 프로세스를 수행하도록 구성될 수 있으며, 프로세싱 챔버(960)는 제2 에피택셜 성장 프로세스를 수행하도록 구성될 수 있다. 당업자는, 툴 상의 개별적인 프로세싱 챔버의 수 및 배열이 변화될 수 있다는 것과 도 9에서 예시된 실시예가 단지 하나의 가능한 구성을 대표한다는 것을 인식할 것이다.[0081] In some embodiments, each of the processing chambers 930, 940, 945 and 960 is configured to perform different portions of the processing method. For example, the processing chamber 930 may be configured to perform an anisotropic etching process, the processing chamber 940 may be configured to perform an isotropic etching process, and the processing chamber 945 may be configured as a metrology station or Can be configured to perform one selective epitaxial growth process, and processing chamber 960 can be configured to perform a second epitaxial growth process. One of ordinary skill in the art will recognize that the number and arrangement of individual processing chambers on the tool may be varied and that the embodiment illustrated in FIG. 9 is representative of only one possible configuration.

[0082] 일부 실시예들에서, 프로세싱 시스템(900)은 하나 이상의 계측 스테이션들을 포함한다. 예컨대, 계측 스테이션들은 사전-세정/완충 챔버(920) 내에, 중앙 이송 스테이션(910) 내에, 또는 개별적인 프로세싱 챔버들 중 임의의 개별적인 프로세싱 챔버 내에 위치될 수 있다. 계측 스테이션은, 기판을 산화 환경에 노출시키지 않고, 오목부의 거리가 측정될 수 있게 하는, 시스템(900) 내의 임의의 포지션일 수 있다.[0082] In some embodiments, processing system 900 includes one or more metrology stations. For example, metrology stations may be located within the pre-clean/buffer chamber 920, within the central transfer station 910, or within any of the individual processing chambers. The metrology station can be any position within system 900 that allows the distance of the recess to be measured without exposing the substrate to an oxidizing environment.

[0083] 적어도 하나의 제어기(950)가 중앙 이송 스테이션(910), 사전-세정/완충 챔버(920), 프로세싱 챔버들(930, 940, 945 또는 960) 중 하나 이상에 커플링된다. 일부 실시예들에서, 개별적인 챔버들 또는 스테이션들에 연결된 하나 초과의 제어기(950)가 있으며, 1차 제어 프로세서가 시스템(900)을 제어하기 위해 별개의 프로세서들 각각에 커플링된다. 제어기(950)는, 다양한 챔버들 및 서브-프로세서들을 제어하기 위해 산업 현장에서 사용될 수 있는 임의의 형태의 범용 컴퓨터 프로세서, 마이크로제어기, 마이크로프로세서 등 중 하나일 수 있다.[0083] At least one controller 950 is coupled to one or more of the central transfer station 910, pre-clean/buffer chamber 920, and processing chambers 930, 940, 945 or 960. In some embodiments, there is more than one controller 950 connected to individual chambers or stations, and a primary control processor is coupled to each of the separate processors to control the system 900. The controller 950 may be any type of general purpose computer processor, microcontroller, microprocessor, or the like that can be used in an industrial field to control various chambers and sub-processors.

[0084] 적어도 하나의 제어기(950)는 프로세서(952), 프로세서(952)에 커플링된 메모리(954), 프로세서(952)에 커플링된 입력/출력 디바이스들(956), 및 상이한 전자 구성요소들 사이에서 통신하기 위한 지원 회로들(958)을 가질 수 있다. 메모리(954)는 일시적 메모리(예컨대, 랜덤 액세스 메모리) 및 비-일시적 메모리(예컨대, 스토리지) 중 하나 이상을 포함할 수 있다.[0084] At least one controller 950 includes a processor 952, a memory 954 coupled to the processor 952, input/output devices 956 coupled to the processor 952, and between different electronic components. May have support circuits 958 for communicating in Memory 954 may include one or more of temporary memory (eg, random access memory) and non-transitory memory (eg, storage).

[0085] 프로세서의 메모리(954) 또는 컴퓨터-판독가능 매체는, RAM(random access memory), ROM(read-only memory), 플로피 디스크, 하드 디스크 또는 임의의 다른 형태의 디지털 스토리지(로컬 또는 원격)와 같은 용이하게 이용가능한 메모리 중 하나 이상일 수 있다. 메모리(954)는 시스템(900)의 파라미터들 및 구성요소들을 제어하기 위해 프로세서(952)에 의해 동작가능한 명령 세트를 보유할 수 있다. 지원 회로들(958)은 종래의 방식으로 프로세서를 지원하기 위해 프로세서(952)에 커플링된다. 회로들은 예컨대 캐시, 전력 공급부들, 클록 회로들, 입력/출력 회로소자, 서브시스템들 등을 포함할 수 있다.[0085] The processor's memory 954 or computer-readable medium can be easily used, such as random access memory (RAM), read-only memory (ROM), floppy disk, hard disk, or any other form of digital storage (local or remote). It may be one or more of the available memory. Memory 954 may hold a set of instructions operable by processor 952 to control parameters and components of system 900. Support circuits 958 are coupled to the processor 952 to support the processor in a conventional manner. Circuits may include, for example, cache, power supplies, clock circuits, input/output circuitry, subsystems, and the like.

[0086] 프로세스들은 일반적으로, 프로세서에 의해 실행될 때 프로세스 챔버로 하여금 본 개시내용의 프로세스들을 수행하게 하는 소프트웨어 루틴으로서 메모리에 저장될 수 있다. 소프트웨어 루틴은 또한, 프로세서에 의해 제어되는 하드웨어로부터 원격으로 위치되는 제2 프로세서(미도시)에 의해 저장 및/또는 실행될 수 있다. 본 개시내용의 방법의 일부 또는 전부는 또한, 하드웨어로 수행될 수 있다. 따라서, 프로세스는 소프트웨어로 구현될 수 있고, 예컨대 주문형 집적 회로 또는 다른 유형의 하드웨어 구현으로서 또는 소프트웨어와 하드웨어의 조합으로서 하드웨어로 컴퓨터 시스템을 사용하여 실행될 수 있다. 소프트웨어 루틴은, 프로세서에 의해 실행될 때, 범용 컴퓨터를, 프로세스들이 수행되도록 챔버 동작을 제어하는 특수 목적 컴퓨터(제어기)로 변환한다.[0086] Processes may generally be stored in memory as software routines that when executed by a processor cause the process chamber to perform the processes of the present disclosure. The software routine may also be stored and/or executed by a second processor (not shown) located remotely from hardware controlled by the processor. Some or all of the methods of the present disclosure may also be performed in hardware. Thus, the process may be implemented in software, for example as a custom integrated circuit or other type of hardware implementation, or as a combination of software and hardware, using a computer system in hardware. The software routine, when executed by the processor, converts a general purpose computer into a special purpose computer (controller) that controls chamber operation so that processes are performed.

[0087] 일부 실시예들에서, 제어기(950)는 방법을 수행하도록 개별적인 프로세스들 또는 서브-프로세스들을 실행하기 위한 하나 이상의 구성들을 갖는다. 제어기(950)는 방법들의 기능들을 수행하기 위해 중간 구성요소들에 연결될 수 있고 이러한 중간 구성요소들을 동작시키도록 구성될 수 있다. 예컨대, 제어기(950)는 가스 밸브들, 액추에이터들, 모터들, 슬릿 밸브들, 진공 제어부 등 중 하나 이상에 연결될 수 있고 이들을 제어하도록 구성될 수 있다.[0087] In some embodiments, controller 950 has one or more configurations for executing individual processes or sub-processes to perform a method. Controller 950 may be connected to and configured to operate intermediate components to perform the functions of the methods. For example, the controller 950 may be connected to and configured to control one or more of gas valves, actuators, motors, slit valves, vacuum controller, and the like.

[0088] 일부 실시예들의 제어기(950)는, 복수의 프로세싱 챔버들과 계측 스테이션 사이에서 로봇 상의 기판을 이동시키기 위한 구성; 기판에 대해 비등방성 에칭 프로세스를 수행하기 위한 구성; 프로세싱 챔버에서 기판에 대해 등방성 에칭 프로세스를 수행하기 위한 구성; 계측 스테이션에서 반도체 재료의 오목부를 결정하기 위한 분석을 수행하기 위한 구성; 에피택시 챔버에서 선택적 에피택셜 성장 프로세스를 수행하기 위한 구성; 반도체 재료의 오목부를 고려하기 위해 선택적 에피택셜 성장 프로세스 레시피를 조정하기 위한 구성; 벌크 선택적 에피택셜 성장 프로세스를 수행하기 위한 구성; 시스템으로부터 기판들을 로딩(load) 및/또는 언로딩(unload)하기 위한 구성으로부터 선택되는 하나 이상의 구성들을 갖는다.[0088] The controller 950 of some embodiments includes a configuration for moving a substrate on a robot between a plurality of processing chambers and a metrology station; A configuration for performing an anisotropic etching process on the substrate; A configuration for performing an isotropic etching process on the substrate in the processing chamber; A configuration for performing an analysis to determine a recess in the semiconductor material at the measurement station; A configuration for performing a selective epitaxial growth process in the epitaxy chamber; A configuration for adjusting a selective epitaxial growth process recipe to account for recesses in the semiconductor material; A configuration for performing a bulk selective epitaxial growth process; It has one or more configurations selected from configurations for loading and/or unloading substrates from the system.

[0089] 요약하면, 본 개시내용의 하나 이상의 실시예들은, 반도체 기판의 벌크 반도체 부분과 반도체 디바이스의 기존 구조 사이에 배치되는 도핑된 반도체 재료의 구역들 ―도핑된 실리콘-함유 재료는 반도체 기판의 벌크 반도체 부분 상에 형성됨― 을 형성하기 위한 시스템들 및 기법들을 제공한다. 반도체 디바이스가 finFET 디바이스를 포함하는 실시예들에서, 도핑된 반도체 재료는 반도체 기판의 벌크 반도체 부분과 finFET의 게이트 스페이서 사이에 배치되는 도핑된 소스 및/또는 드레인 확장부를 형성하는데, 이러한 도핑된 소스 또는 드레인 확장부는 반도체 기판의 벌크 반도체 부분 상에 배치된다.[0089] In summary, one or more embodiments of the present disclosure include regions of doped semiconductor material disposed between the bulk semiconductor portion of the semiconductor substrate and the existing structure of the semiconductor device-the doped silicon-containing material is the bulk semiconductor portion of the semiconductor substrate. Formed on-provides systems and techniques for forming. In embodiments in which the semiconductor device comprises a finFET device, the doped semiconductor material forms a doped source and/or drain extension disposed between the bulk semiconductor portion of the semiconductor substrate and the gate spacer of the finFET, such a doped source or The drain extension is disposed on the bulk semiconductor portion of the semiconductor substrate.

[0090] 본 명세서 전반에 걸쳐 "일 실시예", "특정 실시예들", "하나 이상의 실시예들" 또는 "실시예"에 대한 언급은, 실시예와 관련하여 설명된 특정 특징, 구조, 재료 또는 특성이 본 개시내용의 적어도 하나의 실시예에 포함됨을 의미한다. 따라서, 본 명세서 전반에 걸쳐 다양한 곳들에서 "하나 이상의 실시예들에서", "특정 실시예들에서", "일 실시예에서" 또는 "실시예에서"와 같은 문구들의 출현들이 반드시 본 개시내용의 동일한 실시예를 지칭하는 것은 아니다. 또한, 특정 특징들, 구조들, 재료들 또는 특성들은 하나 이상의 실시예들에서 임의의 적절한 방식으로 조합될 수 있다.[0090] Reference throughout this specification to “one embodiment”, “specific embodiments”, “one or more embodiments” or “an embodiment” refers to a particular feature, structure, material, or characteristic described in connection with the embodiment. It is meant to be included in at least one embodiment of the present disclosure. Accordingly, appearances of phrases such as "in one or more embodiments", "in certain embodiments", "in one embodiment" or "in an embodiment" in various places throughout this specification are necessarily included in the Not referring to the same embodiment. Further, certain features, structures, materials or properties may be combined in any suitable manner in one or more embodiments.

[0091] 본원의 개시내용이 특정 실시예들을 참조하여 설명되었지만, 당업자들은, 설명된 실시예들이 단지 본 개시내용의 원리들 및 애플리케이션들을 예시한다는 것을 이해할 것이다. 본 개시내용의 사상 및 범위를 벗어나지 않고, 본 개시내용의 방법 및 장치에 대해 다양한 수정들 및 변형들이 행해질 수 있다는 것이 당업자들에게 자명할 것이다. 따라서, 본 개시내용은 첨부된 청구항들 및 그들의 등가물들의 범위 내에 있는 수정들 및 변형들을 포함할 수 있다.[0091] While the disclosure herein has been described with reference to specific embodiments, those skilled in the art will understand that the described embodiments merely illustrate the principles and applications of the present disclosure. It will be apparent to those skilled in the art that various modifications and variations can be made to the method and apparatus of the present disclosure without departing from the spirit and scope of the present disclosure. Accordingly, this disclosure may include modifications and variations that fall within the scope of the appended claims and their equivalents.

Claims (15)

반도체 디바이스를 형성하는 방법으로서,
반도체 기판 상의 반도체 재료의 표면을 노출시키기 위해 상기 반도체 재료에 대해 비등방성 에칭 프로세스를 수행하는 단계 ―상기 표면은 상기 반도체 기판의 벌크 반도체 부분과 상기 반도체 디바이스의 기존 구조 사이에 배치되는데, 상기 반도체 재료는 상기 반도체 기판의 벌크 반도체 부분 상에 형성됨―;
상기 반도체 기판의 상기 벌크 반도체 부분과 상기 기존 구조 사이에 배치되는 상기 반도체 재료를 일정 거리만큼 오목하게 하여 캐비티를 형성하기 위해, 노출된 측벽에 대해 등방성 에칭 프로세스를 수행하는 단계; 및
선택적 에피택셜 성장(SEG; selective epitaxial growth) 프로세스를 통해 상기 캐비티의 표면 상에 증착 재료의 층을 형성하는 단계
를 포함하고,
상기 기판은 상기 캐비티의 형성과 SEG 사이에 사전-세정 프로세스를 겪지 않는,
반도체 디바이스를 형성하는 방법.
As a method of forming a semiconductor device,
Performing an anisotropic etching process on the semiconductor material to expose the surface of the semiconductor material on the semiconductor substrate, the surface being disposed between the bulk semiconductor portion of the semiconductor substrate and the existing structure of the semiconductor device, the semiconductor material Is formed on the bulk semiconductor portion of the semiconductor substrate;
Performing an isotropic etching process on the exposed sidewalls to form a cavity by concave the semiconductor material disposed between the bulk semiconductor portion of the semiconductor substrate and the existing structure by a predetermined distance; And
Forming a layer of a deposition material on the surface of the cavity through a selective epitaxial growth (SEG) process
Including,
The substrate does not undergo a pre-clean process between the formation of the cavity and the SEG,
A method of forming a semiconductor device.
제1 항에 있어서,
상기 등방성 에칭은 제1 프로세스 챔버에서 발생하고, 상기 방법은, 상기 제1 프로세스 챔버로부터 상기 SEG 프로세스를 위한 제2 프로세스 챔버로 상기 기판을 이동시키는 단계를 더 포함하는,
반도체 디바이스를 형성하는 방법.
The method of claim 1,
The isotropic etching occurs in a first process chamber, and the method further comprises moving the substrate from the first process chamber to a second process chamber for the SEG process,
A method of forming a semiconductor device.
제2 항에 있어서,
상기 등방성 에칭 후에 그리고 상기 SEG 프로세스 전에 상기 반도체 재료가 오목하게 된 거리를 결정하는 단계를 더 포함하는,
반도체 디바이스를 형성하는 방법.
The method of claim 2,
Determining a distance at which the semiconductor material has become concave after the isotropic etching and prior to the SEG process,
A method of forming a semiconductor device.
제3 항에 있어서,
상기 반도체 재료가 오목하게 된 거리에 기반하여 상기 SEG 프로세스를 조정하는 단계를 더 포함하는,
반도체 디바이스를 형성하는 방법.
The method of claim 3,
Adjusting the SEG process based on the distance the semiconductor material has become concave,
A method of forming a semiconductor device.
제4 항에 있어서,
상기 증착 재료의 층을 형성하는 단계 전에 상기 반도체 재료의 일부분을 에피택셜 성장시키는 단계를 더 포함하는,
반도체 디바이스를 형성하는 방법.
The method of claim 4,
Further comprising epitaxially growing a portion of the semiconductor material prior to forming the layer of the deposition material,
A method of forming a semiconductor device.
제3 항에 있어서,
상기 반도체 재료가 오목하게 된 거리는 굴절계측(refractometry)을 포함하는,
반도체 디바이스를 형성하는 방법.
The method of claim 3,
The distance at which the semiconductor material is concave includes refractometry,
A method of forming a semiconductor device.
제3 항에 있어서,
상기 등방성 에칭 프로세스는 상기 반도체 재료에 선택적인 에칭 프로세스를 포함하는,
반도체 디바이스를 형성하는 방법.
The method of claim 3,
The isotropic etching process comprises an etching process selective to the semiconductor material,
A method of forming a semiconductor device.
제3 항에 있어서,
상기 증착 재료의 층을 형성하는 단계는, 상기 증착 재료로 상기 캐비티를 충전하는 단계를 포함하는,
반도체 디바이스를 형성하는 방법.
The method of claim 3,
The step of forming the layer of the deposition material comprises filling the cavity with the deposition material,
A method of forming a semiconductor device.
제3 항에 있어서,
상기 증착 재료의 층을 형성하는 단계 전에, 상기 캐비티의 표면 상에 탄소-함유 재료를 증착하는 단계를 더 포함하고, 상기 탄소-함유 재료는 실리콘-탄소-인(SiCP) 재료를 포함하는,
반도체 디바이스를 형성하는 방법.
The method of claim 3,
Prior to forming the layer of the deposition material, further comprising depositing a carbon-containing material on the surface of the cavity, the carbon-containing material comprising a silicon-carbon-phosphorus (SiCP) material,
A method of forming a semiconductor device.
제3 항에 있어서,
상기 반도체 재료에 캐비티를 형성하기 위해, 노출된 측벽에 대해 등방성 에칭 프로세스를 수행하는 단계는, 인-도핑된 벌크 반도체 재료를 포함하는 상기 반도체 재료의 부분이 노출될 때까지, 상기 반도체 재료를 제거하는 단계를 포함하는,
반도체 디바이스를 형성하는 방법.
The method of claim 3,
To form a cavity in the semiconductor material, performing an isotropic etching process on the exposed sidewall includes removing the semiconductor material until a portion of the semiconductor material comprising phosphorus-doped bulk semiconductor material is exposed. Including the step of,
A method of forming a semiconductor device.
제3 항에 있어서,
상기 증착 재료는 비소(As)를 포함하는 n-형 도펀트를 포함하고, 상기 SEG(selective epitaxial growth) 프로세스는 AsCl3, TBA 또는 AsH3 중 적어도 하나, 그리고 디클로로실란(DCS), HCl, SiH4, Si2H6 또는 Si4H10 중 적어도 하나에 상기 캐비티의 표면을 노출시키는 것을 포함하는,
반도체 디바이스를 형성하는 방법.
The method of claim 3,
The deposition material includes an n-type dopant containing arsenic (As), and the SEG (selective epitaxial growth) process includes at least one of AsCl 3 , TBA or AsH 3 , and dichlorosilane (DCS), HCl, SiH 4 , Si 2 H 6 or Si 4 H 10 comprising exposing the surface of the cavity to at least one,
A method of forming a semiconductor device.
제3 항에 있어서,
상기 증착 재료는 붕소(B)를 포함하는 p-형 도펀트를 포함하고, 상기 SEG(selective epitaxial growth) 프로세스는 보란, 디보란 또는 이들의 플라즈마들 중 하나 이상에 상기 캐비티의 표면을 노출시키는 것을 포함하는,
반도체 디바이스를 형성하는 방법.
The method of claim 3,
The deposition material includes a p-type dopant comprising boron (B), and the selective epitaxial growth (SEG) process includes exposing the surface of the cavity to one or more of borane, diborane, or plasmas thereof. doing,
A method of forming a semiconductor device.
제3 항에 있어서,
SEG(selective epitaxial growth) 프로세스를 통해, 상기 비등방성 에칭 프로세스가 수행되지 않는 상기 반도체 재료의 부분 상에 부가 증착 재료의 층을 형성하는 단계를 더 포함하고, 상기 부가 증착 재료는 실리콘(Si) 및 인(P)을 포함하는,
반도체 디바이스를 형성하는 방법.
The method of claim 3,
Forming a layer of an additional deposition material on a portion of the semiconductor material where the anisotropic etching process is not performed through a selective epitaxial growth (SEG) process, wherein the additional deposition material is silicon (Si) and Containing phosphorus (P),
A method of forming a semiconductor device.
제1 항에 있어서,
상기 등방성 에칭 프로세스와 상기 SEG 프로세스는 진공 프로세싱 하에서 동일한 플랫폼에서 수행되는,
반도체 디바이스를 형성하는 방법.
The method of claim 1,
The isotropic etching process and the SEG process are performed on the same platform under vacuum processing,
A method of forming a semiconductor device.
반도체 디바이스를 형성하기 위한 프로세싱 툴로서,
중앙 이송 스테이션 ―상기 중앙 이송 스테이션은 상기 중앙 이송 스테이션 주위에 배치된 복수의 프로세싱 챔버들을 가짐―;
상기 복수의 프로세싱 챔버들 사이에서 기판을 이동시키도록 구성된, 상기 중앙 이송 스테이션 내의 로봇;
상기 중앙 이송 스테이션에 연결된 제1 프로세싱 챔버 ―상기 제1 프로세싱 챔버는 등방성 에칭 프로세스를 수행하도록 구성됨―;
상기 로봇이 액세스가능한, 상기 프로세싱 툴 내의 계측(metrology) 스테이션 ―상기 계측 스테이션은 상기 등방성 에칭 프로세스에 의한, 기판 상의 반도체 재료의 오목부(recess)의 거리를 결정하도록 구성됨―;
상기 중앙 이송 스테이션에 연결된 제2 프로세싱 챔버 ―상기 제2 프로세싱 챔버는 SEG(selective epitaxial growth) 프로세스를 수행하도록 구성됨―; 및
상기 중앙 이송 스테이션, 상기 로봇, 상기 제1 프로세싱 챔버, 상기 계측 스테이션 또는 상기 제2 프로세스 챔버 중 하나 이상에 연결된 제어기
를 포함하고,
상기 제어기는, 상기 복수의 프로세싱 챔버들과 상기 계측 스테이션 사이에서 상기 로봇 상의 기판을 이동시키기 위한 제1 구성; 상기 제1 프로세싱 챔버에서 기판에 대해 등방성 에칭 프로세스를 수행하기 위한 제2 구성; 상기 계측 스테이션에서 반도체 재료의 오목부를 결정하기 위한 분석을 수행하기 위한 제3 구성; 또는 상기 제2 프로세싱 챔버에서 선택적 에피택셜 성장 프로세스를 수행하기 위한 제4 구성으로부터 선택되는 하나 이상의 구성들을 가지며, 상기 선택적 에피택셜 성장 프로세스는 상기 반도체 재료의 상기 오목부를 위해 조정되는,
반도체 디바이스를 형성하기 위한 프로세싱 툴.
As a processing tool for forming a semiconductor device,
A central transfer station, the central transfer station having a plurality of processing chambers disposed around the central transfer station;
A robot in the central transfer station, configured to move a substrate between the plurality of processing chambers;
A first processing chamber connected to the central transfer station, the first processing chamber configured to perform an isotropic etching process;
A metrology station within the processing tool, accessible to the robot, the metrology station configured to determine a distance of a recess of semiconductor material on a substrate by the isotropic etching process;
A second processing chamber connected to the central transfer station, the second processing chamber configured to perform a selective epitaxial growth (SEG) process; And
A controller connected to at least one of the central transfer station, the robot, the first processing chamber, the metrology station, or the second process chamber
Including,
The controller includes: a first configuration for moving a substrate on the robot between the plurality of processing chambers and the metrology station; A second configuration for performing an isotropic etching process on a substrate in the first processing chamber; A third configuration for performing an analysis to determine a recess in a semiconductor material at the measurement station; Or a fourth configuration for performing a selective epitaxial growth process in the second processing chamber, wherein the selective epitaxial growth process is adapted for the recess of the semiconductor material,
A processing tool for forming semiconductor devices.
KR1020217003480A 2018-07-05 2019-07-05 Integrated CMOS Source Drain Formation with Advanced Control KR102425907B1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020227018096A KR102495729B1 (en) 2018-07-05 2019-07-05 Integrated cmos source drain formation with advanced control

Applications Claiming Priority (7)

Application Number Priority Date Filing Date Title
US201862694424P 2018-07-05 2018-07-05
US62/694,424 2018-07-05
US201862702645P 2018-07-24 2018-07-24
US62/702,645 2018-07-24
US16/502,555 US11309404B2 (en) 2018-07-05 2019-07-03 Integrated CMOS source drain formation with advanced control
US16/502,555 2019-07-03
PCT/US2019/040677 WO2020010299A1 (en) 2018-07-05 2019-07-05 Integrated cmos source drain formation with advanced control

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020227018096A Division KR102495729B1 (en) 2018-07-05 2019-07-05 Integrated cmos source drain formation with advanced control

Publications (2)

Publication Number Publication Date
KR20210016091A true KR20210016091A (en) 2021-02-10
KR102425907B1 KR102425907B1 (en) 2022-07-27

Family

ID=69059839

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020217003480A KR102425907B1 (en) 2018-07-05 2019-07-05 Integrated CMOS Source Drain Formation with Advanced Control
KR1020227018096A KR102495729B1 (en) 2018-07-05 2019-07-05 Integrated cmos source drain formation with advanced control

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020227018096A KR102495729B1 (en) 2018-07-05 2019-07-05 Integrated cmos source drain formation with advanced control

Country Status (5)

Country Link
US (2) US11309404B2 (en)
KR (2) KR102425907B1 (en)
CN (1) CN112385046A (en)
SG (1) SG11202012204YA (en)
WO (1) WO2020010299A1 (en)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11271096B2 (en) * 2020-04-01 2022-03-08 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming fin field effect transistor device structure
US11935793B2 (en) 2020-05-29 2024-03-19 Taiwan Semiconductor Manufacturing Co., Ltd. Dual dopant source/drain regions and methods of forming same
US11688741B2 (en) 2021-03-26 2023-06-27 International Business Machines Corporation Gate-all-around devices with isolated and non-isolated epitaxy regions for strain engineering
US20230178633A1 (en) * 2021-12-08 2023-06-08 Taiwan Semiconductor Manufacturing Company, Ltd. Self-filling spacer structure

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060115949A1 (en) * 2004-12-01 2006-06-01 Freescale Semiconductor, Inc. Semiconductor fabrication process including source/drain recessing and filling
US20060148151A1 (en) * 2005-01-04 2006-07-06 Anand Murthy CMOS transistor junction regions formed by a CVD etching and deposition sequence
US20100097615A1 (en) * 2007-02-28 2010-04-22 Nippon Telegraph And Telephone Corporation Optical Reflectometry and Optical Reflectometer
US20110003450A1 (en) * 2009-07-03 2011-01-06 Young-Ho Lee Method for manufacturing semicondutor device with strained channel
US20170330960A1 (en) * 2016-05-11 2017-11-16 Applied Materials, Inc. Forming non-line-of-sight source drain extension in an nmos finfet using n-doped selective epitaxial growth

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7094613B2 (en) 2003-10-21 2006-08-22 Applied Materials, Inc. Method for controlling accuracy and repeatability of an etch process
US7601272B2 (en) 2005-01-08 2009-10-13 Applied Materials, Inc. Method and apparatus for integrating metrology with etch processing
US8398355B2 (en) * 2006-05-26 2013-03-19 Brooks Automation, Inc. Linearly distributed semiconductor workpiece processing tool
US8197636B2 (en) 2007-07-12 2012-06-12 Applied Materials, Inc. Systems for plasma enhanced chemical vapor deposition and bevel edge etching
US8969890B2 (en) * 2010-11-04 2015-03-03 Koninklijke Philips N.V. Solid state light emitting devices based on crystallographically relaxed structures
US20130024019A1 (en) * 2011-07-22 2013-01-24 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and methods for end point determination in semiconductor processing
US20170141228A1 (en) * 2015-11-16 2017-05-18 Taiwan Semiconductor Manufacturing Co., Ltd. Field effect transistor and manufacturing method thereof
US10304957B2 (en) 2016-09-13 2019-05-28 Qualcomm Incorporated FinFET with reduced series total resistance
US10748774B2 (en) * 2017-11-30 2020-08-18 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060115949A1 (en) * 2004-12-01 2006-06-01 Freescale Semiconductor, Inc. Semiconductor fabrication process including source/drain recessing and filling
US20060148151A1 (en) * 2005-01-04 2006-07-06 Anand Murthy CMOS transistor junction regions formed by a CVD etching and deposition sequence
US20100097615A1 (en) * 2007-02-28 2010-04-22 Nippon Telegraph And Telephone Corporation Optical Reflectometry and Optical Reflectometer
US20110003450A1 (en) * 2009-07-03 2011-01-06 Young-Ho Lee Method for manufacturing semicondutor device with strained channel
US20170330960A1 (en) * 2016-05-11 2017-11-16 Applied Materials, Inc. Forming non-line-of-sight source drain extension in an nmos finfet using n-doped selective epitaxial growth

Also Published As

Publication number Publication date
WO2020010299A1 (en) 2020-01-09
US20200013878A1 (en) 2020-01-09
US20220199804A1 (en) 2022-06-23
KR102495729B1 (en) 2023-02-06
US11309404B2 (en) 2022-04-19
KR20220078718A (en) 2022-06-10
CN112385046A (en) 2021-02-19
SG11202012204YA (en) 2021-01-28
KR102425907B1 (en) 2022-07-27

Similar Documents

Publication Publication Date Title
US10483355B2 (en) Forming non-line-of-sight source drain extension in an NMOS FINFET using n-doped selective epitaxial growth
US10361201B2 (en) Semiconductor structure and device formed using selective epitaxial process
KR102425907B1 (en) Integrated CMOS Source Drain Formation with Advanced Control
CN102074461B (en) Semiconductor device and method of fabricating same
US8871584B2 (en) Replacement source/drain finFET fabrication
TWI703707B (en) Semiconductor structure
TW201334045A (en) Semiconductor device and method thereof
TWI756583B (en) Method of fabricating a semiconductor device having reduced contact resistance
US10497807B2 (en) PMOS transistor and fabrication method thereof
TWI828854B (en) Semiconductor device, method of making a semiconductor device, and processing system
TWI821319B (en) Integrated cmos source drain formation with advanced control
US9972711B2 (en) Reduced resistance short-channel InGaAs planar MOSFET
JP2019192913A (en) Method to remove iii-v material in high aspect ratio structure
US20220320294A1 (en) Arsenic diffusion profile engineering for transistors

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant