TWI821319B - Integrated cmos source drain formation with advanced control - Google Patents

Integrated cmos source drain formation with advanced control Download PDF

Info

Publication number
TWI821319B
TWI821319B TW108121647A TW108121647A TWI821319B TW I821319 B TWI821319 B TW I821319B TW 108121647 A TW108121647 A TW 108121647A TW 108121647 A TW108121647 A TW 108121647A TW I821319 B TWI821319 B TW I821319B
Authority
TW
Taiwan
Prior art keywords
semiconductor
processing chamber
cavity
semiconductor material
seg
Prior art date
Application number
TW108121647A
Other languages
Chinese (zh)
Other versions
TW202016980A (en
Inventor
班傑明 哥倫布
圖沙爾 曼德瑞卡
派翠西亞M 劉
蘇凱杜A 派利克
馬蒂亞斯 包爾
迪米崔R 奇歐西斯
聖傑 納塔拉珍
阿布希雪克 督比
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW202016980A publication Critical patent/TW202016980A/en
Application granted granted Critical
Publication of TWI821319B publication Critical patent/TWI821319B/en

Links

Abstract

A finFET device includes a doped source and/or drain extension that is disposed between a gate spacer of the finFET and a bulk semiconductor portion of the semiconductor substrate on which the n-doped or p-doped source or drain extension is disposed. The doped source or drain extension is formed by a selective epitaxial growth (SEG) process in a cavity formed proximate the gate spacer. After formation of the cavity, advanced processing controls (APC) (i.e., integrated metrology) is used to determine the distance of recess, without exposing the substrate to an oxidizing environment. The isotropic etch process, the metrology, and selective epitaxial growth may be performed in the same platform.

Description

利用先進控制方式的整合CMOS源極汲極形成Integrated CMOS source-drain formation using advanced control methods

本揭示的實施例大體係關於積體電路的製造,並且特定而言,係關於使用選擇性磊晶生長(selective epitaxial growth; SEG)在finFET中形成源極汲極延伸的設備及方法。Embodiments of the present disclosure generally relate to the fabrication of integrated circuits, and in particular, to apparatus and methods for forming source-drain extensions in finFETs using selective epitaxial growth (SEG).

電晶體係大多數積體電路的關鍵部件。由於電晶體的驅動電流及由此速度係與電晶體的閘極寬度成比例,較快的電晶體通常需要較大的閘極寬度。因此,在電晶體大小與速度之間存在折衷,並且已經發展「鰭」式場效電晶體(fin field-effect transistor; finFET)來解決具有最大驅動電流及最小大小的電晶體的衝突目標。FinFET由鰭形通道區域表徵,該鰭形通道區域大幅度增加電晶體的大小而不顯著增加電晶體的佔據面積,並且FinFFT目前在眾多積體電路中應用。然而,finFET具有其自身的缺陷。Transistors are key components of most integrated circuits. Since the drive current of a transistor, and therefore its speed, is proportional to the transistor's gate width, faster transistors usually require larger gate widths. Therefore, there is a trade-off between transistor size and speed, and "fin field-effect transistors (finFETs) have been developed to resolve the conflicting goals of having maximum drive current and minimum size transistors. FinFET is characterized by a fin-shaped channel area that greatly increases the size of the transistor without significantly increasing the area occupied by the transistor, and FinFFT is currently used in many integrated circuits. However, finFETs have their own drawbacks.

由於鰭形通道區域可以簡單地非晶化或以其他方式由習知離子植入技術(諸如束線離子植入)破壞,形成水平源極/汲極延伸對於窄且高的finFET變得日漸困難。具體而言,在一些finFET架構(例如,水平閘極全繞,hGAA)中,離子植入可以導致在矽通道與相鄰的鍺矽(SiGe)犧牲層之間的嚴重相互混合。由於隨後減弱選擇性移除犧牲SiGe層的能力,此種相互混合係高度不期望的。此外,經由熱退火修復此種植入破壞增加了finFET元件的熱預算。Forming horizontal source/drain extensions becomes increasingly difficult for narrow and tall finFETs because the fin channel regions can simply be amorphized or otherwise destroyed by conventional ion implantation techniques such as beamline ion implantation. . Specifically, in some finFET architectures (e.g., horizontal gate all-wound, hGAA), ion implantation can cause severe intermixing between the silicon channel and the adjacent silicon germanium (SiGe) sacrificial layer. Such intermixing is highly undesirable due to the subsequent reduced ability to selectively remove the sacrificial SiGe layer. Additionally, repairing this implant damage via thermal annealing increases the thermal budget of the finFET device.

此外,由於finFET中的源極/汲極延伸可以由其他結構覆蓋,將期望摻雜劑精確放置在finFET的水平源極/汲極延伸區域中至多係非常困難的。例如,在犧牲SiGe超晶格(superlattice; SL)層上的(內部)側壁間隔層通常在執行摻雜時覆蓋源極/汲極延伸區域。因此,習知的視線離子植入技術不能將摻雜劑均勻地直接沉積到finFET源極/汲極延伸區域。Furthermore, because the source/drain extensions in a finFET can be covered by other structures, precise placement of the desired dopants in the horizontal source/drain extension regions of the finFET is very difficult at best. For example, (inner) sidewall spacers on a sacrificial SiGe superlattice (SL) layer typically cover the source/drain extensions when doping is performed. Therefore, conventional line-of-sight ion implantation techniques cannot uniformly deposit dopants directly into the finFET source/drain extension regions.

另外,將基板暴露至大氣的時間(亦稱為Q-時間)可以對磊晶膜的缺陷度具有顯著影響。由此,需要用於精確摻雜當前可用或在發展之中的finFET元件中的源極/汲極區域的處理設備及技術。In addition, the time the substrate is exposed to the atmosphere (also known as Q-time) can have a significant impact on the defectiveness of the epitaxial film. As a result, there is a need for processing equipment and techniques for precisely doping the source/drain regions in finFET devices currently available or under development.

本揭示的一或多個實施例涉及一種形成半導體元件的方法。各向異性蝕刻製程對半導體基板上的半導體材料執行,以暴露半導體材料中的表面。表面在半導體元件的現有結構與其上形成半導體材料的半導體基板的主體半導體部分之間設置。各向同性蝕刻製程在暴露的側壁上執行以將在現有結構與半導體基板的主體半導體部分之間設置的半導體材料凹陷一距離,用於形成空腔。沉積材料層經由選擇性磊晶生長(selective epitaxial growth;SEG)製程在空腔表面上形成。在形成空腔與SEG之間,基板不經歷預清潔製程。 One or more embodiments of the present disclosure relate to a method of forming a semiconductor device. An anisotropic etching process is performed on the semiconductor material on the semiconductor substrate to expose surfaces in the semiconductor material. The surface is provided between the existing structure of the semiconductor element and the bulk semiconductor portion of the semiconductor substrate on which the semiconductor material is formed. An isotropic etching process is performed on the exposed sidewalls to recess the semiconductor material disposed between the existing structure and the bulk semiconductor portion of the semiconductor substrate a distance for forming the cavity. The deposited material layer is formed on the surface of the cavity through a selective epitaxial growth (SEG) process. The substrate does not undergo a pre-cleaning process between cavity formation and SEG.

本揭示的額外實施例涉及形成半導體元件的方法。半導體基板在第一處理腔室中的其上的半導體材料內定位。各向異性蝕刻製程對半導體材料執行以暴露半導體材料中的表面。表面在半導體元件的現有結構與其上形成半導體材料的半導體基板的主體半導體部分之間設置。各向同性蝕刻製程在暴露的側壁上執行以將在現有結構與半導體基板的主體半導體部分之間設置的半導體材料凹陷一距離,用於形成空腔。在不將半導體基板暴露至氧化條件的情況下,半導體基板從第一處理腔室移動到第二處理腔室。決定在各向同性蝕刻之後已經凹陷半導體材料的距離。沉積材料層在第二處理腔室中使用選擇性磊晶生長(selective epitaxial growth;SEG)製程在空腔表面上形成。在形成空腔與SEG之間,半導體基板不經歷預清潔製程。SEG製程考慮到在各向同性蝕刻之後已經凹陷半導體材料的距離。 Additional embodiments of the present disclosure relate to methods of forming semiconductor devices. The semiconductor substrate is positioned within the semiconductor material thereon in the first processing chamber. Anisotropic etching processes are performed on semiconductor materials to expose surfaces in the semiconductor materials. The surface is provided between the existing structure of the semiconductor element and the bulk semiconductor portion of the semiconductor substrate on which the semiconductor material is formed. An isotropic etching process is performed on the exposed sidewalls to recess the semiconductor material disposed between the existing structure and the bulk semiconductor portion of the semiconductor substrate a distance for forming the cavity. The semiconductor substrate is moved from the first processing chamber to the second processing chamber without exposing the semiconductor substrate to oxidizing conditions. Determines the distance over which the semiconductor material has been recessed after isotropic etching. A layer of deposited material is formed on the cavity surface using a selective epitaxial growth (SEG) process in the second processing chamber. The semiconductor substrate does not undergo a pre-cleaning process between cavity formation and SEG. The SEG process takes into account the distance by which the semiconductor material has been recessed after isotropic etching.

本揭示的進一步實施例涉及用於形成半導體元件的處理工具。中央傳遞站具有在中央傳遞站周圍設置的複數個處理腔室。機器人係在中央傳遞站內並且經構造為在複數個處理腔室之間移動基板。第一處理腔室連接到中央傳遞站。第一處理腔室經構造為執行各向同性蝕刻製程。度量站係在處理工具內且機器人能夠到達度量站。度 量站經構造為決定來自各向同性蝕刻製程的基板上的半導體材料的凹陷距離。第二處理腔室連接到中央傳遞站。第二處理腔室經構造為執行選擇性磊晶生長(selective epitaxial growth;SEG)製程。控制器連接到中央傳遞站、機器人、第一處理腔室、度量站或第二處理腔室的一或多個。控制器具有選自下列的一或多種構造:用於在複數個處理腔室與度量站之間移動機器人上的基板的第一構造;用於在第一處理腔室中的基板上執行各向同性蝕刻製程的第二構造;用於執行分析以決定度量站中的半導體材料的凹陷的第三構造;或用於在第二處理腔室中執行選擇性磊晶生長製程的第四構造,選擇性磊晶生長製程係針對半導體材料的凹陷來調節。 Further embodiments of the present disclosure relate to processing tools for forming semiconductor components. The central transfer station has a plurality of processing chambers arranged around the central transfer station. The robot is housed within a central transfer station and is configured to move substrates between a plurality of processing chambers. The first processing chamber is connected to the central transfer station. The first processing chamber is configured to perform an isotropic etching process. The metrology station is attached to the processing tool and is accessible to the robot. Spend The metrology station is configured to determine the recess distance of the semiconductor material on the substrate from the isotropic etching process. The second processing chamber is connected to the central transfer station. The second processing chamber is configured to perform a selective epitaxial growth (SEG) process. The controller is connected to one or more of the central transfer station, the robot, the first processing chamber, the metrology station, or the second processing chamber. The controller has one or more configurations selected from the group consisting of: a first configuration for moving the substrate on the robot between the plurality of processing chambers and the metrology station; and a configuration for performing each direction on the substrate in the first processing chamber. a second configuration for a isotropic etching process; a third configuration for performing analysis to determine depression of the semiconductor material in the metrology station; or a fourth configuration for performing a selective epitaxial growth process in the second processing chamber, select The epitaxial growth process is adjusted for the depression of semiconductor materials.

在描述本揭示的若干示例性實施例之前,將理解,本揭示不限於在以下描述中闡述的構造或製程步驟的細節。本揭示能夠具有其他實施例並且以各種方式實踐或進行。Before describing several exemplary embodiments of the present disclosure, it is to be understood that this disclosure is not limited to the details of construction or process steps set forth in the following description. The disclosure is capable of other embodiments and of being practiced or carried out in various ways.

如在本說明書及隨附申請專利範圍中使用,術語「基板」指製程作用於其上之表面、或表面的一部分。如亦將由本領域技藝人士所理解,除非上下文另外明確地指出,提及基板亦可以指基板的僅一部分。此外,提及在基板上沉積可以意味著裸基板及其上沉積或形成有一或多個膜或特徵的基板。As used in this specification and the accompanying claims, the term "substrate" refers to the surface, or a portion of a surface, on which a process is performed. As will also be understood by those skilled in the art, reference to a substrate may also refer to only a portion of the substrate unless the context clearly dictates otherwise. Additionally, references to depositing on a substrate may mean both a bare substrate and a substrate having one or more films or features deposited or formed thereon.

如本文所使用的「基板」指任何基板或在基板上形成的材料表面,在製造製程期間在該基板上執行膜處理。例如,取決於應用,其上可以執行處理的基板表面包括材料,諸如矽、氧化矽、應變矽、絕緣體上矽(silicon on insulator; SOI)、碳摻雜的氧化矽、非晶矽、摻雜矽、鍺、砷化鎵、玻璃、藍寶石、及任何其他材料,諸如金屬、金屬氮化物、金屬合金、及其他導電材料。基板包括但不限於半導體晶圓。基板可暴露至預處理製程以拋光、蝕刻、還原、氧化、羥基化、退火、UV固化、電子束固化及/或烘焙基板表面。除了直接在基板本身的表面上處理之外,在本揭示中,如下文更詳細揭示,所揭示的任何膜處理步驟亦可在基板上形成的下層上執行,並且術語「基板表面」意欲包括如上下文指出的此種下層。因此,例如,在膜/層或部分膜/層已經沉積到基板表面上的情況下,新沉積的膜/層的暴露表面變為基板表面。"Substrate" as used herein refers to any substrate or material surface formed on a substrate on which film processing is performed during a manufacturing process. For example, depending on the application, substrate surfaces on which processing can be performed include materials such as silicon, silicon oxide, strained silicon, silicon on insulator (SOI), carbon-doped silicon oxide, amorphous silicon, doped Silicon, germanium, gallium arsenide, glass, sapphire, and any other materials such as metals, metal nitrides, metal alloys, and other conductive materials. Substrates include, but are not limited to, semiconductor wafers. The substrate may be exposed to a pretreatment process to polish, etch, reduce, oxidize, hydroxylate, anneal, UV cure, e-beam cure, and/or bake the substrate surface. In addition to processing directly on the surface of the substrate itself, in this disclosure, as disclosed in more detail below, any of the film processing steps disclosed may also be performed on an underlying layer formed on the substrate, and the term "substrate surface" is intended to include such as Such substratum as indicated by the context. Thus, for example, where a film/layer or part of a film/layer has already been deposited onto a substrate surface, the exposed surface of the newly deposited film/layer becomes the substrate surface.

本揭示的實施例係關於包括摻雜的半導體材料的半導體元件、處理工具及處理方法,此半導體材料在半導體元件的現有結構與半導體基板的主體半導體部分之間設置的區域內形成。在一或多個實施例中,半導體元件包含finFET元件。在此種實施例中,n摻雜的含矽材料形成n摻雜的源極或汲極延伸,該源極或汲極延伸在finFET的閘極間隔層與其上設置n摻雜的源極或汲極延伸的半導體基板的主體半導體部分之間設置。儘管本揭示的實施例關於形成nMOS(n型金屬氧化物半導體)及n摻雜膜來描述,熟習此項技術者將認識到,p摻雜膜亦可以藉由類似製程形成。在整個本揭示中提及「nMOS」或「n摻雜」僅為了簡便描述,並且本揭示不應當被視為限於nMOS或n摻雜的結構。在一些實施例中,方法涉及形成pMOS(p型金屬氧化物半導體)或p摻雜的膜。本揭示的一些實施例涉及用於形成PMOS元件的製程,其中源極/汲極(Source/Drain; SD)包含多層SiGe及硼。在一或多個實施例中,SD材料提供了增加電洞遷移率的PMOS元件的壓縮應力。與磊晶SD層形成結合地控制橫向推動量可以影響總體效能。Embodiments of the present disclosure are directed to semiconductor devices, processing tools, and processing methods that include doped semiconductor material formed in a region disposed between an existing structure of the semiconductor device and a bulk semiconductor portion of a semiconductor substrate. In one or more embodiments, the semiconductor devices include finFET devices. In such an embodiment, the n-doped silicon-containing material forms an n-doped source or drain extension that is disposed between the gate spacer of the finFET and the n-doped source or drain extension disposed thereon. The drain extension is provided between the main semiconductor portions of the semiconductor substrate. Although the embodiments of the present disclosure are described with respect to forming nMOS (n-type metal oxide semiconductor) and an n-doped film, those skilled in the art will recognize that a p-doped film can also be formed through a similar process. References to "nMOS" or "n-doped" throughout this disclosure are for simplicity of description only, and this disclosure should not be considered limited to nMOS or n-doped structures. In some embodiments, methods involve forming pMOS (p-type metal oxide semiconductor) or p-doped films. Some embodiments of the present disclosure relate to processes for forming PMOS devices in which the source/drain (SD) includes multiple layers of SiGe and boron. In one or more embodiments, the SD material provides compressive stress to the PMOS device that increases hole mobility. Controlling the amount of lateral push in conjunction with the epitaxial SD layer can affect overall performance.

第1圖係根據本揭示的一實施例的鰭式場效電晶體(fin-field-effect transistor; finFET) 100的透視圖。FinFET 100包括半導體基板101、在半導體基板101的表面上形成的絕緣區域102、在半導體基板101的表面上形成的鰭結構120、以及在絕緣區域102上及在鰭結構120上形成的閘電極結構130。鰭結構120的頂部暴露出並且電氣耦合到finFET 100的源極觸點(未圖示),鰭結構120的另一頂部暴露出並且電氣耦合到finFET 100的汲極觸點(未圖示),並且半導體鰭121的中央部分包括finFET 100的通道區域。閘電極結構130用作finFET 100的閘極。Figure 1 is a perspective view of a fin-field-effect transistor (finFET) 100 according to an embodiment of the present disclosure. FinFET 100 includes a semiconductor substrate 101, an insulating region 102 formed on the surface of the semiconductor substrate 101, a fin structure 120 formed on the surface of the semiconductor substrate 101, and a gate electrode structure formed on the insulating region 102 and on the fin structure 120. 130. A top portion of fin structure 120 is exposed and electrically coupled to a source contact (not shown) of finFET 100, and the other top portion of fin structure 120 is exposed and electrically coupled to a drain contact (not shown) of finFET 100, And the central portion of the semiconductor fin 121 includes the channel region of the finFET 100 . Gate electrode structure 130 serves as the gate of finFET 100 .

半導體基板101可係主體矽(Si)基板、主體鍺(Ge)基板、主體鍺矽(SiGe)基板、或類似者。絕緣區域102(或者被稱為淺溝槽隔離(shallow trench isolation;STI))可包括一或多種介電材料,諸如二氧化矽(SiO2)、氮化矽(Si3N4)、或其多層。絕緣區域102可藉由高密度電漿(high-density plasma;HDP)、可流動化學氣相沉積(flowable chemical vapor deposition;FCVD)、或類似製程形成。 The semiconductor substrate 101 may be a silicon-based (Si)-based substrate, a germanium-based (Ge)-based substrate, a germanium-based silicon (SiGe) based substrate, or the like. Insulation region 102 (also referred to as shallow trench isolation (STI)) may include one or more dielectric materials, such as silicon dioxide (SiO 2 ), silicon nitride (Si 3 N 4 ), or other Multiple layers. The insulating region 102 may be formed by high-density plasma (HDP), flowable chemical vapor deposition (FCVD), or similar processes.

鰭結構120包括半導體鰭121以及在半導體鰭121的側壁上形成的鰭間隔層(為了清晰而未圖示)。半導體鰭121可由半導體基板101形成或由在半導體基板101上沉積的不同半導體材料形成。在後者情況下,不同的半導體材料可包括鍺矽、III-V族化合物半導體材料、或類似者。 Fin structure 120 includes semiconductor fins 121 and fin spacers formed on sidewalls of semiconductor fins 121 (not shown for clarity). Semiconductor fins 121 may be formed from semiconductor substrate 101 or from a different semiconductor material deposited on semiconductor substrate 101 . In the latter case, the different semiconductor materials may include silicon germanium, III-V compound semiconductor materials, or the like.

閘電極結構130包括閘電極層131、閘極介電層132、閘極間隔層133、及遮罩層136。在一些實施例中,閘電極層131包括多晶矽層或用多晶矽層覆蓋的金屬層。在其他實施例中,閘電極層131包括選自下列的材料:金屬氮化物(諸如氮化鈦(TiN)、氮化鉭(TaN)及氮化鉬(MoNx))、金屬碳化物(諸如碳化鉭(TaC)及碳化鉿(HfC))、金屬-氮化物-碳化物(諸如TaCN)、金屬氧化物(諸如氧化鉬(MoOx))、金屬氮氧化物(諸如氮氧化鉬(MoOxNy))、金屬矽化物(諸如矽化鎳)、及其組合。閘電極層131亦可以係用多晶矽層覆蓋的金屬層。The gate electrode structure 130 includes a gate electrode layer 131, a gate dielectric layer 132, a gate spacer layer 133, and a mask layer 136. In some embodiments, gate electrode layer 131 includes a polysilicon layer or a metal layer covered with a polysilicon layer. In other embodiments, the gate electrode layer 131 includes a material selected from the group consisting of metal nitrides (such as titanium nitride (TiN), tantalum nitride (TaN), and molybdenum nitride (MoN x )), metal carbides (such as Tantalum carbide (TaC) and hafnium carbide (HfC)), metal-nitride-carbide (such as TaCN), metal oxides (such as molybdenum oxide (MoO x )), metal oxynitrides (such as molybdenum oxynitride (MoO x ) N y )), metal silicides (such as nickel silicide), and combinations thereof. The gate electrode layer 131 may also be a metal layer covered with a polysilicon layer.

閘極介電層132可包括氧化矽(SiOx ),該氧化矽可藉由半導體鰭121的熱氧化來形成。在其他實施例中,閘極介電層132藉由沉積製程形成。用於形成閘極介電層132的適宜材料包括氧化矽、氮化矽、氮氧化物、金屬氧化物(諸如HfO2 、HfZrOx 、HfSiOx 、HfTiOx 、HfAlOx )、以及其組合及多層。閘極間隔層133在閘電極層131的側壁上形成,並且各者可包括如圖所示的氮化物部分134及/或氧化物部分135。在一些實施例中,遮罩層136可在如圖所示的閘電極層131上形成,並且可包括氮化矽。Gate dielectric layer 132 may include silicon oxide (SiO x ), which may be formed by thermal oxidation of semiconductor fins 121 . In other embodiments, gate dielectric layer 132 is formed by a deposition process. Suitable materials for forming gate dielectric layer 132 include silicon oxide, silicon nitride, oxynitride, metal oxides (such as HfO 2 , HfZrO x , HfSiO x , HfTiO x , HfAlO x ), and combinations and multilayers thereof. . Gate spacer layers 133 are formed on sidewalls of the gate electrode layer 131 and each may include a nitride portion 134 and/or an oxide portion 135 as shown. In some embodiments, mask layer 136 may be formed over gate electrode layer 131 as shown, and may include silicon nitride.

第2圖係根據本揭示的一實施例的finFET 100的橫截面圖。第2圖中示出的橫截面圖在第1圖中的截面A-A處截取。如圖所示,finFET 100包括半導體鰭121,該半導體鰭具有重度摻雜區域201、摻雜延伸區域202、及通道區域205。儘管關於nMOS的形成來描述本文的實施例,熟習此項技術者將認識到重度摻雜區域201及摻雜延伸區域202可以係p摻雜的區域。Figure 2 is a cross-sectional view of a finFET 100 according to an embodiment of the present disclosure. The cross-sectional view shown in Figure 2 is taken at section A-A in Figure 1 . As shown, finFET 100 includes a semiconductor fin 121 having a heavily doped region 201 , a doped extension region 202 , and a channel region 205 . Although embodiments herein are described with respect to nMOS formation, those skilled in the art will recognize that heavily doped region 201 and doped extension region 202 may be p-doped regions.

重度摻雜區域201形成finFET 100的源極及汲極區域,並且包括相對高濃度的n摻雜劑(例如,磷(P)、砷(As)、銻(Sb)、鉍(Bi)、鋰(Li))或p摻雜劑(例如,硼(B)、鋁(Al)、鎵(Ga)或銦(In))。儘管區域201可被稱為重度n摻雜的,熟習此項技術者將認識到,此區域可以係p摻雜區域並且可以包括相對高濃度的p摻雜劑,諸如硼(B)。例如,在一些實施例中,在重度摻雜區域201中的摻雜劑濃度可高達5x1021 原子/cm3 。在一些實施例中,重度摻雜區域201具有在約1x1020 原子/cm3 至約1x1022 原子/cm3 的範圍中的摻雜劑濃度。重度摻雜區域201可藉由任何適宜摻雜技術產生。因為重度摻雜區域201在摻雜時通常未由finFET 100的居中結構覆蓋,可採用視線摻雜技術,諸如束線離子植入。或者,由於每個重度摻雜區域201的主要部分通常在摻雜時暴露出,保形摻雜技術(諸如電漿摻雜(plasma doping; PLAD))可用於形成重度摻雜區域201。Heavily doped regions 201 form the source and drain regions of finFET 100 and include relatively high concentrations of n-dopants (eg, phosphorus (P), arsenic (As), antimony (Sb), bismuth (Bi), lithium (Li)) or p-dopants (e.g., boron (B), aluminum (Al), gallium (Ga), or indium (In)). Although region 201 may be referred to as heavily n-doped, those skilled in the art will recognize that this region may be a p-doped region and may include a relatively high concentration of p-dopant, such as boron (B). For example, in some embodiments, the dopant concentration in heavily doped region 201 may be as high as 5x10 21 atoms/cm 3 . In some embodiments, heavily doped region 201 has a dopant concentration in the range of about 1×10 20 atoms/cm 3 to about 1×10 22 atoms/cm 3 . Heavily doped region 201 can be created by any suitable doping technique. Because the heavily doped region 201 is typically not covered by the central structure of the finFET 100 when doped, line-of-sight doping techniques such as beamline ion implantation can be used. Alternatively, since a major portion of each heavily doped region 201 is typically exposed upon doping, conformal doping techniques such as plasma doping (PLAD) may be used to form the heavily doped regions 201 .

摻雜延伸區域202形成finFET 100的源極及汲極延伸,並且包括一或多種n摻雜劑。熟習此項技術者將認識到,延伸區域可以係p摻雜區域。根據本揭示的實施例,摻雜延伸區域202包括一或多種n摻雜劑,該n摻雜劑用作位於重度摻雜區域201中的n摻雜劑的擴散阻障層。因此,因為摻雜延伸區域202在通道區域205與重度摻雜區域201之間設置,位於重度摻雜區域201中的n摻雜劑(諸如磷)不能擴散到通道區域205中。利用與現代finFET元件相關聯的小幾何結構,閘極間隔層133的寬度133A(其亦接近在重度摻雜區域201之間的距離)可以僅係數奈米。由此,此種n摻雜劑擴散可以係nMOS元件中(諸如finFET 100)的嚴峻挑戰。在一些實施例中,摻雜延伸區域202包括一或多個較重質量原子(例如,Ge、Sn等等),該等原子增加通道區域205中的壓縮應力。Doped extension regions 202 form the source and drain extensions of finFET 100 and include one or more n dopants. Those skilled in the art will recognize that the extension region may be a p-doped region. According to embodiments of the present disclosure, doped extension region 202 includes one or more n-dopants that serve as a diffusion barrier for the n-dopants located in heavily doped region 201 . Therefore, because the doped extension region 202 is disposed between the channel region 205 and the heavily doped region 201 , the n-dopant (such as phosphorus) located in the heavily doped region 201 cannot diffuse into the channel region 205 . With the small geometries associated with modern finFET devices, the width 133A of the gate spacer 133 (which is also close to the distance between the heavily doped regions 201) can be only a few nanometers. Thus, such n-dopant diffusion can be a serious challenge in nMOS devices such as finFET 100. In some embodiments, doped extension region 202 includes one or more heavier mass atoms (eg, Ge, Sn, etc.) that increase compressive stress in channel region 205 .

在一些實施例中,位於重度摻雜區域201中的n摻雜劑可包括磷。在此種實施例中,在摻雜延伸區域202中包括的n摻雜劑可包括砷(As),其可以用作對磷擴散的主要擴散阻障層或僅僅作為空間(幾何)偏移。替代地或另外地,在此種實施例中,在摻雜延伸區域202中包括的n摻雜劑可包括銻(Sb),其亦可用作對磷擴散的部分阻障層。在一些實施例中,在區域201及區域202中包括的p摻雜劑可獨立地包括硼(B)、鋁(Al)、鎵(Ga)或銦(In)的一或多種。In some embodiments, the n-dopant located in heavily doped region 201 may include phosphorus. In such an embodiment, the n-dopant included in doped extension region 202 may include arsenic (As), which may act as a primary diffusion barrier to phosphorus diffusion or simply as a spatial (geometric) offset. Alternatively or additionally, in such embodiments, the n-dopant included in doped extension region 202 may include antimony (Sb), which may also serve as a partial barrier to phosphorus diffusion. In some embodiments, p-dopants included in regions 201 and 202 may independently include one or more of boron (B), aluminum (Al), gallium (Ga), or indium (In).

在一些實施例中,形成具有厚度202A的摻雜延伸區域202,該厚度小於閘極間隔層133的寬度133A。例如,在此種實施例中,摻雜延伸區域202的厚度202A可係小於寬度133A近似1奈米。所以,在此種實施例中,摻雜延伸區域202不延伸到通道區域205中。In some embodiments, doped extension region 202 is formed with a thickness 202A that is less than width 133A of gate spacer layer 133 . For example, in such embodiments, thickness 202A of doped extension region 202 may be approximately 1 nanometer less than width 133A. Therefore, in such an embodiment, doped extension region 202 does not extend into channel region 205 .

此外,根據本揭示的實施例,摻雜延伸區域202經由(SEG)製程形成。具體地,空腔在半導體鰭121的一部分中形成,該部分在閘極間隔層133與半導體基板101的主體半導體部分之間設置。空腔隨後用n或p摻雜的半導體材料填充,諸如用砷(As)摻雜的矽材料(例如,本文亦稱為Si:As)或用硼(B)摻雜的矽材料(例如,本文亦稱為Si:B)。因此,用於finFET 100的源極汲極延伸在半導體鰭121的區域中形成,該區域係在半導體鰭121的現有結構與半導體基板101的主體半導體部分之間。另外,在摻雜延伸區域202中包括的n摻雜劑可以經選擇為用作位於重度摻雜區域201中的n摻雜劑的擴散阻障層。注意到,歸因於閘極間隔層133的存在,摻雜延伸區域202不能藉由束線離子植入或PLAD形成。摻雜延伸區域202可在finFET 100中形成的各個實施例在下文結合第3圖以及第4A圖至第4E圖描述。Furthermore, according to embodiments of the present disclosure, the doped extension region 202 is formed through a (SEG) process. Specifically, the cavity is formed in a portion of the semiconductor fin 121 that is disposed between the gate spacer 133 and the bulk semiconductor portion of the semiconductor substrate 101 . The cavity is then filled with an n- or p-doped semiconductor material, such as a silicon material doped with arsenic (As) (eg, also referred to herein as Si:As) or a silicon material doped with boron (B) (eg, This article also refers to Si:B). Therefore, the source-drain extension for the finFET 100 is formed in the region of the semiconductor fin 121 , which is tied between the existing structure of the semiconductor fin 121 and the bulk semiconductor portion of the semiconductor substrate 101 . Additionally, the n-dopants included in doped extension region 202 may be selected to act as a diffusion barrier for the n-dopants located in heavily doped region 201 . Note that due to the presence of the gate spacer layer 133, the doped extension region 202 cannot be formed by beamline ion implantation or PLAD. Various embodiments in which doped extension region 202 may be formed in finFET 100 are described below in conjunction with FIG. 3 and FIGS. 4A-4E.

第3圖係根據本揭示的各個實施例的用於形成nMOS finFET的製造製程300的流程圖。熟習此項技術者將認識到,pMOS finFET可以藉由類似製造製程來形成。第4A圖至第4E圖係根據本揭示的各個實施例的對應於製程300的各個階段的半導體元件(諸如第1圖中的finFET 100)的示意性橫截面圖。儘管將製程300示出為用於形成摻雜延伸區域,製程300亦可用於在基板上形成其他結構。Figure 3 is a flow diagram of a manufacturing process 300 for forming nMOS finFETs in accordance with various embodiments of the present disclosure. Those skilled in the art will recognize that pMOS finFETs can be formed using similar manufacturing processes. 4A to 4E are schematic cross-sectional views of a semiconductor device (such as the finFET 100 in FIG. 1 ) corresponding to various stages of the process 300 according to various embodiments of the present disclosure. Although process 300 is shown for forming doped extension regions, process 300 may be used to form other structures on a substrate.

製程300開始於操作301,如第4A圖所示,其中閘電極結構130及閘極間隔層133在半導體鰭121上形成。在第4A圖中示出的實施例中,半導體鰭121由半導體基板101的一部分形成。The process 300 begins with operation 301 , as shown in FIG. 4A , where a gate electrode structure 130 and a gate spacer layer 133 are formed on the semiconductor fin 121 . In the embodiment shown in FIG. 4A , semiconductor fins 121 are formed from a portion of semiconductor substrate 101 .

在操作302中,各向異性蝕刻製程在半導體鰭121的部分上執行,該部分在閘極間隔層133與半導體基板101的主體半導體部分之間設置。因此,如第4B圖中示出,暴露出在半導體鰭121的半導體材料中的一或多個側壁表面401。如圖所示,側壁表面401在finFET 100的現有結構與半導體基板101的主體半導體部分之間設置。亦即,側壁表面401在閘極間隔層133與半導體基板101之間設置。因此,側壁表面401係在習知、表面標準的視線離子植入技術不可達到的半導體鰭121的區域中。In operation 302 , an anisotropic etching process is performed on the portion of the semiconductor fin 121 disposed between the gate spacer 133 and the bulk semiconductor portion of the semiconductor substrate 101 . Thus, as shown in Figure 4B, one or more sidewall surfaces 401 in the semiconductor material of semiconductor fin 121 are exposed. As shown, sidewall surface 401 is disposed between the existing structure of finFET 100 and the bulk semiconductor portion of semiconductor substrate 101 . That is, the sidewall surface 401 is provided between the gate spacer layer 133 and the semiconductor substrate 101 . Therefore, the sidewall surface 401 is in an area of the semiconductor fin 121 that is inaccessible to conventional, surface-standard line-of-sight ion implantation techniques.

操作302的各向異性蝕刻製程可經選擇以從半導體鰭121移除足夠的材料,使得側壁表面401具有任何適宜的靶長度401A。例如,在一些實施例中,執行操作302的各向異性蝕刻製程,使得側壁表面401具有約5 nm至約10 nm的靶長度401A。在其他實施例中,取決於閘極間隔層133的幾何結構、在重度摻雜區域201中的n摻雜劑的濃度、通道區域205的尺寸、及其他因素,側壁表面401可具有大於10 nm或小於5 nm的靶長度401A。操作302的各向異性蝕刻製程可係例如深反應性離子蝕刻(deep reactive-ion etch; DRIE)製程,在該製程期間遮蔽閘極間隔層133及finFET 100的其他部分。The anisotropic etch process of operation 302 may be selected to remove sufficient material from semiconductor fin 121 such that sidewall surface 401 has any suitable target length 401A. For example, in some embodiments, the anisotropic etching process of operation 302 is performed such that the sidewall surface 401 has a target length 401A of about 5 nm to about 10 nm. In other embodiments, sidewall surface 401 may have a thickness greater than 10 nm depending on the geometry of gate spacer 133, the concentration of n-dopants in heavily doped region 201, the size of channel region 205, and other factors. or target length less than 5 nm 401A. The anisotropic etching process of operation 302 may be, for example, a deep reactive-ion etch (DRIE) process, during which the gate spacer 133 and other portions of the finFET 100 are masked.

在操作303中,如第4C圖中示出,各向同性蝕刻製程在側壁表面401上執行以在半導體鰭121的材料中形成一或多個空腔402。如圖所示,每個空腔402具有表面403。另外,每個空腔402在finFET 100的現有結構(亦即,閘極間隔層133的一個)與半導體基板101的主體半導體部分之間設置。因此,空腔402的部分各者係在視線離子植入技術不可達到的半導體鰭121的區域中。In operation 303 , as shown in FIG. 4C , an isotropic etch process is performed on sidewall surface 401 to form one or more cavities 402 in the material of semiconductor fin 121 . As shown, each cavity 402 has a surface 403 . Additionally, each cavity 402 is provided between the existing structure of the finFET 100 (ie, one of the gate spacers 133 ) and the bulk semiconductor portion of the semiconductor substrate 101 . Therefore, portions of cavity 402 are located in areas of semiconductor fin 121 that are inaccessible to line-of-sight ion implantation techniques.

操作303的各向同性蝕刻製程可經選擇為從半導體鰭121移除足夠的材料,使得空腔402具有任何適宜的靶寬度402A。例如,在一些實施例中,執行操作303的各向同性蝕刻製程,使得空腔402具有約2nm至約10nm的靶寬度402A。在其他實施例中,取決於閘極間隔層133的幾何結構、在重度摻雜區域201中的n摻雜劑或p摻雜劑的濃度、及其他因素,側壁表面401可具有大於10nm或小於2nm的靶寬度402A。例如,在一些實施例中,靶寬度402A可經選擇為使得空腔402具有比閘極間隔層133的寬度133A小不超過約1nm的靶寬度402A。 The isotropic etch process of operation 303 may be selected to remove sufficient material from semiconductor fin 121 such that cavity 402 has any suitable target width 402A. For example, in some embodiments, the isotropic etch process of operation 303 is performed such that cavity 402 has a target width 402A of about 2 nm to about 10 nm. In other embodiments, sidewall surface 401 may have a diameter greater than 10 nm or less than Target width 402A of 2nm. For example, in some embodiments, target width 402A may be selected such that cavity 402 has a target width 402A that is no more than about 1 nm less than width 133A of gate spacer layer 133 .

操作303的各向同性蝕刻製程可包括任何適宜的蝕刻製程,該蝕刻製程對半導體鰭121的半導體材料具有選擇性。例如,當半導體鰭121包括矽(Si)時,操作303的各向同性蝕刻製程可包括基於HCl的化學氣相蝕刻(chemical vapor etch;CVE)製程、基於HCl及GeH4的CVE製程、及/或基於Cl2的CVE製程的一或多個。在一些實施例中,操作303的各向同性蝕刻製程包含濕式蝕刻製程或乾式蝕刻製程的一或多個。在一些實施例中,操作303的各向同性蝕刻製程包含乾式蝕刻製程。 The isotropic etching process of operation 303 may include any suitable etching process that is selective to the semiconductor material of the semiconductor fins 121 . For example, when the semiconductor fin 121 includes silicon (Si), the isotropic etching process of operation 303 may include a chemical vapor etch (CVE) process based on HCl, a CVE process based on HCl and GeH 4 , and/ or one or more Cl2- based CVE processes. In some embodiments, the isotropic etching process of operation 303 includes one or more of a wet etching process or a dry etching process. In some embodiments, the isotropic etching process of operation 303 includes a dry etching process.

在一些實施例中,執行可選操作304,其中預沉積清潔製程或其他表面製備製程在空腔402的表面403上執行。可執行表面製備製程以移除表面403上的原生氧化物並且在操作305中執行的(SEG)製程之前以其 他方式製備表面403。表面製備製程包括乾式蝕刻製程、濕式蝕刻製程、或二者的組合。 In some embodiments, optional operation 304 is performed in which a pre-deposition cleaning process or other surface preparation process is performed on surface 403 of cavity 402 . A surface preparation process may be performed to remove native oxide on surface 403 and prior to the (SEG) process performed in operation 305 Prepare surface 403 in other ways. The surface preparation process includes a dry etching process, a wet etching process, or a combination of the two.

在此種實施例中,乾式蝕刻製程可包括習知電漿蝕刻、或遠端電漿輔助乾式蝕刻製程,諸如可購自位於加州圣克拉拉市的應用材料公司的SiCoNiTM蝕刻製程。在SiCoNiTM蝕刻製程中,表面403暴露於H2、NF3、及/或NH3電漿物質,例如,電漿激發的氫及氟物質。例如,在一些實施例中,表面403可經歷對H2、NF3、及NH3電漿的同時暴露。操作304的SiCoNiTM蝕刻製程可在SiCoNi預清潔腔室中執行,該腔室可整合到各種多處理平台的一個中,包括可獲自應用材料公司的CenturaTM、Dual ACP、ProducerTM GT及Endura平台。濕式蝕刻製程可包括氫氟(hydrofluoric;HF)酸在後製程,亦即,所謂的「HF在後」製程,其中執行使表面403被氫封端的表面403的HF蝕刻。或者,任何其他基於液體的磊晶前預清潔製程可在操作304中採用。在一些實施例中,製程包含用於原生氧化物移除的昇華蝕刻。蝕刻製程可以係基於電漿或熱的。電漿製程可以係任何適宜電漿(例如,導電耦合電漿、電感耦合電漿、微波電漿)。 In such embodiments, the dry etching process may include conventional plasma etching, or a remote plasma-assisted dry etching process, such as the SiCoNi etch process available from Applied Materials, Inc. of Santa Clara, California. During the SiCoNi etching process, surface 403 is exposed to H 2 , NF 3 , and/or NH 3 plasma species, such as plasma-excited hydrogen and fluorine species. For example, in some embodiments, surface 403 may experience simultaneous exposure to H2 , NF3 , and NH3 plasmas. The SiCoNi etch process of operation 304 can be performed in a SiCoNi pre-cleaned chamber that can be integrated into one of a variety of multi-processing platforms, including Centura , Dual ACP, Producer GT and Endura available from Applied Materials platform. The wet etching process may include a hydrofluoric (HF) acid last process, a so-called "HF last" process, in which an HF etch of surface 403 is performed such that the surface 403 is hydrogen-terminated. Alternatively, any other liquid-based pre-epitaxial pre-cleaning process may be employed in operation 304 . In some embodiments, the process includes sublimation etching for native oxide removal. Etching processes can be plasma or thermal based. The plasma process can be any suitable plasma (eg, conductive coupled plasma, inductively coupled plasma, microwave plasma).

在一些實施例中,設備或處理工具經構造為將基板維持在真空條件下以防止形成氧化層,並且不使用磊晶前預清潔製程。在此類實施例中,處理工具經構造為在 不將基板暴露於大氣條件的情況下將基板從蝕刻處理腔室移動到磊晶腔室。 In some embodiments, the apparatus or processing tool is configured to maintain the substrate under vacuum conditions to prevent the formation of an oxide layer, and no pre-epitaxial pre-cleaning process is used. In such embodiments, the processing tool is configured to The substrate is moved from the etching process chamber to the epitaxy chamber without exposing the substrate to atmospheric conditions.

在操作305中,如第4D圖中示出,選擇性磊晶生長(selective epitaxial growth;SEG)製程在表面403上執行以生長沉積材料406的層,由此形成摻雜延伸區域202。具體而言,沉積材料包括半導體材料(諸如矽)、及n型摻雜劑。例如,在一些實施例中,沉積材料406包括Si:As,其中沉積材料406中的砷濃度基於finFET 100的電氣需要來選擇。注意到,Si:As可經由(SEG)沉積,其中砷的電氣活性摻雜劑濃度高達約5x1021原子/cm3。然而,歸因於AsV(砷-空位)錯合物的不期望形成、以及到通道區域205中的砷擴散,在摻雜延伸區域202中存在的此種高砷濃度可以導致電阻率增加。另外,AsPV(砷-磷-空位)錯合物可在摻雜延伸區域202中形成,從而導致增加的到通道區域205中的磷擴散。因此,在一些實施例中,沉積材料406包括不大於約5x1020原子/cm3的砷電氣活性摻雜劑濃度。 In operation 305 , as shown in FIG. 4D , a selective epitaxial growth (SEG) process is performed on surface 403 to grow a layer of deposition material 406 , thereby forming doped extension region 202 . Specifically, deposition materials include semiconductor materials, such as silicon, and n-type dopants. For example, in some embodiments, deposition material 406 includes Si:As, where the arsenic concentration in deposition material 406 is selected based on the electrical needs of finFET 100 . Note that Si:As can be deposited via (SEG) with electrically active dopant concentrations of arsenic up to about 5x10 atoms/ cm3 . However, such high arsenic concentrations present in doped extension region 202 can result in increased resistivity due to the undesirable formation of AsV (arsenic-vacancy) complexes, and diffusion of arsenic into channel region 205 . Additionally, AsPV (arsenic-phosphorus-vacancy) complexes may form in doped extension region 202 , resulting in increased phosphorus diffusion into channel region 205 . Accordingly, in some embodiments, deposited material 406 includes an arsenic electrically active dopant concentration of no greater than about 5x10 atoms/cm.

在一些實施例中,沉積材料406可具有約2nm至約10nm的沉積厚度406A。在其他實施例中,沉積材料406可具有針對finFET 100的某些構造厚於10nm的沉積厚度406A。在一些實施例中,如第4D圖所示,沉積厚度406A經選擇為使得沉積材料406完全填充空腔402。在其他實施例中,沉積厚度406A經選擇為使得沉積材料406部分填充空腔402,並且覆蓋形成空腔402的半導體鰭121的暴露表面。In some embodiments, the deposited material 406 may have a deposited thickness 406A of about 2 nm to about 10 nm. In other embodiments, the deposited material 406 may have a deposited thickness 406A thicker than 10 nm for certain configurations of the finFET 100 . In some embodiments, as shown in Figure 4D, deposition thickness 406A is selected such that deposition material 406 completely fills cavity 402. In other embodiments, deposition thickness 406A is selected such that deposition material 406 partially fills cavity 402 and covers the exposed surface of semiconductor fin 121 forming cavity 402 .

在操作305中的適宜SEG製程可包括經選擇為促進特定n摻雜或p摻雜的半導體材料的選擇性生長的具體處理溫度及壓力、處理氣體、及氣體流。在特定n摻雜的半導體材料包括Si:As的實施例中,在操作305的SEG製程中使用的摻雜氣體可包括AsH3 、As(SiH3 )3 、AsCl3 、或第三丁基胂(tertiarybutylarsine; TBA)。在SEG製程中採用的其他氣體可包括二氯矽烷(dichlorosilane; DCS)、HCl、SiH4 、Si2 H6 、及/或Si4 H10 。在此種實施例中,操作305的SEG製程可在大氣壓或高次大氣壓腔室中執行,該腔室就有低H2 載氣流。例如,在此種實施例中,在執行SEG製程的處理腔室中的處理壓力可係在約20-700 T的數量級上。在此種實施例中,高反應器壓力及低稀釋(歸因於低載氣流)可以產生高砷及高二氯矽烷(H2 SiCl2 或DCS)分壓,由此有利於在SEG製程期間從表面403移除氯(Cl)及過量砷。因此,實現高膜生長速率及相關聯的高砷整合速率,並且可以獲得良好晶體品質。在一些實施例中,所使用的摻雜氣體提供了p摻雜的半導體材料。在一些實施例中,p摻雜的半導體材料包含硼(B)、鋁(Al)、鎵(Ga)或銦(In)的一或多種。在一些實施例中,摻雜前驅物包含硼烷、二硼烷或其電漿的一或多個。Suitable SEG processes in operation 305 may include specific processing temperatures and pressures, processing gases, and gas flows selected to promote selective growth of specific n-doped or p-doped semiconductor materials. In embodiments where the particular n-doped semiconductor material includes Si:As, the doping gas used in the SEG process of operation 305 may include AsH 3 , As(SiH 3 ) 3 , AsCl 3 , or tert-butylarsine. (tertiarybutylarsine; TBA). Other gases used in the SEG process may include dichlorosilane (DCS), HCl, SiH 4 , Si 2 H 6 , and/or Si 4 H 10 . In such an embodiment, the SEG process of operation 305 may be performed in an atmospheric or subatmospheric pressure chamber, which has a low H 2 carrier gas flow. For example, in such embodiments, the process pressure in the processing chamber performing the SEG process may be on the order of approximately 20-700 T. In such embodiments, high reactor pressure and low dilution (due to low carrier gas flow) can produce high arsenic and high dichlorosilane (H 2 SiCl 2 or DCS) partial pressures, thus facilitating the production of high arsenic and high dichlorosilane (H 2 SiCl 2 or DCS) partial pressures during the SEG process. Surface 403 removes chlorine (Cl) and excess arsenic. Therefore, high film growth rates and associated high arsenic integration rates are achieved, and good crystal quality can be obtained. In some embodiments, the doping gas used provides a p-doped semiconductor material. In some embodiments, the p-doped semiconductor material includes one or more of boron (B), aluminum (Al), gallium (Ga), or indium (In). In some embodiments, the doping precursor includes one or more of borane, diborane, or plasma thereof.

操作305的SEG製程可在任何適宜的處理腔室中執行,諸如整合到各種多處理平台的一個中的處理腔室,該等多處理平台包括可獲自應用材料公司的ProducerTM GT、CenturaTM AP及Endura平台。在此種實施例中,操作304的SiCoNiTM 蝕刻製程可在相同的多處理平台的另一腔室中執行。The SEG process of operation 305 may be performed in any suitable processing chamber, such as a processing chamber integrated into one of various multi-processing platforms, including the Producer GT, Centura available from Applied Materials, Inc. AP and Endura platforms. In such an embodiment, the SiCoNi etch process of operation 304 may be performed in another chamber of the same multi-processing platform.

在操作306中,如第4E圖中示出,執行第二SEG製程,其中形成重度摻雜區域201。重度摻雜區域201在摻雜延伸區域202上形成。重度摻雜區域201可由任何適宜的半導體材料形成,包括摻雜矽、摻雜鍺矽、摻雜碳矽、或類似者。一或多種摻雜劑可包括任何適宜的n摻雜劑,諸如磷。例如,在一些實施例中,重度摻雜區域201可包括磷摻雜的矽(Si:P)。任何適宜的SEG製程可用於形成重度摻雜區域201。重度摻雜區域201的厚度及其他膜特性可基於finFET 100的電氣需求、finFET 100的大小、及其他因素來選擇。In operation 306, as shown in Figure 4E, a second SEG process is performed in which heavily doped region 201 is formed. Heavily doped region 201 is formed over doped extension region 202 . Heavily doped region 201 may be formed from any suitable semiconductor material, including doped silicon, doped silicon germanium, doped silicon carbon, or the like. The one or more dopants may include any suitable n-dopant, such as phosphorus. For example, in some embodiments, heavily doped region 201 may include phosphorus-doped silicon (Si:P). Any suitable SEG process may be used to form heavily doped region 201 . The thickness and other film characteristics of the heavily doped region 201 may be selected based on the electrical requirements of the finFET 100, the size of the finFET 100, and other factors.

在一些實施例中,第二SEG製程在與操作305的SEG製程相同的處理腔室中執行。因此,在形成重度摻雜區域201期間實際上初步沉積步驟中可形成摻雜延伸區域202。因此,在此種實施例中,不需要專用處理腔室來形成摻雜延伸區域202,並且避免用於將基板從第一處理腔室(用於執行摻雜延伸區域202的SEG)傳遞到第二處理腔室(用於執行重度摻雜區域201的SEG)的額外時間。此外,在此種實施例中,沉積材料406不暴露於空氣。或者,在一些實施例中,第二SEG製程在與操作305的SEG製程不同的處理腔室中執行,由此減少暴露於有害摻雜劑(諸如砷)的處理腔室的數量。在此種實施例中,兩種腔室可整合到相同多處理平台中,由此避免真空破壞及將沉積材料406暴露於空氣。 In some embodiments, the second SEG process is performed in the same processing chamber as the SEG process of operation 305 . Thus, doped extension region 202 may be formed in an actual preliminary deposition step during formation of heavily doped region 201 . Therefore, in such embodiments, a dedicated processing chamber is not required to form doped extension region 202, and the need for transferring the substrate from the first processing chamber (the SEG used to perform doped extension region 202) to the second processing chamber is avoided. Additional time for the second processing chamber (used to perform SEG of heavily doped region 201). Furthermore, in such embodiments, deposition material 406 is not exposed to air. Alternatively, in some embodiments, the second SEG process is performed in a different processing chamber than the SEG process of operation 305, thereby reducing the number of processing chambers exposed to harmful dopants, such as arsenic. In such an embodiment, both chambers may be integrated into the same multi-processing platform, thereby avoiding vacuum disruption and exposure of deposition material 406 to air.

在操作306之後,finFET 100的剩餘部件可使用習知製造技術完成。 Following operation 306, the remaining components of finFET 100 may be completed using conventional manufacturing techniques.

製程300的實施方式實現在精確定義的位置中(亦即,在難以用習知離子植入技術達到的半導體鰭121的區域中)形成摻雜延伸區域202。此外,形成摻雜延伸區域202的製程可以整合到在製造finFET時已經採用的現有選擇性磊晶生長步驟中,由此最小化或消除對用於形成finFET的製程流的干擾。另外,避免植入破壞(亦即,來自重度質量離子植入的缺陷,諸如矽間隙或甚至矽非晶化),以及在此種晶體缺陷與高濃度的砷及/或磷之間的任何有害相互作用。由此,不需要影響製程的後植入退火或相關聯的額外熱預算。此外,由於在摻雜延伸區域202與重度摻雜區域201的沉積之間不發生真空破壞,當在與操作306的SEG製程相同的處理腔室中、或在相同多處理平台上的不同處理腔室中執行操作305的SEG製程時,亦避免額外的預清潔相關的材料損失。 Embodiments of process 300 enable formation of doped extension regions 202 in precisely defined locations (ie, in areas of semiconductor fin 121 that are difficult to reach using conventional ion implantation techniques). Additionally, the process of forming doped extension regions 202 may be integrated into existing selective epitaxial growth steps already employed in fabricating finFETs, thereby minimizing or eliminating interference with the process flow used to form finFETs. Additionally, avoid implant damage (i.e., defects from heavy mass ion implantation, such as silicon interstitiality or even silicon amorphization), and any detrimental effects between such crystal defects and high concentrations of arsenic and/or phosphorus. interaction. As a result, there is no need for process-impacting post-implantation annealing or associated additional thermal budget. Furthermore, because no vacuum breach occurs between the deposition of doped extension region 202 and heavily doped region 201 , when performing the SEG process in operation 306 in the same processing chamber, or in a different processing chamber on the same multi-processing platform When performing the SEG process of Operation 305 in the chamber, additional material losses related to pre-cleaning are also avoided.

如在本領域中熟知的,將拉伸應變引入nMOS finFET的通道區域中可以增加nMOS finFET中的電荷遷移率。另外,如本文所描述,鄰近半導體鰭121的通 道區域205形成磊晶生長的Si:As材料可以在通道區域205中引入顯著拉伸應變。例如,根據本揭示的一些實施例,n摻雜延伸區域可以一砷濃度沉積,該砷濃度足夠在摻雜延伸區域202內產生靶向的拉伸應變。因此,在沉積材料406包括磊晶生長的Si:As的實施例中,由於藉由形成n摻雜拉伸區域在通道區域205中引入拉伸應變,在finFET 100中形成摻雜延伸區域202的額外益處係通道區域205可以具有改進的電荷遷移率。在一些實施例中,例如,將鍺(Ge)、銻(Sb)及/或錫(Sn)摻雜到p摻雜延伸區域中以向通道提供壓縮應力。 As is well known in the art, introducing tensile strain into the channel region of an nMOS finFET can increase charge mobility in the nMOS finFET. Additionally, as described herein, the pass adjacent semiconductor fin 121 Forming the channel region 205 from an epitaxially grown Si:As material can introduce significant tensile strain in the channel region 205 . For example, in accordance with some embodiments of the present disclosure, the n-doped extension region may be deposited at an arsenic concentration sufficient to create targeted tensile strain within the doped extension region 202 . Thus, in embodiments in which the deposited material 406 includes epitaxially grown Si:As, the doped extension region 202 is formed in the finFET 100 due to the tensile strain introduced in the channel region 205 by forming the n-doped stretch region. An additional benefit is that channel region 205 may have improved charge mobility. In some embodiments, for example, germanium (Ge), antimony (Sb), and/or tin (Sn) are doped into the p-doped extension to provide compressive stress to the channel.

在一些實施例中,可選的含碳層在空腔402中形成。在此種實施例中,含碳層可係在摻雜延伸區域202與重度n摻雜區域201之間的襯墊。在第5圖中示出一個此種實施例。 In some embodiments, an optional carbon-containing layer is formed in cavity 402. In such an embodiment, the carbon-containing layer may be a liner between doped extension region 202 and heavily n-doped region 201 . One such embodiment is shown in Figure 5 .

第5圖係根據本揭示的各個實施例的在形成空腔402之後的finFET 100的示意性橫截面圖。如圖所示,含碳層501在沉積材料406的表面407上沉積。存在碳(C)可增強砷擴散,同時減少磷擴散。因此,在一些實施例中,含碳層501包括在約0.5%至約1.0%之間的碳。在此種實施例中,含碳層501可進一步包括磷,例如,在約1x1020原子/cm3與約5x1020原子/cm3之間。此種含碳層可在約650℃±50℃的處理溫度下在大氣或近大氣SEG腔室中生長。因此,在含碳層501包括Si:C:P的實施例中,形成包括Si:P(重度n摻雜區域201)、Si:C:P (含碳層501)、及Si:As(摻雜延伸區域202)的三層結構。此種三層結構可導致砷遠離通道區域205並且朝向重度n摻雜區域201擴散。 Figure 5 is a schematic cross-sectional view of finFET 100 after forming cavity 402 in accordance with various embodiments of the present disclosure. As shown, a carbon-containing layer 501 is deposited on surface 407 of deposition material 406 . The presence of carbon (C) enhances arsenic diffusion while reducing phosphorus diffusion. Accordingly, in some embodiments, carbon-containing layer 501 includes between about 0.5% and about 1.0% carbon. In such embodiments, the carbon-containing layer 501 may further include phosphorus, for example, between about 1×10 20 atoms/cm 3 and about 5×10 20 atoms/cm 3 . Such carbon-containing layers may be grown in an atmospheric or near-atmospheric SEG chamber at a processing temperature of approximately 650°C ± 50°C. Therefore, in an embodiment in which the carbon-containing layer 501 includes Si:C:P, the formation includes Si:P (heavily n-doped region 201), Si:C:P (carbon-containing layer 501), and Si:As (heavily n-doped region 201). The three-layer structure of the mixed extension region 202). Such a three-layer structure may cause arsenic to diffuse away from the channel region 205 and toward the heavily n-doped region 201 .

在一些實施例中,n摻雜的半導體材料可在奈米線結構的區域中形成為奈米線結構的部分,奈米線結構的該等區域不可經由習知離子植入技術達到。形成一個此種實施例在下文結合第6圖以及第7A圖至第7E圖描述。 In some embodiments, n-doped semiconductor material can be formed as part of the nanowire structure in regions of the nanowire structure that are not accessible via conventional ion implantation techniques. Forming such an embodiment is described below in conjunction with Figure 6 and Figures 7A to 7E.

第6圖係根據本揭示的各個實施例的用於形成奈米線結構700的製造製程600的流程圖。第7A圖至第7E圖係根據本揭示的實施例的對應於製程600的各個階段的奈米線結構700的示意性橫截面圖。儘管將製程600描繪為用於在奈米線結構中形成n摻雜區域,製程600亦可用於在基板上形成其他結構。 Figure 6 is a flow diagram of a manufacturing process 600 for forming a nanowire structure 700 in accordance with various embodiments of the present disclosure. 7A to 7E are schematic cross-sectional views of the nanowire structure 700 corresponding to various stages of the process 600 according to embodiments of the present disclosure. Although process 600 is depicted as being used to form n-doped regions in a nanowire structure, process 600 may be used to form other structures on a substrate.

製程600開始於操作601,如第7A圖中示出,其中交替的矽層710及鍺矽(SiGe)層在主體半導體基板701上形成。主體半導體基板701可由矽、鍺矽、或任何其他適宜的主體結晶半導體材料形成。矽層710及鍺矽層720可各者經由SEG製程形成,並且通常包括結晶半導體材料。 Process 600 begins with operation 601, as shown in FIG. 7A, in which alternating silicon layers 710 and silicon germanium (SiGe) layers are formed on a host semiconductor substrate 701. Bulk semiconductor substrate 701 may be formed from silicon, silicon germanium, or any other suitable bulk crystalline semiconductor material. Silicon layer 710 and germanium-silicon layer 720 may each be formed via a SEG process and typically include crystalline semiconductor materials.

在操作602中,如第7B圖中示出,矽層710及鍺矽層720經圖案化及蝕刻以暴露矽層710上的垂直側壁711及鍺矽層720上的垂直側壁721。在一些實施例中,操作602包括DRIE製程。 In operation 602, as shown in FIG. 7B, the silicon layer 710 and the germanium silicon layer 720 are patterned and etched to expose the vertical sidewalls 711 on the silicon layer 710 and the vertical sidewalls 721 on the germanium silicon layer 720. In some embodiments, operation 602 includes a DRIE process.

在操作603中,如第7C圖中示出,鍺矽層720從垂直側壁721向內選擇性蝕刻,以形成空腔706。在一些實施例中,化學氣相蝕刻(chemical vapor etching;CVE)製程用於相對於矽層710選擇性移除鍺矽層720。例如,已經闡述了在減壓化學氣相沉積反應器中SiGe相對於Si的氣體氫氯酸選擇性蝕刻。或者,在操作603中可以採用異位HF浸漬接著在磊晶反應器中原位執行的GeH4增強的Si蝕刻。 In operation 603, as shown in FIG. 7C, germanium silicon layer 720 is selectively etched inwardly from vertical sidewalls 721 to form cavity 706. In some embodiments, a chemical vapor etching (CVE) process is used to selectively remove the silicon germanium layer 720 relative to the silicon layer 710 . For example, gaseous hydrochloric acid selective etching of SiGe relative to Si in a reduced pressure chemical vapor deposition reactor has been described. Alternatively, ex-situ HF impregnation followed by GeH4- enhanced Si etch performed in-situ in an epitaxial reactor may be employed in operation 603.

在操作604中,如第7D圖中示出,低介電常數材料704隨後在主體半導體基板701上保形沉積。低介電常數材料704填充空腔706的至少一部分。 In operation 604, as shown in Figure 7D, low dielectric constant material 704 is then conformally deposited on host semiconductor substrate 701. Low dielectric constant material 704 fills at least a portion of cavity 706 .

在操作605中,如第7E圖中示出,低介電常數材料704經圖案化及蝕刻以暴露矽層710上的垂直側壁711與鍺矽層720上的經填充的空腔706。在一些實施例中,操作605包括DRIE製程。所填充空腔706形成間隔層702,其中每個間隔層702在鍺矽層720的邊緣區域705處形成。 In operation 605, as shown in Figure 7E, low-k material 704 is patterned and etched to expose vertical sidewalls 711 on silicon layer 710 and filled cavities 706 on germanium silicon layer 720. In some embodiments, operation 605 includes a DRIE process. The filled cavities 706 form spacer layers 702 , with each spacer layer 702 being formed at an edge region 705 of the germanium silicon layer 720 .

在操作606中,如第7F圖中示出,矽層710的部分從邊緣區域705選擇性移除以形成空腔706。矽可經由CVE製程(諸如相對於間隔層702對矽具有選擇性的CVE製程)從邊緣區域705移除。在一些實施例中,CVE製程可包括基於HCl的CVE製程、基於HCl及GeH4的CVE製程、及/或基於Cl2的CVE製程的一或多個。 In operation 606, portions of silicon layer 710 are selectively removed from edge region 705 to form cavity 706, as shown in Figure 7F. Silicon may be removed from edge region 705 via a CVE process, such as a CVE process that is selective for silicon relative to spacer layer 702 . In some embodiments, the CVE process may include one or more of an HCl-based CVE process, an HCl and GeH 4 -based CVE process, and/or a Cl 2 -based CVE process.

在操作607中,如第7G圖中示出,n摻雜的矽材料718在空腔706中經由SEG製程生長。在一些實施例中,n摻雜劑係砷,並且n摻雜的矽材料包括Si:As。在此種實施例中,操作605的SEG製程可實質上類似於上文闡述的製程300中的操作305的SEG製程。 In operation 607, as shown in Figure 7G, n-doped silicon material 718 is grown in cavity 706 via a SEG process. In some embodiments, the n-dopant is arsenic and the n-doped silicon material includes Si:As. In such an embodiment, the SEG process of operation 605 may be substantially similar to the SEG process of operation 305 of process 300 described above.

在替代實施例中,間隔層702可藉由選擇性氧化鍺矽層720的部分來形成,而非選擇性蝕刻隨後用低介電常數材料704填充的鍺矽層720的部分。 In an alternative embodiment, spacer layer 702 may be formed by selectively oxidizing portions of germanium-silicon layer 720 rather than selectively etching portions of germanium-silicon layer 720 that are subsequently filled with low-k material 704 .

製程600的實施方式實現形成奈米線結構700,該奈米線結構包括摻雜區域,亦即,用n摻雜的矽材料718填充的空腔706。注意到,由於空腔706設置在奈米線結構700的現有結構與半導體基板701的主體半導體部分之間,上文描述的摻雜區域不可藉由視線離子植入技術出入。因此,此種摻雜區域不能經由習知技術形成。 Embodiments of process 600 enable the formation of a nanowire structure 700 that includes a doped region, ie, a cavity 706 filled with n-doped silicon material 718 . Note that since the cavity 706 is disposed between the existing structure of the nanowire structure 700 and the main semiconductor portion of the semiconductor substrate 701, the doped region described above cannot be accessed by line-of-sight ion implantation techniques. Therefore, such a doped region cannot be formed by conventional techniques.

第8圖示出了本揭示的另一實施例。熟習此項技術者將認識到,第8圖中示出的方法800可以與製程300或製程600結合。參考第8圖以及第4A圖直至第4E圖,方法800開始於801,其中提供半導體基板用於處理。半導體基板其上具有半導體材料。如在本說明書及隨附申請專利範圍中使用,術語「提供」意味著將基板放置到用於處理的位置中。例如,可將基板放置在第一處理腔室內用於處理。 Figure 8 illustrates another embodiment of the present disclosure. Those skilled in the art will recognize that method 800 illustrated in Figure 8 may be combined with process 300 or process 600. Referring to Figure 8 and Figures 4A through 4E, method 800 begins at 801 where a semiconductor substrate is provided for processing. A semiconductor substrate has semiconductor material thereon. As used in this specification and accompanying claims, the term "providing" means placing a substrate into a position for processing. For example, the substrate may be placed within a first processing chamber for processing.

於操作802,對半導體基板上的半導體材料執行各向異性蝕刻製程。各向異性蝕刻製程暴露半導體材料中的表面。在一些實施例中,不執行操作802。一些實施例的暴露表面在半導體元件的現有結構與其上形成半導體材料的半導體基板的主體半導體部分之間設置。In operation 802, an anisotropic etching process is performed on the semiconductor material on the semiconductor substrate. Anisotropic etching processes expose surfaces in semiconductor materials. In some embodiments, operation 802 is not performed. The exposed surface of some embodiments is disposed between the existing structure of the semiconductor element and the bulk semiconductor portion of the semiconductor substrate on which the semiconductor material is formed.

於操作803,各向同性蝕刻製程在暴露側壁上執行以凹陷在現有結構與基板的主體半導體部分之間設置的半導體材料。將側壁凹陷一距離以形成空腔。側壁凹陷的量可以基於例如各向同性蝕刻條件來變化。At operation 803, an isotropic etching process is performed on the exposed sidewalls to recess the semiconductor material disposed between the existing structure and the bulk semiconductor portion of the substrate. The side walls are recessed a distance to form a cavity. The amount of sidewall recess may vary based on, for example, isotropic etching conditions.

於操作804,決定了半導體材料已經藉由各向同性蝕刻製程凹陷的距離。凹陷距離可以藉由熟習此項技術者已知的任何適宜技術來量測。在一些實施例中,凹陷距離藉由折射法決定。At operation 804, the distance to which the semiconductor material has been recessed by the isotropic etching process is determined. The recess distance may be measured by any suitable technique known to those skilled in the art. In some embodiments, the recess distance is determined by refraction.

於操作805,沉積材料層經由選擇性磊晶生長(selective epitaxial growth; SEG)製程在空腔表面上形成。在形成空腔與SEG之間,一些實施例的基板不經歷預清潔製程。在一些實施例中,在形成空腔與SEG製程之間,基板不暴露於大氣條件或氧化條件。In operation 805, a layer of deposited material is formed on the cavity surface through a selective epitaxial growth (SEG) process. Some embodiments have substrates that do not undergo a pre-cleaning process between cavity formation and SEG. In some embodiments, the substrate is not exposed to atmospheric or oxidizing conditions between forming the cavity and the SEG process.

一些實施例的SEG製程由預定方法基於凹陷距離來調節。例如,若預定方法經構造為用於凹陷深度5 Å並且實際量測的凹陷深度係6 Å,則可以改變SEG條件以生長足夠膜來彌補差異。在一些實施例中,SEG製程經調節為執行一種類型以上的生長。例如,若凹陷深度大於預定限值,則SEG製程可藉由在形成摻雜的沉積材料之前沉積矽來開始。The SEG process of some embodiments is adjusted based on recess distance by a predetermined method. For example, if a predetermined method is configured for a recess depth of 5 Å and the actual measured recess depth is 6 Å, the SEG conditions can be changed to grow enough film to make up for the difference. In some embodiments, the SEG process is tuned to perform more than one type of growth. For example, if the recess depth is greater than a predetermined limit, the SEG process may begin by depositing silicon before forming the doped deposition material.

在一或多個實施例中,操作803、操作804、及操作805藉由使用先進處理控制(advanced process control; APC)來整合。如本文所使用,術語「整合」意味著橫向推動及磊晶生長在相同平台中(在真空處理下)執行。於操作804,整合的度量法可用於決定凹陷距離的量。在一些實施例中,原位進行整合的度量法。一旦已經藉由整合的度量法決定凹陷距離,量測結果將饋送到磊晶工具,因此可以執行補償(例如,可以由此調節第一磊晶層的厚度/組成物)。在一些實施例中,先進處理控制包含散射法(亦即,光學臨界尺寸(optical critical dimension; OCD)度量)、折射法、橢圓偏光法或電子束中的一或多個。In one or more embodiments, operations 803, 804, and 805 are integrated using advanced process control (APC). As used herein, the term "integrated" means that lateral pushing and epitaxial growth are performed in the same platform (under vacuum processing). At operation 804, the integrated metric may be used to determine the amount of recess distance. In some embodiments, integrated metrology is performed in situ. Once the recess distance has been determined by integrated metrology, the measurement results are fed to the epitaxial tool so that compensation can be performed (eg, the thickness/composition of the first epitaxial layer can thereby be adjusted). In some embodiments, advanced processing controls include one or more of scatterometry (ie, optical critical dimension (OCD) measurements), refraction, ellipsometry, or electron beam.

參考第9圖,本揭示的額外實施例涉及用於執行本文描述的方法的處理工具900。第9圖示出了可以用於根據本揭示的一或多個實施例處理基板的系統900。系統900可以被稱為群集工具。系統900包括其中具有機器人912的中央傳遞站910。將機器人912示出為單葉機器人;然而,本領域技藝人士將認識到,其他機器人912構造係在本揭示的範疇內。機器人912經構造為在連接到中央傳遞站910的腔室之間移動一或多個基板。Referring to Figure 9, additional embodiments of the present disclosure relate to a processing tool 900 for performing the methods described herein. Figure 9 illustrates a system 900 that may be used to process substrates in accordance with one or more embodiments of the present disclosure. System 900 may be referred to as a cluster tool. System 900 includes a central transfer station 910 having a robot 912 therein. Robot 912 is shown as a single-leaf robot; however, those skilled in the art will recognize that other robot 912 configurations are within the scope of the present disclosure. Robot 912 is configured to move one or more substrates between chambers connected to central transfer station 910 .

至少一個預清潔/緩衝腔室920連接到中央傳遞站910。預清潔/緩衝腔室920可以包括加熱器、自由基源或電漿源中的一或多個。預清潔/緩衝腔室920可以用作固持區域,該固持區域用於獨立的半導體基板或用於處理的晶圓匣。預清潔/緩衝腔室920可以執行預清潔製程或可以預熱用於處理的基板或者可以簡單地為用於製程序列的暫存區域。在一些實施例中,存在連接到中央傳遞站910的兩個預清潔/緩衝腔室920。 At least one pre-cleaning/buffering chamber 920 is connected to the central transfer station 910 . Preclean/buffer chamber 920 may include one or more of a heater, radical source, or plasma source. The preclean/buffer chamber 920 may be used as a holding area for individual semiconductor substrates or wafer cassettes for processing. The pre-clean/buffer chamber 920 may perform a pre-clean process or may preheat the substrate for processing or may simply be a staging area for processing sequences. In some embodiments, there are two pre-cleaning/buffering chambers 920 connected to the central transfer station 910.

在第9圖所示的實施例中,預清潔腔室920可以用作穿過在工廠界面905與中央傳遞站910之間的腔室。工廠界面905可以包括一或多個機器人906,用於將基板從匣移動到預清潔/緩衝腔室920。機器人912可以隨後將基板從預清潔/緩衝腔室920移動到系統900內的其他腔室。 In the embodiment shown in Figure 9, a pre-cleaning chamber 920 may be used as a pass-through chamber between the factory interface 905 and the central transfer station 910. Factory interface 905 may include one or more robots 906 for moving substrates from cassettes to pre-clean/buffer chamber 920. The robot 912 may then move the substrates from the pre-clean/buffer chamber 920 to other chambers within the system 900 .

第一處理腔室930可以連接到中央傳遞站910。第一處理腔室930可以經構造為各向異性蝕刻腔室並且可與一或多個反應性氣體源流體連通以向第一處理腔室930提供反應性氣體的一或多個流。基板可以藉由穿過隔離閥914的機器人912移動到沉積腔室930並且從該沉積腔室移動。 The first processing chamber 930 may be connected to the central transfer station 910. The first processing chamber 930 may be configured as an anisotropic etch chamber and may be in fluid communication with one or more reactive gas sources to provide one or more streams of reactive gases to the first processing chamber 930 . Substrates may be moved to and from the deposition chamber 930 by the robot 912 passing through the isolation valve 914 .

處理腔室940亦可以連接到中央傳遞站910。在一些實施例中,處理腔室940包含各向同性蝕刻腔室並且與一或多個反應性氣體源流體連通以向處理腔室940提供反應性氣體流來執行各向同性蝕刻製程。基板可以藉由穿過隔離閥914的機器人912移動到沉積腔室940並且從該沉積腔室移動。 Processing chamber 940 may also be connected to central transfer station 910. In some embodiments, processing chamber 940 includes an isotropic etch chamber and is in fluid communication with one or more reactive gas sources to provide a flow of reactive gases to processing chamber 940 to perform an isotropic etch process. Substrates may be moved to and from the deposition chamber 940 by the robot 912 passing through the isolation valve 914 .

處理腔室945亦可以連接到中央傳遞站910。在一些實施例中,處理腔室945係與處理腔室940 是相同類型並經構造為執行與處理腔室940相同的製程。此佈置在處理腔室940中發生的製程與處理腔室930中的製程相比花費非常長的時間的情況中可能是有用的。 Processing chamber 945 may also be connected to central transfer station 910. In some embodiments, processing chamber 945 is the same as processing chamber 940 are of the same type and configured to perform the same process as processing chamber 940 . This arrangement may be useful in situations where the process occurring in processing chamber 940 takes a very long time compared to the process in processing chamber 930 .

在一些實施例中,處理腔室960連接到中央傳遞站910並且經構造為用作選擇性磊晶生長腔室。處理腔室960可以經構造為執行一或多個不同的磊晶生長製程。 In some embodiments, processing chamber 960 is connected to central transfer station 910 and is configured to function as a selective epitaxial growth chamber. Processing chamber 960 may be configured to perform one or more different epitaxial growth processes.

在一些實施例中,各向異性蝕刻製程在與各向同性蝕刻製程相同的處理腔室中發生。在此類實施例中,處理腔室930及處理腔室960可以經構造為在兩個基板上同時執行蝕刻製程,並且處理腔室940及處理腔室945可以經構造為執行選擇性磊晶生長製程。 In some embodiments, the anisotropic etch process occurs in the same processing chamber as the isotropic etch process. In such embodiments, processing chamber 930 and processing chamber 960 may be configured to perform etching processes on two substrates simultaneously, and processing chamber 940 and processing chamber 945 may be configured to perform selective epitaxial growth. process.

在一些實施例中,處理腔室930、940、945及960的每一個經構造為執行處理方法的不同部分。例如,處理腔室930可經構造為執行各向異性蝕刻製程,處理腔室940可經構造為執行各向同性蝕刻製程,處理腔室945可經構造為度量站或執行第一選擇性磊晶生長製程,並且處理腔室960可經構造為執行第二磊晶生長製程。熟習此項技術者將認識到,在工具上的獨立處理腔室的數量及佈置可以變化,並且第9圖中示出的實施例僅表示一種可能的構造。 In some embodiments, each of processing chambers 930, 940, 945, and 960 is configured to perform a different portion of the processing method. For example, processing chamber 930 can be configured to perform an anisotropic etch process, processing chamber 940 can be configured to perform an isotropic etch process, and processing chamber 945 can be configured to be a metrology station or to perform first selective epitaxy. growth process, and processing chamber 960 may be configured to perform a second epitaxial growth process. Those skilled in the art will recognize that the number and arrangement of individual processing chambers on the tool may vary, and that the embodiment shown in Figure 9 represents only one possible configuration.

在一些實施例中,處理系統900包括一或多個度量站。例如,度量站可以位於預清潔/緩衝腔室920內、中央傳遞站910內、或任何獨立的處理腔室內。度量站可以係系統900內的任何位置,該系統允許在不將基板暴露至氧化環境的情況下量測凹陷距離。In some embodiments, processing system 900 includes one or more metrology stations. For example, the metrology station may be located within the pre-cleaning/buffering chamber 920, the central transfer station 910, or any separate processing chamber. The metrology station can be located anywhere within the system 900, which allows measurement of recess distance without exposing the substrate to an oxidizing environment.

至少一個控制器950耦合到中央傳遞站910、預清潔/緩衝腔室920、處理腔室930、940、945或960的一或多個。在一些實施例中,存在連接到獨立腔室或站的一個以上控制器950,並且主要控制處理器耦合到單獨處理器的每一個以控制系統900。控制器950可係任何形式的通用電腦處理器、微控制器、微處理器等等中的一個,該控制器可以在工業環境中用於控制各個腔室及子處理器。At least one controller 950 is coupled to one or more of central transfer station 910 , preclean/buffer chamber 920 , processing chamber 930 , 940 , 945 , or 960 . In some embodiments, there are more than one controller 950 connected to individual chambers or stations, and a primary control processor is coupled to each of the individual processors to control system 900. Controller 950 may be one of any form of general purpose computer processor, microcontroller, microprocessor, etc. that may be used in an industrial environment to control various chambers and sub-processors.

至少一個控制器950可以具有處理器952、耦合到處理器952的記憶體954、耦合到處理器952的輸入/輸出元件956、以及支援電路958以在不同電子部件之間通訊。記憶體954可以包括暫時記憶體(例如,隨機存取記憶體)及非暫時記憶體(例如,儲存器)中的一或多個。At least one controller 950 may have a processor 952, memory 954 coupled to the processor 952, input/output components 956 coupled to the processor 952, and support circuitry 958 to communicate between the various electronic components. Memory 954 may include one or more of temporary memory (eg, random access memory) and non-transitory memory (eg, storage).

處理器的記憶體954或電腦可讀取媒體可係容易獲得的記憶體的一或多個,諸如隨機存取記憶體(random access memory; RAM)、唯讀記憶體(read-only memory; ROM)、軟碟、硬碟、或任何其他形式的數位儲存(本端或遠端)。記憶體954可以保存指令集,該指令集可藉由處理器952操作以控制系統900的參數及部件。支援電路958耦合到CPU 952,用於以習知方式支援處理器。例如,電路可包括快取記憶體、電源供應器、時鐘電路、輸入/輸出電路、子系統、以及類似者。The processor's memory 954 or the computer-readable medium may be one or more of readily available memories, such as random access memory (RAM), read-only memory (ROM) ), floppy disk, hard disk, or any other form of digital storage (local or remote). Memory 954 may store a set of instructions that may be operated by processor 952 to control parameters and components of system 900 . Support circuitry 958 is coupled to CPU 952 for supporting the processor in a conventional manner. For example, circuitry may include cache memory, power supplies, clock circuits, input/output circuits, subsystems, and the like.

製程可通常在記憶體中儲存為軟體常式,當由處理器執行時,該軟體常式使處理腔室執行本揭示的製程。軟體常式亦可由第二處理器(未圖示)儲存及/或執行,該第二處理器位於由處理器控制的硬體遠端。本揭示的一些或所有方法亦可在硬體中執行。因此,製程可在軟體中實施並且在硬體中使用電腦系統執行,作為例如特殊應用積體電路或其他類型的硬體實施方式,或作為軟體及硬體的組合。當由處理器執行時,軟體常式將通用電腦轉換為專用電腦(控制器),該專用電腦控制腔室操作,使得製程得以執行。The process may typically be stored in memory as a software routine that, when executed by a processor, causes the processing chamber to perform the process of the present disclosure. Software routines may also be stored and/or executed by a second processor (not shown) located remotely from the hardware controlled by the processor. Some or all of the methods of this disclosure may also be executed in hardware. Thus, processes may be implemented in software and executed in hardware using a computer system, as, for example, application specific integrated circuits or other types of hardware implementations, or as a combination of software and hardware. When executed by the processor, the software routines convert a general-purpose computer into a special-purpose computer (controller) that controls chamber operations so that the process can be performed.

在一些實施例中,控制器950具有用於執行獨立製程或子製程的一或多種構造來執行方法。控制器950可以連接到中間部件或經構造為操作中間部件以執行方法的功能。例如,控制器950可以連接到氣體閥、致動器、馬達、狹縫閥、真空控制件等等的一或多個並且經構造為控制氣體閥、致動器、馬達、狹縫閥、真空控制件等等的一或多個。In some embodiments, controller 950 has one or more structures for performing independent processes or sub-processes to perform methods. The controller 950 may be connected to or configured to operate the intermediary components to perform the functions of the method. For example, the controller 950 may be connected to and configured to control one or more of a gas valve, actuator, motor, slit valve, vacuum control, etc. One or more of the controls, etc.

一些實施例的控制器950具有選自下列的一或多種構造:用於在複數個處理腔室與度量站之間移動機器人上的基板的構造;用於在基板上執行各向異性蝕刻製程的構造;用於在處理腔室中的基板上執行各向同性蝕刻製程的構造;用於執行分析以決定度量站中的半導體材料的凹陷的構造;用於在磊晶腔室中執行選擇性磊晶生長製程的構造;用於調節選擇性磊晶生長製程方案以考慮到半導體材料的凹陷的構造;用於執行主體選擇性磊晶生長製程的構造;用於從系統裝載及/或卸載基板的構造。The controller 950 of some embodiments has one or more configurations selected from: a configuration for moving a substrate on a robot between a plurality of processing chambers and metrology stations; a configuration for performing an anisotropic etching process on a substrate. Configuration; configuration for performing an isotropic etching process on a substrate in a processing chamber; configuration for performing analysis to determine depression of semiconductor material in a metrology station; configuration for performing selective epitaxy in an epitaxial chamber A structure for a crystal growth process; a structure for adjusting the selective epitaxial growth process scheme to account for recesses in the semiconductor material; a structure for performing a bulk selective epitaxial growth process; and a structure for loading and/or unloading substrates from the system Construct.

總而言之,本揭示的一或多個實施例提供了用於形成摻雜半導體材料區域的系統及技術,該等區域在半導體元件的現有結構與其上形成摻雜的含矽材料的半導體基板的主體半導體部分之間設置。在半導體元件包含finFET元件的實施例中,摻雜的半導體材料形成摻雜的源極及/或汲極延伸,該源極及/或汲極延伸在finFET的閘極間隔層與其上設置摻雜的源極或汲極延伸的半導體基板的主體半導體部分之間設置。In summary, one or more embodiments of the present disclosure provide systems and techniques for forming regions of doped semiconductor material in existing structures of semiconductor devices and forming bulk semiconductors of doped silicon-containing semiconductor substrates thereon. Set between sections. In embodiments where the semiconductor device includes a finFET device, the doped semiconductor material forms a doped source and/or drain extension that is disposed between the gate spacer of the finFET and the doped The source or drain extends between the main semiconductor portion of the semiconductor substrate.

在整個此說明書中提及「一個實施例」、「某些實施例」、「一或多個實施例」或「一實施例」意味著結合實施例描述的特定特徵、結構、材料、或特性包括在本揭示的至少一個實施例中。因此,在整個此說明書的各個位置中出現片語諸如「在一或多個實施例中」、「在某些實施例中」、「在一個實施例中」或「在一實施例中」不必指本揭示的相同實施例。另外,特定特徵、結構、材料或特性可以任何適宜方式結合在一或多個實施例中。Reference throughout this specification to "one embodiment," "certain embodiments," "one or more embodiments," or "an embodiment" means that a particular feature, structure, material, or characteristic is described in connection with the embodiment. Included in at least one embodiment of the present disclosure. Accordingly, the appearance of phrases such as "in one or more embodiments," "in certain embodiments," "in one embodiment," or "in an embodiment" in various places throughout this specification is not necessarily refer to the same embodiments of the present disclosure. Additionally, particular features, structures, materials, or characteristics may be combined in any suitable manner in one or more embodiments.

儘管本文的揭示已經參考特定實施例進行描述,本領域技藝人士將理解,所描述的實施例僅說明本揭示的原理及應用。本領域技藝人士將瞭解,可以對本揭示的方法及設備進行各種修改及變化,而不脫離本揭示的精神及範疇。因此,本揭示可以包括在隨附申請專利範圍及其等效的範疇內的修改及變化。Although the disclosure herein has been described with reference to specific embodiments, those skilled in the art will understand that the described embodiments are merely illustrative of the principles and applications of the disclosure. Those skilled in the art will appreciate that various modifications and variations can be made in the methods and apparatus of the disclosure without departing from the spirit and scope of the disclosure. Accordingly, the present disclosure may include modifications and changes within the scope of the appended claims and their equivalents.

100:鰭式場效電晶體 101:半導體基板 102:絕緣區域 120:鰭結構 121:半導體鰭 130:閘電極結構 131:閘電極層 132:閘極介電層 133:閘極間隔層 133A:寬度 134:氮化物部分 135:氧化物部分 136:遮罩層 201:重度摻雜區域 202:摻雜延伸區域 202A:厚度 205:通道區域 300:製程 301:操作 302:操作 303:操作 304:操作 305:操作 306:操作 401:側壁表面 401A:靶長度 402:空腔 402A:靶寬度 403:表面 406:沉積材料 406A:沉積厚度 407:表面 501:含碳層 600:製程 601:操作 602:操作 603:操作 604:操作 605:操作 606:操作 607:操作 700:奈米線結構 701:主體半導體基板 702:間隔層100: Fin field effect transistor 101:Semiconductor substrate 102: Insulation area 120: Fin structure 121:Semiconductor fin 130: Gate electrode structure 131: Gate electrode layer 132: Gate dielectric layer 133: Gate spacer layer 133A:Width 134:Nitride part 135: Oxide part 136:Mask layer 201:Heavily doped area 202: Doped extension region 202A:Thickness 205: Channel area 300:Process 301: Operation 302: Operation 303: Operation 304: Operation 305: Operation 306: Operation 401: Side wall surface 401A: Target length 402:Cavity 402A: Target width 403: Surface 406: Deposited Materials 406A: Deposition thickness 407: Surface 501:Carbon layer 600:Process 601: Operation 602: Operation 603: Operation 604: Operation 605: Operation 606: Operation 607: Operation 700: Nanowire structure 701:Main semiconductor substrate 702: Spacer layer

704:低介電常數材料 704: Low dielectric constant materials

705:邊緣區域 705: Edge area

706:空腔 706:Cavity

710:矽層 710:Silicon layer

711:垂直側壁 711:Vertical side wall

718:矽材料 718:Silicon material

720:鍺矽層 720: Germanium silicon layer

721:垂直側壁 721:Vertical side wall

800:方法 800:Method

801:操作 801: Operation

802:操作 802: Operation

803:操作 803: Operation

804:操作 804: Operation

805:操作 805: Operation

900:處理工具 900: Processing tools

905:工廠界面 905:Factory interface

906:機器人 906:Robot

910:中央傳遞站 910:Central delivery station

912:機器人 912:Robot

914:隔離閥 914:Isolation valve

920:預清潔/緩衝腔室 920: Pre-clean/buffer chamber

930:沉積腔室 930:Deposition chamber

940:處理腔室 940: Processing chamber

945:處理腔室 945:Processing Chamber

950:控制器 950:Controller

952:處理器 952: Processor

954:記憶體 954:Memory

956:輸入/輸出元件 956:Input/output components

958:支援電路 958:Support circuit

960:處理腔室 960:Processing chamber

為了能夠詳細理解本揭示的上述特徵所用方式,可參考實施例進行對上文簡要概述的本揭示的更具體描述,一些實施例在附圖中示出。然而,將注意,附圖僅示出本揭示的常見實施例,並且由此不被認為限制其範疇,因為本揭示可允許其他等同有效的實施例。 In order that the manner in which the above-described features of the disclosure may be characterized may be understood in detail, a more particular description of the disclosure briefly summarized above may be made with reference to the embodiments, some of which are illustrated in the accompanying drawings. It is to be noted, however, that the appended drawings illustrate only common embodiments of the disclosure and are therefore not to be considered limiting of its scope, for the disclosure may admit to other equally effective embodiments.

第1圖係根據本揭示的一或多個實施例的鰭式場效電晶體(finFET)的透視圖;第2圖係根據本揭示的一或多個實施例的第1圖的finFET的橫截面圖;第3圖係根據本揭示的一或多個實施例的用於形成finFET的製造製程的流程圖;Figure 1 is a perspective view of a fin field effect transistor (finFET) according to one or more embodiments of the present disclosure; Figure 2 is a cross-section of the finFET of Figure 1 according to one or more embodiments of the present disclosure. Figure; Figure 3 is a flow chart of a manufacturing process for forming finFETs according to one or more embodiments of the present disclosure;

第4A圖至第4E圖圖示了根據本揭示的一或多個實施例的對應於第3圖的製程的各個階段的半導體元件的示意性橫截面圖;4A to 4E illustrate schematic cross-sectional views of semiconductor devices corresponding to various stages of the process of FIG. 3 according to one or more embodiments of the present disclosure;

第5圖係根據本揭示的一或多個實施例的在形成空腔之後的第1圖的finFET的示意性橫截面圖;Figure 5 is a schematic cross-sectional view of the finFET of Figure 1 after forming a cavity in accordance with one or more embodiments of the present disclosure;

第6圖係根據本揭示的一或多個實施例的用於形成奈米線結構的製造製程的流程圖;Figure 6 is a flow chart of a manufacturing process for forming a nanowire structure according to one or more embodiments of the present disclosure;

第7A圖至第7G圖係根據本揭示的一或多個實施例的對應於第6圖的製程的各個階段的第7圖的奈米線/奈米片結構的示意性橫截面圖;Figures 7A to 7G are schematic cross-sectional views of the nanowire/nanosheet structure of Figure 7 corresponding to various stages of the process of Figure 6 in accordance with one or more embodiments of the present disclosure;

第8圖係根據本揭示的一或多個實施例的用於形成半導體元件的製造製程的流程圖;以及Figure 8 is a flow diagram of a manufacturing process for forming a semiconductor device according to one or more embodiments of the present disclosure; and

第9圖圖示了用於執行本揭示的任何實施例的處理系統的示意圖。Figure 9 illustrates a schematic diagram of a processing system for performing any embodiments of the present disclosure.

100:鰭式場效電晶體(finFET) 100: Fin field effect transistor (finFET)

101:半導體基板 101:Semiconductor substrate

130:閘電極結構 130: Gate electrode structure

131:閘電極層 131: Gate electrode layer

132:閘極介電層 132: Gate dielectric layer

133:閘極間隔層 133: Gate spacer layer

133A:寬度 133A:Width

134:氮化物部分 134:Nitride part

135:氧化物部分 135: Oxide part

136:遮罩層 136:Mask layer

406:沉積材料 406: Deposited Materials

407:表面 407: Surface

501:含碳層 501:Carbon layer

Claims (16)

一種形成一半導體元件的方法,該方法包含以下步驟:對一半導體基板上的一半導體材料執行一各向異性蝕刻製程,以暴露該半導體材料中的一表面,該表面在該半導體元件的一現有結構與其上形成該半導體材料的該半導體基板的一主體半導體部分之間設置;在一暴露側壁上執行一各向同性蝕刻製程以將在該現有結構與該半導體基板的該主體半導體部分之間設置的該半導體材料凹陷一距離以形成一空腔;決定在各向同性蝕刻之後該半導體材料已經凹陷的該距離;經由一選擇性磊晶生長(SEG)製程在該空腔的一表面上形成沉積材料的一層,在形成該空腔與SEG之間,該半導體基板不經歷一預清潔製程;基於該半導體材料已經凹陷的該距離來調節該SEG製程;以及經由一選擇性磊晶生長(SEG)製程在沉積材料的該層上形成一摻雜區域,其中該摻雜區域包含下列一或多者:磷(P)、砷(As)、銻(Sb)、鉍(Bi)、鋰(Li)、硼(B)、鋁(Al)、鎵(Ga)與銦(In),該摻雜區域具有在約1x1020原子/cm3至約1x1022原子/cm3的一 範圍中的一摻雜劑濃度,其中該各向同性蝕刻製程及該SEG製程在真空處理下在一單一平台中執行。 A method of forming a semiconductor device, the method includes the following steps: performing an anisotropic etching process on a semiconductor material on a semiconductor substrate to expose a surface in the semiconductor material, the surface being on an existing surface of the semiconductor device. disposed between a structure and a bulk semiconductor portion of the semiconductor substrate on which the semiconductor material is formed; performing an isotropic etching process on an exposed sidewall to dispose between the existing structure and the bulk semiconductor portion of the semiconductor substrate The semiconductor material is recessed a distance to form a cavity; determining the distance that the semiconductor material has been recessed after isotropic etching; forming a deposited material on a surface of the cavity via a selective epitaxial growth (SEG) process a layer, the semiconductor substrate does not undergo a pre-cleaning process between forming the cavity and the SEG; the SEG process is adjusted based on the distance that the semiconductor material has been recessed; and through a selective epitaxial growth (SEG) process A doped region is formed on the layer of deposited material, wherein the doped region includes one or more of the following: phosphorus (P), arsenic (As), antimony (Sb), bismuth (Bi), lithium (Li), Boron (B), aluminum (Al), gallium (Ga) and indium (In), the doped region has a dopant in a range of about 1x10 20 atoms/cm 3 to about 1x10 22 atoms/cm 3 concentration, wherein the isotropic etching process and the SEG process are performed in a single platform under vacuum processing. 如請求項1所述之方法,其中該各向同性蝕刻在一第一處理腔室中發生,並且該方法進一步包含以下步驟:將該基板從該第一處理腔室移動到一第二處理腔室用於該SEG製程。 The method of claim 1, wherein the isotropic etching occurs in a first processing chamber, and the method further includes the step of: moving the substrate from the first processing chamber to a second processing chamber room for this SEG process. 如請求項1所述之方法,進一步包含以下步驟:在形成沉積材料的該層之前磊晶生長該半導體材料的一部分。 The method of claim 1, further comprising the step of epitaxially growing a portion of the semiconductor material prior to forming the layer of deposited material. 如請求項1所述之方法,其中該半導體材料已經凹陷的該距離藉由折射法決定。 The method of claim 1, wherein the distance at which the semiconductor material has been recessed is determined by refraction. 如請求項1所述之方法,其中該各向同性蝕刻製程包含對該半導體材料具有選擇性的一蝕刻製程。 The method of claim 1, wherein the isotropic etching process includes an etching process that is selective to the semiconductor material. 如請求項5所述之方法,其中該各向同性蝕刻製程包含一化學氣相蝕刻製程,該化學氣相蝕刻製程包括將該暴露側壁暴露於HCl、GeH4、或及Cl2的至少一個。 The method of claim 5, wherein the isotropic etching process includes a chemical vapor etching process, and the chemical vapor etching process includes exposing the exposed sidewall to at least one of HCl, GeH 4 , or Cl 2 . 如請求項1所述之方法,其中形成沉積材料的該層包含用該沉積材料填充該空腔。 The method of claim 1, wherein forming the layer of deposited material includes filling the cavity with the deposited material. 如請求項1所述之方法,進一步包含以下步 驟,在形成沉積材料的該層之前,在該空腔的該表面上沉積一含碳材料,其中該含碳材料包括一矽碳磷(SiCP)材料。 The method as described in request 1 further includes the following steps In a step, before forming the layer of deposited material, a carbonaceous material is deposited on the surface of the cavity, wherein the carbonaceous material includes a silicon carbon phosphorus (SiCP) material. 如請求項8所述之方法,其中該SiCP材料包括在約0.1至2.0原子%的該範圍中的碳以及在約1x1020原子/cm3至1x1021原子/cm3的該範圍中的磷。 The method of claim 8, wherein the SiCP material includes carbon in the range of about 0.1 to 2.0 atomic % and phosphorus in the range of about 1×10 20 atoms/cm 3 to 1×10 21 atoms/cm 3 . 如請求項1所述之方法,其中在該暴露側壁上執行該各向同性蝕刻製程以在該半導體材料中形成該空腔之步驟包含以下步驟:移除半導體材料,直至暴露出包含一磷摻雜的主體半導體材料的該半導體材料的一部分。 The method of claim 1, wherein performing the isotropic etching process on the exposed sidewall to form the cavity in the semiconductor material includes the following steps: removing the semiconductor material until a phosphorus-doped layer is exposed. A portion of the semiconductor material that is a hybrid host semiconductor material. 如請求項1所述之方法,其中該沉積材料包含一n型摻雜劑,該n型摻雜劑包含砷(As),並且該選擇性磊晶生長(SEG)製程包括以下步驟:將該空腔的該表面暴露於AsCl3、TBA、或AsH3的至少一個以及二氯矽烷(DCS)、HCl、SiH4、Si2H6、或Si4H10的至少一個。 The method of claim 1, wherein the deposition material includes an n-type dopant, the n-type dopant includes arsenic (As), and the selective epitaxial growth (SEG) process includes the following steps: The surface of the cavity is exposed to at least one of AsCl3 , TBA, or AsH3 and at least one of dichlorosilane (DCS), HCl, SiH4 , Si2H6 , or Si4H10 . 如請求項11所述之方法,其中形成沉積材料的該層之步驟包含以下步驟:用砷摻雜的材料填充該空腔,該砷摻雜的材料具有足夠在該沉積材料內產生一靶向拉伸應變的一砷濃度。 The method of claim 11, wherein the step of forming the layer of deposited material includes the step of filling the cavity with an arsenic-doped material, the arsenic-doped material having sufficient properties to create a targeting within the deposited material. Tensile strain of arsenic concentration. 如請求項1所述之方法,其中該沉積材料包含一p型摻雜劑,該p型摻雜劑包含硼(B),並且該選擇性磊晶生長(SEG)製程包括以下步驟:將該空腔的該表面暴露於硼烷、二硼烷或硼烷或二硼烷的電漿的一或多個。 The method of claim 1, wherein the deposition material includes a p-type dopant, the p-type dopant includes boron (B), and the selective epitaxial growth (SEG) process includes the following steps: The surface of the cavity is exposed to one or more of borane, diborane, or plasma of borane or diborane. 如請求項1所述之方法,其中在不將該空腔的該表面上形成的沉積材料的該層暴露於空氣的情況下形成額外沉積材料的該層。 The method of claim 1, wherein the layer of additional deposition material is formed without exposing the layer of deposition material formed on the surface of the cavity to air. 一種形成一半導體元件的方法,該方法包含以下步驟:將其上有一半導體材料的一半導體基板定位在一第一處理腔室中;對該半導體材料執行一各向異性蝕刻製程以暴露該半導體材料中的一表面,該表面在該半導體元件的一現有結構與其上形成該半導體材料的該半導體基板的一主體半導體部分之間設置;在一暴露側壁上執行一各向同性蝕刻製程以將在該現有結構與該半導體基板的該主體半導體部分之間設置的該半導體材料凹陷一距離以形成一空腔;在不將該半導體基板暴露於氧化條件的情況下,將該半導體基板從該第一處理腔室移動到一第二處理腔室; 決定在各向同性蝕刻之後該半導體材料已經凹陷的一距離;以及在該第二處理腔室中使用一選擇性磊晶生長(SEG)製程在該空腔的一表面上形成沉積材料的一層,在形成該空腔與SEG之間,該半導體基板不經歷一預清潔製程,該SEG製程考慮到在各向同性蝕刻之後該半導體材料已經凹陷的該距離。 A method of forming a semiconductor device, the method comprising the following steps: positioning a semiconductor substrate with a semiconductor material thereon in a first processing chamber; performing an anisotropic etching process on the semiconductor material to expose the semiconductor material a surface disposed between an existing structure of the semiconductor device and a bulk semiconductor portion of the semiconductor substrate on which the semiconductor material is formed; performing an isotropic etching process on an exposed sidewall to remove the The semiconductor material disposed between the existing structure and the main semiconductor portion of the semiconductor substrate is recessed a distance to form a cavity; and the semiconductor substrate is removed from the first processing chamber without exposing the semiconductor substrate to oxidizing conditions. moving the chamber to a second processing chamber; determining a distance by which the semiconductor material has been recessed after isotropic etching; and forming a layer of deposited material on a surface of the cavity using a selective epitaxial growth (SEG) process in the second processing chamber, The semiconductor substrate does not undergo a pre-clean process between forming the cavity and SEG, which takes into account the distance by which the semiconductor material has been recessed after isotropic etching. 一種用於形成一半導體元件的處理工具,該處理工具包含:一中央傳遞站,在該中央傳遞站周圍設置有複數個處理腔室;一機器人,在該中央傳遞站內,經構造以在該複數個處理腔室之間移動一基板;一第一處理腔室,連接到該中央傳遞站,該第一處理腔室經構造為執行一各向同性蝕刻製程;一度量站,在該處理工具內且該機器人可到達該度量站,該度量站經構造為決定來自該各向同性蝕刻製程的一基板上的半導體材料的凹陷的一距離;一第二處理腔室,連接到該中央傳遞站,該第二處理腔室經構造為執行一選擇性磊晶生長(SEG)製程;以及一控制器,連接到該中央傳遞站、該機器人、該第 一處理腔室、該度量站或該第二處理腔室的一或多個,該控制器具有選自下列的一或多種構造:用於在該複數個處理腔室與度量站之間移動該機器人上的一基板的一第一構造;用於在該第一處理腔室中的一基板上執行一各向同性蝕刻製程的一第二構造;用於執行一分析以決定該度量站中的該半導體材料的該凹陷的一第三構造;或用於在該第二處理腔室中執行一選擇性磊晶生長製程的一第四構造,該選擇性磊晶生長製程經調節為用於該半導體材料的該凹陷。 A processing tool for forming a semiconductor device, the processing tool comprising: a central transfer station, a plurality of processing chambers are arranged around the central transfer station; a robot, within the central transfer station, configured to process the plurality of processing chambers moving a substrate between processing chambers; a first processing chamber connected to the central transfer station, the first processing chamber configured to perform an isotropic etching process; a metrology station within the processing tool and the robot has access to the metrology station configured to determine a distance of a recess of semiconductor material on a substrate from the isotropic etching process; a second processing chamber connected to the central transfer station, The second processing chamber is configured to perform a selective epitaxial growth (SEG) process; and a controller connected to the central transfer station, the robot, the third One or more of a processing chamber, the metrology station, or the second processing chamber, the controller having one or more configurations selected from the following: for moving the plurality of processing chambers and the metrology station. A first configuration of a substrate on the robot; a second configuration for performing an isotropic etching process on a substrate in the first processing chamber; and performing an analysis to determine the a third configuration of the recesses of the semiconductor material; or a fourth configuration for performing a selective epitaxial growth process in the second processing chamber, the selective epitaxial growth process being tuned for the This depression in the semiconductor material.
TW108121647A 2018-07-05 2019-06-21 Integrated cmos source drain formation with advanced control TWI821319B (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201862694424P 2018-07-05 2018-07-05
US62/694,424 2018-07-05
US201862702645P 2018-07-24 2018-07-24
US62/702,645 2018-07-24

Publications (2)

Publication Number Publication Date
TW202016980A TW202016980A (en) 2020-05-01
TWI821319B true TWI821319B (en) 2023-11-11

Family

ID=71895404

Family Applications (2)

Application Number Title Priority Date Filing Date
TW112138849A TW202403841A (en) 2018-07-05 2019-06-21 Integrated cmos source drain formation with advanced control
TW108121647A TWI821319B (en) 2018-07-05 2019-06-21 Integrated cmos source drain formation with advanced control

Family Applications Before (1)

Application Number Title Priority Date Filing Date
TW112138849A TW202403841A (en) 2018-07-05 2019-06-21 Integrated cmos source drain formation with advanced control

Country Status (1)

Country Link
TW (2) TW202403841A (en)

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060115949A1 (en) * 2004-12-01 2006-06-01 Freescale Semiconductor, Inc. Semiconductor fabrication process including source/drain recessing and filling
WO2008105322A1 (en) * 2007-02-28 2008-09-04 Nippon Telegraph And Telephone Corporation Optical refractometry measuring method and device
US20110003450A1 (en) * 2009-07-03 2011-01-06 Young-Ho Lee Method for manufacturing semicondutor device with strained channel
US20130214251A1 (en) * 2010-11-04 2013-08-22 Koninklijke Philips Electronics N.V. Solid state light emitting devices based on crystallographically relaxed structures
US20170330960A1 (en) * 2016-05-11 2017-11-16 Applied Materials, Inc. Forming non-line-of-sight source drain extension in an nmos finfet using n-doped selective epitaxial growth

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060115949A1 (en) * 2004-12-01 2006-06-01 Freescale Semiconductor, Inc. Semiconductor fabrication process including source/drain recessing and filling
WO2008105322A1 (en) * 2007-02-28 2008-09-04 Nippon Telegraph And Telephone Corporation Optical refractometry measuring method and device
US20110003450A1 (en) * 2009-07-03 2011-01-06 Young-Ho Lee Method for manufacturing semicondutor device with strained channel
US20130214251A1 (en) * 2010-11-04 2013-08-22 Koninklijke Philips Electronics N.V. Solid state light emitting devices based on crystallographically relaxed structures
US20170330960A1 (en) * 2016-05-11 2017-11-16 Applied Materials, Inc. Forming non-line-of-sight source drain extension in an nmos finfet using n-doped selective epitaxial growth
US20180069100A1 (en) * 2016-05-11 2018-03-08 Applied Materials, Inc. Forming non-line-of-sight source drain extension in an nmos finfet using n-doped selective epitaxial growth

Also Published As

Publication number Publication date
TW202016980A (en) 2020-05-01
TW202403841A (en) 2024-01-16

Similar Documents

Publication Publication Date Title
US10483355B2 (en) Forming non-line-of-sight source drain extension in an NMOS FINFET using n-doped selective epitaxial growth
US10361201B2 (en) Semiconductor structure and device formed using selective epitaxial process
US11309404B2 (en) Integrated CMOS source drain formation with advanced control
KR102370595B1 (en) Self aligned replacement fin formation
US7494884B2 (en) SiGe selective growth without a hard mask
TWI498950B (en) Semiconductor device and method thereof
TWI703707B (en) Semiconductor structure
CN105990346A (en) Integrated circuit structure with substrate isolation and non-doped channel
TWI756583B (en) Method of fabricating a semiconductor device having reduced contact resistance
KR102253546B1 (en) Method of forming doped germanium
US10497807B2 (en) PMOS transistor and fabrication method thereof
US9331174B2 (en) Method for improving device performance using epitaxially grown silicon carbon (SiC) or silicon-germanium (SiGe)
TWI821319B (en) Integrated cmos source drain formation with advanced control
Hartmann Si, SiGe, and Si (1− y) Cy on Si: Epitaxy of Group-IV Semiconductors for Nanoelectronics
US20220320294A1 (en) Arsenic diffusion profile engineering for transistors