KR20200142153A - Semiconductor device - Google Patents

Semiconductor device Download PDF

Info

Publication number
KR20200142153A
KR20200142153A KR1020190068761A KR20190068761A KR20200142153A KR 20200142153 A KR20200142153 A KR 20200142153A KR 1020190068761 A KR1020190068761 A KR 1020190068761A KR 20190068761 A KR20190068761 A KR 20190068761A KR 20200142153 A KR20200142153 A KR 20200142153A
Authority
KR
South Korea
Prior art keywords
patterns
active region
channel
pattern
source
Prior art date
Application number
KR1020190068761A
Other languages
Korean (ko)
Inventor
김태형
천관영
김윤진
Original Assignee
삼성전자주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자주식회사 filed Critical 삼성전자주식회사
Priority to KR1020190068761A priority Critical patent/KR20200142153A/en
Priority to US16/730,172 priority patent/US11522071B2/en
Priority to CN202010079485.3A priority patent/CN112071837A/en
Publication of KR20200142153A publication Critical patent/KR20200142153A/en
Priority to US17/960,277 priority patent/US20230022952A1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7849Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being provided under the channel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/7624Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76895Local interconnects; Local pads, as exemplified by patent document EP0896365
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823412MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823418MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823437MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823481MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7846Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the lateral device isolation region, e.g. STI
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions

Abstract

Disclosed is a semiconductor device which is easy to be manufactured comprises: an active region protruding upward from a substrate; a plurality of channel patterns spaced apart from each other in a first direction on the active region; and a gate electrode extending in the first direction on the active region and covering the plurality of channel patterns. Each of the plurality of channel patterns includes a plurality of semiconductor patterns spaced apart from each other in a direction perpendicular to an upper surface of the active region. The gate electrode covers the upper surface of the active region between the plurality of channel patterns.

Description

반도체 소자{Semiconductor device}Semiconductor device {Semiconductor device}

본 발명은 반도체 소자에 대한 것으로, 보다 상세하게는, 전계 효과 트랜지스터를 포함하는 반도체 소자에 대한 것이다.The present invention relates to a semiconductor device, and more particularly, to a semiconductor device including a field effect transistor.

반도체 장치는 모스 전계 효과 트랜지스터들(MOS(Metal Oxide Semiconductor) FET)로 구성된 집적회로를 포함한다. 반도체 장치의 크기 및 디자인 룰(Design rule)이 점차 축소됨에 따라, 모스 전계 효과 트랜지스터들의 크기 축소(scale down)도 점점 가속화되고 있다. 모스 전계 효과 트랜지스터들의 크기 축소에 따라 반도체 장치의 동작 특성이 저하될 수 있다. 이에 따라, 반도체 장치의 고집적화에 따른 한계를 극복하면서 보다 우수한 성능을 반도체 장치를 형성하기 위한 다양한 방법이 연구되고 있다.The semiconductor device includes an integrated circuit composed of MOS field effect transistors (Metal Oxide Semiconductor (MOS) FETs). As the size and design rules of semiconductor devices are gradually reduced, the scale down of MOS field effect transistors is also accelerating. As the size of the MOS field effect transistors are reduced, the operating characteristics of the semiconductor device may be deteriorated. Accordingly, various methods for forming a semiconductor device with superior performance while overcoming the limitation due to the high integration of the semiconductor device are being studied.

본 발명이 이루고자 하는 일 기술적 과제는 제조가 용이한 반도체 소자를 제공하는데 있다.An object of the present invention is to provide a semiconductor device that is easy to manufacture.

본 발명이 이루고자 하는 다른 기술적 과제는 집적회로 설계의 자유도가 증가된 반도체 소자를 제공하는데 있다. Another technical problem to be achieved by the present invention is to provide a semiconductor device with increased degree of freedom in designing an integrated circuit.

본 발명에 따른 반도체 소자는 기판으로부터 위로 돌출된 활성영역, 상기 활성영역 상에 제1 방향으로 서로 이격되는 복수의 채널패턴들, 및 상기 활성영역 상에서 상기 제1 방향으로 연장되고 상기 복수의 채널패턴들을 덮는 게이트 전극을 포함할 수 있다. 상기 복수의 채널패턴들의 각각은 상기 활성영역의 상면에 수직한 방향으로 서로 이격되는 복수의 반도체 패턴들을 포함할 수 있다. 상기 게이트 전극은 상기 복수의 채널패턴들 사이의 상기 활성영역의 상기 상면을 덮을 수 있다.The semiconductor device according to the present invention includes an active region protruding upward from a substrate, a plurality of channel patterns spaced apart from each other in a first direction on the active region, and the plurality of channel patterns extending in the first direction on the active region. It may include a gate electrode covering them. Each of the plurality of channel patterns may include a plurality of semiconductor patterns spaced apart from each other in a direction perpendicular to an upper surface of the active region. The gate electrode may cover the upper surface of the active region between the plurality of channel patterns.

본 발명의 개념에 따르면, 복수의 채널패턴들이 단일 활성영역 상에서 제1 방향으로 서로 이격되도록 배치될 수 있고, 게이트 전극이 상기 단일 활성영역 상에서 상기 제1 방향으로 연장되어 상기 복수의 채널패턴들을 덮을 수 있다. 복수의 소스/드레인 패턴들이 상기 단일 활성영역 상에서 상기 채널패턴들의 양 측에 배치될 수 있다. 상기 복수의 채널패턴들의 각각은 상기 활성영역의 상면에 수직한 방향으로 서로 이격되는 반도체 패턴들을 포함할 수 있다. 상기 채널패턴들이 상기 단일 활성영역 상에서 상기 제1 방향으로 서로 이격되도록 배치됨에 따라, 상기 반도체 패턴들을 포함하는 상기 채널패턴들의 형성이 용이할 수 있다. 더하여, 상기 채널패턴들의 폭들 및 상기 소스/드레인 패턴들의 폭들은 다양하게 조절될 수 있고, 이에 따라, 상기 채널패턴들, 상기 소스/드레인 패턴들, 및 상기 게이트 전극을 포함하는 트랜지스터가 다양한 특성을 가지도록 구현될 수 있다. According to the concept of the present invention, a plurality of channel patterns may be arranged to be spaced apart from each other in a first direction on a single active area, and a gate electrode extends in the first direction on the single active area to cover the plurality of channel patterns I can. A plurality of source/drain patterns may be disposed on both sides of the channel patterns on the single active region. Each of the plurality of channel patterns may include semiconductor patterns spaced apart from each other in a direction perpendicular to an upper surface of the active region. Since the channel patterns are disposed to be spaced apart from each other in the first direction on the single active region, it may be easy to form the channel patterns including the semiconductor patterns. In addition, widths of the channel patterns and widths of the source/drain patterns may be variously adjusted, and accordingly, the channel patterns, the source/drain patterns, and the transistor including the gate electrode have various characteristics. It can be implemented to have.

따라서, 반도체 소자의 제조가 용이하고, 상기 트랜지스터를 포함하는 반도체 집적회로의 설계의 자유도가 증가할 수 있다Therefore, it is easy to manufacture a semiconductor device, and the degree of freedom in designing a semiconductor integrated circuit including the transistor can be increased.

도 1은 본 발명의 일부 실시예들에 따른 반도체 소자의 평면도이다.
도 2a, 도 2b, 및 도 2c는 각각 도 1의 A-A', B-B', 및 C-C' 선에 따라 자른 단면도들이다.
도 3a 내지 도 9a, 도 3b 내지 도 9b, 및 도 3c 내지 도 9c는 본 발명의 일부 실시예들에 따른 반도체 소자의 제조방법을 나타내는 도면들로, 각각 도 1의 A-A', B-B', 및 C-C'에 대응하는 단면도들이다.
도 10은 본 발명의 일부 실시예들에 따른 반도체 소자의 평면도이다.
도 11a, 도 11b, 및 도 11c는 각각 도 10의 A-A', B-B', 및 C-C' 선에 따라 자른 단면도들이다.
도 12는 본 발명의 일부 실시예들에 따른 반도체 소자의 평면도이다.
도 13a, 도 13b, 및 도 13c는 각각 도 12의 A-A', B-B', 및 C-C' 선에 따라 자른 단면도들이다.
도 14는 본 발명의 일부 실시예들에 따른 반도체 소자의 평면도이다.
도 15는 도 14의 A-A' 선에 따라 자른 단면도이다.
도 16은 본 발명의 일부 실시예들에 따른 반도체 소자의 평면도이다.
도 17은 도 16의 A-A' 선에 따라 자른 단면도이다.
도 18은 본 발명의 일부 실시예들에 따른 반도체 소자의 평면도이다.
도 19a, 도 19b, 및 도 19c는 각각 도 18의 A-A', B-B' 및 C-C' 선에 따라 자른 단면도들이다.
1 is a plan view of a semiconductor device according to some embodiments of the present invention.
2A, 2B, and 2C are cross-sectional views taken along lines A-A', B-B', and CC' of FIG. 1, respectively.
3A to 9A, 3B to 9B, and 3C to 9C are diagrams illustrating a method of manufacturing a semiconductor device according to some embodiments of the present invention, respectively, A-A' and B- of FIG. These are cross-sectional views corresponding to B'and C-C'.
10 is a plan view of a semiconductor device according to some embodiments of the present invention.
11A, 11B, and 11C are cross-sectional views taken along lines A-A', B-B', and CC' of FIG. 10, respectively.
12 is a plan view of a semiconductor device according to some embodiments of the present invention.
13A, 13B, and 13C are cross-sectional views taken along lines A-A', B-B', and CC' of FIG. 12, respectively.
14 is a plan view of a semiconductor device according to some embodiments of the present invention.
15 is a cross-sectional view taken along line AA′ of FIG. 14.
16 is a plan view of a semiconductor device according to some embodiments of the present invention.
17 is a cross-sectional view taken along line AA′ of FIG. 16.
18 is a plan view of a semiconductor device according to some embodiments of the present invention.
19A, 19B, and 19C are cross-sectional views taken along lines A-A', BB', and CC' of FIG. 18, respectively.

이하, 첨부한 도면을 참조하여 본 발명의 예시적인 실시예들을 설명함으로써 본 발명을 상세히 설명한다.Hereinafter, the present invention will be described in detail by describing exemplary embodiments of the present invention with reference to the accompanying drawings.

도 1은 본 발명의 일부 실시예들에 따른 반도체 소자의 평면도이다. 도 2a, 도 2b, 및 도 2c는 각각 도 1의 A-A', B-B', 및 C-C' 선에 따라 자른 단면도들이다. 1 is a plan view of a semiconductor device according to some embodiments of the present invention. 2A, 2B, and 2C are cross-sectional views taken along lines A-A', B-B', and C-C' of FIG. 1, respectively.

도 1, 도 2a, 도 2b, 및 도 2c를 참조하면, 기판(100) 상에 활성영역(102)이 배치될 수 있다. 상기 기판(100)은 반도체 기판일 수 있다. 일 예로, 상기 기판(100)은 실리콘 기판 또는 SOI(Silicon on insulator) 기판일 수 있다. 상기 활성영역(102)은 상기 기판(100)으로부터 위로 돌출될 수 있다. 상기 활성영역(102)은 상기 기판(100)의 바닥면(100B)에 평행한 제1 방향(D1) 및 제2 방향(D2)을 따라 연장될 수 있고, 상기 기판(100)의 상기 바닥면(100B)에 수직한 제3 방향(D3)을 따라 상기 기판(100)으로부터 돌출될 수 있다. 상기 제1 방향(D1) 및 상기 제2 방향(D2)은 서로 교차할 수 있다. 1, 2A, 2B, and 2C, an active region 102 may be disposed on a substrate 100. The substrate 100 may be a semiconductor substrate. For example, the substrate 100 may be a silicon substrate or a silicon on insulator (SOI) substrate. The active region 102 may protrude upward from the substrate 100. The active region 102 may extend in a first direction D1 and a second direction D2 parallel to the bottom surface 100B of the substrate 100, and the bottom surface of the substrate 100 It may protrude from the substrate 100 along a third direction D3 perpendicular to 100B. The first direction D1 and the second direction D2 may cross each other.

소자분리 패턴들(ST)이 상기 기판(100) 상에 배치되어 상기 활성영역(102)을 정의할 수 있다. 상기 소자분리 패턴들(ST)은 상기 활성영역(102)의 양 측에 상기 기판(100) 상에 배치될 수 있다. 일 예로, 상기 소자분리 패턴들(ST)은 상기 활성영역(102)을 사이에 두고 상기 제1 방향(D1)으로 서로 이격될 수 있고, 상기 제2 방향(D2)으로 연장될 수 있다. 상기 활성영역(102)은 상기 소자분리 패턴들(ST) 사이에 개재되는 단일 활성영역(102)일 수 있다. 상기 소자분리패턴들(ST)은 산화물, 질화물, 및/또는 산질화물을 포함할 수 있다. 일부 실시예들에 따르면, 상기 소자분리패턴들(ST)은 상기 활성영역(102)의 상부 측면들을 노출할 수 있다. 즉, 상기 소자분리패턴들(ST)의 상면들(ST_U)은 상기 활성영역(102)의 상면(102U)보다 낮은 레벨에 있을 수 있다. 본 명세서에서, “레벨”은 상기 기판(100)의 상기 바닥면(100B)으로부터 측정된 높이를 지칭한다.Device isolation patterns ST may be disposed on the substrate 100 to define the active region 102. The device isolation patterns ST may be disposed on the substrate 100 on both sides of the active region 102. For example, the device isolation patterns ST may be spaced apart from each other in the first direction D1 with the active region 102 interposed therebetween, and may extend in the second direction D2. The active region 102 may be a single active region 102 interposed between the device isolation patterns ST. The device isolation patterns ST may include oxide, nitride, and/or oxynitride. According to some embodiments, the device isolation patterns ST may expose upper side surfaces of the active region 102. That is, the upper surfaces ST_U of the device isolation patterns ST may be at a level lower than the upper surface 102U of the active region 102. In this specification, “level” refers to a height measured from the bottom surface 100B of the substrate 100.

복수의 채널패턴들(AP)이 상기 활성영역(102) 상에 배치될 수 있다. 상기 채널패턴들(AP)은 상기 활성영역(102)의 상기 상면(102U) 상에서 상기 제1 방향(D1)으로 서로 이격될 수 있다. 상기 채널패턴들(AP)의 각각은 상기 활성영역(102)의 상기 상면(102U)에 수직한 방향(일 예로, 상기 제3 방향(D3))을 따라 적층된 복수의 반도체 패턴들(104)을 포함할 수 있다. 상기 반도체 패턴들(104)은 상기 활성영역(102)의 상기 상면(102U)에 수직한 상기 방향(일 예로, 상기 제3 방향(D3))을 따라 서로 이격될 수 있다. 상기 반도체 패턴들(104) 중 최하층의 반도체 패턴(104)은 상기 활성영역(102)의 상기 상면(102U)에 수직한 상기 방향(일 예로, 상기 제3 방향(D3))을 따라 상기 활성영역(102)의 상기 상면(102U)으로부터 이격될 수 있다. 상기 반도체 패턴들(104)의 수는 3개로 도시되었으나, 본 발명의 개념은 이에 한정되지 않는다. 상기 반도체 패턴들(104)은 실리콘(Si), 실리콘 게르마늄(SiGe), 및 게르마늄(Ge) 중 적어도 하나를 포함할 수 있다.A plurality of channel patterns AP may be disposed on the active region 102. The channel patterns AP may be spaced apart from each other in the first direction D1 on the upper surface 102U of the active region 102. Each of the channel patterns AP is a plurality of semiconductor patterns 104 stacked along a direction perpendicular to the upper surface 102U of the active region 102 (for example, the third direction D3) It may include. The semiconductor patterns 104 may be spaced apart from each other along the direction perpendicular to the upper surface 102U of the active region 102 (for example, the third direction D3). The lowermost semiconductor pattern 104 of the semiconductor patterns 104 is the active region along the direction perpendicular to the upper surface 102U of the active region 102 (for example, the third direction D3). It may be spaced apart from the upper surface (102U) of (102). The number of the semiconductor patterns 104 is illustrated as three, but the concept of the present invention is not limited thereto. The semiconductor patterns 104 may include at least one of silicon (Si), silicon germanium (SiGe), and germanium (Ge).

상기 활성영역(102) 및 상기 채널패턴들(AP)의 각각은 상기 제1 방향(D1)에 따른 폭을 가질 수 있다. 상기 채널패턴들(AP)의 각각의 폭(W1, W2, 또는 W3)은 상기 채널패턴들(AP)의 각각의 상기 반도체 패턴들(104)의 폭일 수 있다. 상기 활성영역(102)의 폭(102W)은 상기 채널패턴들(AP)의 폭들(W1, W2, W3)의 합보다 클 수 있다(즉, 102W>(W1+W2+W3)). 상기 활성영역(102)의 상기 폭(102W)은 상기 채널패턴들(AP)의 상기 폭들(W1, W2, W3)과 상기 채널패턴들(AP) 사이의 거리들(d1, d2)의 합보다 크거나 같을 수 있다(즉, 102W≥(W1+W2+W3+d1+d2)). 상기 채널패턴들(AP) 사이의 상기 거리들(d1, d2)의 각각은 상기 채널패턴들(AP) 중, 서로 인접하는 한 쌍의 채널패턴들(AP) 사이의 상기 제1 방향(D1)에 따른 거리일 수 있다. 상기 활성영역(102)의 상기 폭(102W)은 상기 활성영역(102)의 최상부의 폭일 수 있다. 일부 실시예들에 따르면, 상기 채널패턴들(AP) 중 적어도 하나의 채널패턴(AP)의 폭(W1)은 상기 채널패턴들(AP) 중 다른 채널패턴(AP)의 폭(W2 또는 W3)과 다를 수 있다. 일부 실시예들에 따르면, 상기 채널패턴들(AP)의 상기 폭들(W1, W2, W3)은 서로 다를 수도 있고, 다른 실시예들에 따르면, 상기 채널패턴들(AP)의 상기 폭들(W1, W2, W3)은 서로 동일할 수도 있다. Each of the active region 102 and the channel patterns AP may have a width along the first direction D1. Each width W1, W2, or W3 of the channel patterns AP may be the width of each of the semiconductor patterns 104 of the channel patterns AP. The width 102W of the active region 102 may be greater than the sum of the widths W1, W2, and W3 of the channel patterns AP (ie, 102W>(W1+W2+W3)). The width 102W of the active region 102 is less than the sum of the widths W1, W2, W3 of the channel patterns AP and the distances d1, d2 between the channel patterns AP It can be greater than or equal to (ie, 102W≥(W1+W2+W3+d1+d2)). Each of the distances d1 and d2 between the channel patterns AP is the first direction D1 between a pair of adjacent channel patterns AP among the channel patterns AP It may be a distance according to. The width 102W of the active region 102 may be the width of the uppermost portion of the active region 102. According to some embodiments, a width W1 of at least one channel pattern AP among the channel patterns AP is a width W2 or W3 of another channel pattern AP among the channel patterns AP Can be different from According to some embodiments, the widths W1, W2, W3 of the channel patterns AP may be different from each other, and according to other embodiments, the widths W1 and W3 of the channel patterns AP W2, W3) may be identical to each other.

일부 실시예들에 따르면, 상기 채널패턴들(AP) 중, 적어도 한 쌍의 채널패턴들(AP) 사이의 거리(d1)는 상기 채널패턴들(AP) 중, 다른 한 쌍의 채널패턴들(AP) 사이의 거리(d2)와 다를 수 있다. 다른 실시예들에 따르면, 상기 채널패턴들(AP) 사이의 상기 거리들(d1, d2)은 서로 동일할 수도 있다.According to some embodiments, the distance d1 between at least one pair of channel patterns AP among the channel patterns AP is determined by the other pair of channel patterns ( It may be different from the distance d2 between APs). According to other embodiments, the distances d1 and d2 between the channel patterns AP may be the same.

일 예로, 상기 채널패턴들(AP)은 상기 활성영역(102) 상에서 상기 제1 방향(D1)으로 서로 이격되는 제1 서브 채널패턴(APa), 제2 서브 채널패턴(APb), 및 제3 서브 채널패턴(APc)을 포함할 수 있다. 일부 실시예들에 따르면, 상기 제1 서브 채널패턴(APa)의 폭(W1), 상기 제2 서브 채널패턴(APb)의 폭(W2) 및 상기 제3 서브 채널패턴(APc)의 폭(W3)은 서로 다를 수 있다. 상기 제1 서브 채널패턴(APa)과 상기 제2 서브 채널패턴(APb) 사이의 거리(d1)는 상기 제2 서브 채널패턴(APb)과 상기 제3 서브 채널패턴(APc) 사이의 거리(d2)와 다를 수 있다. 복수의 소스/드레인 패턴들(SD)이 상기 활성영역(102) 상에 배치될 수 있고, 상기 활성영역(102)의 상기 상면(102U) 상에서 상기 제1 방향(D1) 및 상기 제2 방향(D2)을 따라 배열될 수 있다. 상기 소스/드레인 패턴들(SD)은 소스 패턴들(SDa) 및 드레인 패턴들(SDb)을 포함할 수 있다. 상기 소스 패턴들(SDa)은 상기 제1 방향(D1)을 따라 배열될 수 있고, 상기 채널패턴들(AP)의 일 측면들에 각각 연결될 수 있다. 상기 드레인 패턴들(SDb)은 상기 소스 패턴들(SDa)로부터 상기 제2 방향(D2)으로 이격될 수 있다. 상기 드레인 패턴들(SDb)은 상기 제1 방향(D1)을 따라 배열될 수 있고, 상기 채널패턴들(AP)의 타 측면들에 각각 연결될 수 있다. For example, the channel patterns AP include a first sub-channel pattern APa, a second sub-channel pattern APb, and a third spaced apart from each other in the first direction D1 on the active region 102. It may include a sub channel pattern APc. According to some embodiments, the width W1 of the first sub-channel pattern APa, the width W2 of the second sub-channel pattern APb, and the width W3 of the third sub-channel pattern APc ) Can be different. The distance d1 between the first sub channel pattern APa and the second sub channel pattern APb is a distance d2 between the second sub channel pattern APb and the third sub channel pattern APc ) May be different. A plurality of source/drain patterns SD may be disposed on the active region 102, and on the upper surface 102U of the active region 102, the first direction D1 and the second direction ( It can be arranged along D2). The source/drain patterns SD may include source patterns SDa and drain patterns SDb. The source patterns SDa may be arranged along the first direction D1 and may be respectively connected to one side surface of the channel patterns AP. The drain patterns SDb may be spaced apart from the source patterns SDa in the second direction D2. The drain patterns SDb may be arranged along the first direction D1 and may be connected to the other side surfaces of the channel patterns AP, respectively.

상기 소스/드레인 패턴들(SD)의 각각은 상기 제1 방향(D1)에 따른 폭을 가질 수 있다. 상기 활성영역(102)의 상기 폭(102W)은 상기 소스 패턴들(SDa)의 폭들(W1a, W2a, W3a)의 합보다 클 수 있다(즉, 102W>(W1a+W2a+W3a)). 일부 실시예들에 따르면, 상기 소스 패턴들(SDa) 중 적어도 하나의 소스 패턴(SDa)의 폭(W1a)은 상기 소스 패턴들(SDa) 중 다른 소스 패턴(SDa)의 폭(W2a 또는 W3a)과 다를 수 있다. 일부 실시예들에 따르면, 상기 소스 패턴들(SDa)의 상기 폭들(W1a, W2a, W3a)은 서로 다를 수도 있고, 다른 실시예들에 따르면, 상기 소스 패턴들(SDa)의 상기 폭들(W1a, W2a, W3a)은 서로 동일할 수도 있다. 상기 드레인 패턴들(SDb)의 각각은 상기 소스 패턴들(SDa) 중 대응하는 소스 패턴(SDa)과 실질적으로 동일한 폭을 가질 수 있다. Each of the source/drain patterns SD may have a width along the first direction D1. The width 102W of the active region 102 may be greater than the sum of the widths W1a, W2a, and W3a of the source patterns SDa (that is, 102W>(W1a+W2a+W3a)). According to some embodiments, a width W1a of at least one source pattern SDa among the source patterns SDa is a width W2a or W3a of another source pattern SDa among the source patterns SDa Can be different from According to some embodiments, the widths W1a, W2a, and W3a of the source patterns SDa may be different from each other, and according to other embodiments, the widths W1a of the source patterns SDa, W2a and W3a) may be identical to each other. Each of the drain patterns SDb may have substantially the same width as a corresponding source pattern SDa among the source patterns SDa.

상기 채널패턴들(AP)의 각각은 상기 소스 패턴들(SDa) 중 대응하는 소스 패턴(SDa), 및 상기 드레인 패턴들(SDb) 중 대응하는 드레인 패턴(SDb) 사이에 개재될 수 있고, 상기 대응하는 소스 패턴(SDa) 및 상기 대응하는 드레인 패턴(SDb)에 연결될 수 있다. 상기 채널패턴들(AP)의 각각의 상기 반도체 패턴들(104)은 상기 대응하는 소스 패턴(SDa) 및 상기 대응하는 드레인 패턴(SDb) 사이에 개재될 수 있고, 상기 대응하는 소스 패턴(SDa) 및 상기 대응하는 드레인 패턴(SDb)과 접할 수 있다. 상기 채널패턴들(AP)의 각각의 상기 반도체 패턴들(104)은 상기 대응하는 소스 패턴(SDa) 및 상기 대응하는 드레인 패턴(SDb)을 서로 연결할 수 있다. 상기 채널패턴들(AP)의 각각, 상기 대응하는 소스 패턴(SDa), 및 상기 대응하는 드레인 패턴(SDb)은 활성 구조체(AS)를 구성할 수 있다. 이에 따라, 복수의 활성 구조체들(AS)이 상기 활성영역(102)의 상기 상면(102U) 상에서 상기 제1 방향(D1)으로 서로 이격되도록 배치될 수 있다. Each of the channel patterns AP may be interposed between a corresponding source pattern SDa among the source patterns SDa and a corresponding drain pattern SDb among the drain patterns SDb, and the It may be connected to the corresponding source pattern SDa and the corresponding drain pattern SDb. Each of the semiconductor patterns 104 of the channel patterns AP may be interposed between the corresponding source pattern SDa and the corresponding drain pattern SDb, and the corresponding source pattern SDa And contact with the corresponding drain pattern SDb. Each of the semiconductor patterns 104 of the channel patterns AP may connect the corresponding source pattern SDa and the corresponding drain pattern SDb to each other. Each of the channel patterns AP, the corresponding source pattern SDa, and the corresponding drain pattern SDb may constitute an active structure AS. Accordingly, a plurality of active structures AS may be disposed to be spaced apart from each other in the first direction D1 on the upper surface 102U of the active region 102.

상기 소스/드레인 패턴들(SD)은 상기 채널패턴들(AP)의 각각의 상기 반도체 패턴들(104) 및 상기 활성영역(102)을 시드로 이용하여 형성된 에피택시얼 패턴들일 수 있다. 상기 소스/드레인 패턴들(SD)은 실리콘 게르마늄(SiGe), 실리콘(Si), 및 탄화 실리콘(SiC) 중 적어도 하나를 포함할 수 있다. 일부 실시예들에 따르면, 상기 소스/드레인 패턴들(SD)은 상기 채널패턴들(AP)에 인장성 스트레인을 제공하도록 구성될 수 있다. 일 예로, 상기 반도체 패턴들(104)이 실리콘(Si)를 포함하는 경우, 상기 소스/드레인 패턴들(SD)은 실리콘(Si) 및/또는 실리콘 카바이드(SiC)를 포함할 수 있다. 다른 실시예들에 따르면, 상기 소스/드레인 패턴들(SD)은 상기 채널패턴들(AP)에 압축성 스트레인을 제공하도록 구성될 수 있다. 일 예로, 상기 반도체 패턴들(104)이 실리콘(Si)를 포함하는 경우, 상기 소스/드레인 패턴들(SD)은 실리콘 게르마늄(SiGe)을 포함할 수 있다. 상기 소스/드레인 패턴들(SD)은 불순물을 더 포함할 수 있다. 상기 불순물은 상기 소스/드레인 패턴들(SD)을 포함하는 트랜지스터들의 전기적 특성을 개선하기 위해 채용될 수 있다. 상기 트랜지스터들이 NMOSFET인 경우, 상기 불순물은 일 예로, 인(P)일 수 있다. 상기 트랜지스터들이 PMOSFET인 경우, 상기 불순물은 일 예로, 보론(B)일 수 있다.The source/drain patterns SD may be epitaxial patterns formed using the semiconductor patterns 104 and the active regions 102 of the channel patterns AP, respectively. The source/drain patterns SD may include at least one of silicon germanium (SiGe), silicon (Si), and silicon carbide (SiC). According to some embodiments, the source/drain patterns SD may be configured to provide a stretchable strain to the channel patterns AP. For example, when the semiconductor patterns 104 include silicon (Si), the source/drain patterns SD may include silicon (Si) and/or silicon carbide (SiC). According to other embodiments, the source/drain patterns SD may be configured to provide compressible strain to the channel patterns AP. For example, when the semiconductor patterns 104 include silicon (Si), the source/drain patterns SD may include silicon germanium (SiGe). The source/drain patterns SD may further include impurities. The impurities may be employed to improve electrical characteristics of transistors including the source/drain patterns SD. When the transistors are NMOSFETs, the impurity may be phosphorus (P), for example. When the transistors are PMOSFETs, the impurity may be boron (B), for example.

게이트 구조체(GS)가 상기 활성영역(102) 상에 배치될 수 있고, 상기 제1 방향(D1)으로 연장되어 상기 복수의 활성 구조체들(AS) 및 상기 소자분리 패턴들(ST)을 가로지를 수 있다. 평면적 관점에서, 상기 채널패턴들(AP)은 상기 게이트 구조체(GS)와 중첩할 수 있고, 상기 소스/드레인 패턴들(SD)은 상기 게이트 구조체(GS)의 양 측에 배치될 수 있다. A gate structure GS may be disposed on the active region 102 and extends in the first direction D1 to cross the plurality of active structures AS and the device isolation patterns ST. I can. In a plan view, the channel patterns AP may overlap the gate structure GS, and the source/drain patterns SD may be disposed on both sides of the gate structure GS.

상기 게이트 구조체(GS)는 상기 제1 방향(D1)으로 연장되어 상기 복수의 채널패턴들(AP)을 덮는 게이트 전극(GE), 상기 게이트 전극(GE)과 상기 채널패턴들(AP)의 각각 사이의 게이트 절연 패턴(GI), 상기 게이트 전극(GE)의 측면들 상의 게이트 스페이서들(GSP), 및 상기 게이트 전극(GE)의 상면 상의 게이트 캐핑 패턴(CAP)을 포함할 수 있다. 상기 게이트 절연 패턴(GI)은 상기 게이트 전극(GE)과 상기 게이트 스페이서들(GSP) 사이로 연장될 수 있고, 상기 게이트 절연 패턴(GI)의 최상부면은 상기 게이트 전극(GE)의 상기 상면과 실질적으로 공면을 이룰 수 있다. 상기 게이트 전극(GE)은 상기 채널패턴들(AP)의 각각의 최상부면을 덮고, 상기 채널패턴들(AP)의 각각의, 상기 제1 방향(D1)으로 서로 마주하는 측면들을 덮을 수 있다. 상기 게이트 전극(GE)은 상기 채널패턴들(AP) 사이의 상기 활성영역(102)의 상면(102U)을 덮을 수 있고, 상기 제1 방향(D1)으로 연장되어 상기 소자분리 패턴들(ST)의 상면들(ST_U)을 덮을 수 있다. 상기 게이트 전극(GE)은 상기 채널패턴들(AP)의 각각과 상기 활성영역(102) 사이 및 상기 반도체 패턴들(104) 사이의 공간들을 채울 수 있다. 상기 게이트 절연 패턴(GI)은 상기 반도체 패턴들(104)의 각각과 상기 게이트 전극(GE) 사이에 개재될 수 있다. 상기 반도체 패턴들(104)의 각각은 상기 게이트 절연 패턴(GI)을 사이에 두고 상기 게이트 전극(GE)으로부터 이격될 수 있다. 상기 게이트 절연 패턴(GI)은 상기 게이트 전극(GE)의 바닥면을 따라 연장될 수 있고, 상기 게이트 전극(GE)과 상기 활성영역(102) 사이 및 상기 게이트 전극(GE)과 상기 소자분리 패턴들(ST)의 각각 사이에 개재될 수 있다. 상기 활성 구조체들(AS)의 각각 및 상기 게이트 전극(GE)은 게이트-올-어라운드(Gate-All-Around)형 전계 효과 트랜지스터를 구성할 수 있다.The gate structure GS extends in the first direction D1 to cover the plurality of channel patterns AP, each of the gate electrode GE and the channel patterns AP A gate insulating pattern GI therebetween, gate spacers GSP on side surfaces of the gate electrode GE, and a gate capping pattern CAP on an upper surface of the gate electrode GE may be included. The gate insulating pattern GI may extend between the gate electrode GE and the gate spacers GSP, and the uppermost surface of the gate insulating pattern GI is substantially the upper surface of the gate electrode GE. You can achieve coexistence. The gate electrode GE may cover an uppermost surface of each of the channel patterns AP, and may cover side surfaces of the channel patterns AP that face each other in the first direction D1. The gate electrode GE may cover the upper surface 102U of the active region 102 between the channel patterns AP, and extend in the first direction D1 to the device isolation patterns ST. The upper surfaces ST_U of may be covered. The gate electrode GE may fill spaces between each of the channel patterns AP and the active region 102 and between the semiconductor patterns 104. The gate insulating pattern GI may be interposed between each of the semiconductor patterns 104 and the gate electrode GE. Each of the semiconductor patterns 104 may be spaced apart from the gate electrode GE with the gate insulating pattern GI interposed therebetween. The gate insulating pattern GI may extend along the bottom surface of the gate electrode GE, and between the gate electrode GE and the active region 102, and between the gate electrode GE and the device isolation pattern. It may be interposed between each of the fields (ST). Each of the active structures AS and the gate electrode GE may constitute a gate-all-around type field effect transistor.

상기 게이트 전극(GE)은 도핑된 반도체, 도전성 금속 질화물 및/또는 금속을 포함할 수 있다. 상기 게이트 절연 패턴(GI)은 실리콘 산화막, 실리콘 질화막, 실리콘 산화질화막, 또는 고유전막 중 적어도 하나를 포함할 수 있다. 상기 고유전막은 하프늄 산화막(HfO), 알루미늄 산화막(AlO) 또는 탄탈륨 산화막(TaO)과 같이 실리콘 산화막보다 유전상수가 큰 물질을 포함할 수 있다. 상기 게이트 스페이서들(GSP) 및 상기 게이트 캐핑 패턴(CAP)의 각각은 실리콘 산화막, 실리콘 질화막, 및 실리콘 산화질화막 중 적어도 하나를 포함할 수 있다. The gate electrode GE may include a doped semiconductor, a conductive metal nitride, and/or a metal. The gate insulating pattern GI may include at least one of a silicon oxide film, a silicon nitride film, a silicon oxynitride film, or a high dielectric film. The high dielectric film may include a material having a higher dielectric constant than a silicon oxide film, such as a hafnium oxide film (HfO), an aluminum oxide film (AlO), or a tantalum oxide film (TaO). Each of the gate spacers GSP and the gate capping pattern CAP may include at least one of a silicon oxide layer, a silicon nitride layer, and a silicon oxynitride layer.

스페이서 패턴들(110)이 상기 소스/드레인 패턴들(SD)의 각각과 상기 게이트 전극(GE) 사이에 배치될 수 있다. 상기 스페이서 패턴들(110)은 상기 제3 방향(D3)을 따라 서로 이격될 수 있다. 상기 스페이서 패턴들(110) 및 상기 반도체 패턴들(104)은 상기 제3 방향(D3)을 따라 교대로 그리고 반복적으로 적층될 수 있다. 상기 스페이서 패턴들(110)의 각각은 서로 인접하는 반도체 패턴들(104) 사이, 또는 상기 최하층의 반도체 패턴(104)과 상기 활성영역(102) 사이에 배치될 수 있다. 상기 스페이서 패턴들(110) 중 한 쌍의 스페이서 패턴들(110)이 상기 반도체 패턴들(104) 중, 서로 인접하는 한 쌍의 반도체 패턴들(104) 사이에 배치될 수 있다. 상기 한 쌍의 스페이서 패턴들(110)은 상기 게이트 전극(GE)을 사이에 두고 상기 제2 방향(D2)으로 서로 이격될 수 있다. 상기 한 쌍의 스페이서 패턴들(110)은 상기 소스/드레인 패턴들(SD) 중 대응하는 한 쌍의 소스/드레인 패턴들(SD) 사이에 배치될 수 있다. Spacer patterns 110 may be disposed between each of the source/drain patterns SD and the gate electrode GE. The spacer patterns 110 may be spaced apart from each other along the third direction D3. The spacer patterns 110 and the semiconductor patterns 104 may be alternately and repeatedly stacked along the third direction D3. Each of the spacer patterns 110 may be disposed between the semiconductor patterns 104 adjacent to each other, or between the semiconductor pattern 104 of the lowermost layer and the active region 102. A pair of spacer patterns 110 among the spacer patterns 110 may be disposed between a pair of semiconductor patterns 104 adjacent to each other among the semiconductor patterns 104. The pair of spacer patterns 110 may be spaced apart from each other in the second direction D2 with the gate electrode GE interposed therebetween. The pair of spacer patterns 110 may be disposed between a corresponding pair of source/drain patterns SD among the source/drain patterns SD.

상기 소스/드레인 패턴들(SD)의 각각은 상기 반도체 패턴들(104)과 접할 수 있고, 상기 스페이서 패턴들(110)을 사이에 두고 상기 게이트 전극(GE)으로부터 이격될 수 있다. 상기 게이트 절연 패턴(GI)은 상기 게이트 전극(GE)과 상기 반도체 패턴들(104)의 각각 사이에 개재될 수 있고, 상기 게이트 전극(GE)과 상기 스페이서 패턴들(110)의 각각 사이로 연장될 수 있다. 상기 스페이서 패턴들(110)의 각각은 상기 게이트 절연 패턴(GI)과 접할 수 있다. 상기 스페이서 패턴들(110)은 실리콘 질화물을 포함할 수 있다. 일 예로, 상기 스페이서 패턴들(110)은 SiN, SiCN, SiOCN, SiBCN, SiBN 중 적어도 하나를 포함할 수 있다.Each of the source/drain patterns SD may contact the semiconductor patterns 104 and may be spaced apart from the gate electrode GE with the spacer patterns 110 interposed therebetween. The gate insulating pattern GI may be interposed between each of the gate electrode GE and the semiconductor patterns 104, and may extend between the gate electrode GE and each of the spacer patterns 110. I can. Each of the spacer patterns 110 may contact the gate insulating pattern GI. The spacer patterns 110 may include silicon nitride. For example, the spacer patterns 110 may include at least one of SiN, SiCN, SiOCN, SiBCN, and SiBN.

하부 층간 절연막(120)이 상기 기판(100) 상에 배치되어 상기 게이트 구조체(GS) 및 상기 소스/드레인 패턴들(SD)을 덮을 수 있다. 상기 하부 층간 절연막(120)은 실리콘 산화막, 실리콘 질화막, 실리콘 산질화막, 또는 저유전막들 중 적어도 하나를 포함할 수 있다. 상기 게이트 캐핑 패턴(CAP)은 상면은 상기 하부 층간 절연막(120)의 상면과 실질적으로 공면을 이룰 수 있다. 상기 게이트 스페이서(GSP)는 상기 게이트 캐핑 패턴(CAP)과 상기 하부 층간 절연막(120) 사이에 개재될 수 있다. A lower interlayer insulating layer 120 may be disposed on the substrate 100 to cover the gate structure GS and the source/drain patterns SD. The lower interlayer insulating layer 120 may include at least one of a silicon oxide layer, a silicon nitride layer, a silicon oxynitride layer, or a low dielectric layer. The upper surface of the gate capping pattern CAP may be substantially coplanar with the upper surface of the lower interlayer insulating layer 120. The gate spacer GSP may be interposed between the gate capping pattern CAP and the lower interlayer insulating layer 120.

상부 층간 절연막(130)이 상기 하부 층간 절연막(120) 상에 배치될 수 있다. 상기 상부 층간 절연막(130)은 산화막, 질화막, 및/또는 산질화막을 포함할 수 있다. 콘택 플러그들(CT)이 상기 상부 층간 절연막(130) 및 상기 하부 층간 절연막(120)을 관통하여 상기 소스/드레인 패턴들(SD)에 전기적으로 연결될 수 있다. 상기 콘택 플러그들(CT)은 상기 게이트 구조체(GS)의 양 측에 배치될 수 있다. 상기 소스/드레인 패턴들(SD) 중 상기 소스 패턴들(SDa)은 상기 콘택 플러그들(CT) 중 일 콘택 플러그(CT)에 의해 서로 연결될 수 있다. 상기 소스/드레인 패턴들(SD) 중 상기 드레인 패턴들(SDb)은 상기 콘택 플러그들(CT) 중 다른 콘택 플러그(CT)에 의해 서로 연결될 수 있다. 도시되지 않았지만, 게이트 콘택 플러그가 상기 상부 층간 절연막(130)을 관통하여 상기 게이트 전극(GE)에 전기적으로 연결될 수 있다. 배선들이 상기 상부 층간 절연막(130) 상에 배치될 수 있고, 상기 콘택 플러그들(CT) 및 상기 게이트 콘택 플러그에 접속될 수 있다. 상기 콘택 플러그들(CT) 및 상기 게이트 콘택 플러그는 도전성 금속 질화물 및/또는 금속을 포함할 수 있다. 일 예로, 상기 콘택 플러그들(CT) 및 상기 게이트 콘택 플러그는 TiN, WN 및 TaN와 같은 금속 질화물 및/또는 Ti, W, Ta와 같은 금속을 포함할 수 있다. 상기 배선들은 도전 물질을 포함할 수 있다.An upper interlayer insulating layer 130 may be disposed on the lower interlayer insulating layer 120. The upper interlayer insulating layer 130 may include an oxide layer, a nitride layer, and/or an oxynitride layer. Contact plugs CT may pass through the upper interlayer insulating layer 130 and the lower interlayer insulating layer 120 to be electrically connected to the source/drain patterns SD. The contact plugs CT may be disposed on both sides of the gate structure GS. Among the source/drain patterns SD, the source patterns SDa may be connected to each other by one of the contact plugs CT. Among the source/drain patterns SD, the drain patterns SDb may be connected to each other by another contact plug CT among the contact plugs CT. Although not shown, a gate contact plug may pass through the upper interlayer insulating layer 130 and be electrically connected to the gate electrode GE. Wires may be disposed on the upper interlayer insulating layer 130 and may be connected to the contact plugs CT and the gate contact plug. The contact plugs CT and the gate contact plug may include a conductive metal nitride and/or a metal. For example, the contact plugs CT and the gate contact plug may include metal nitrides such as TiN, WN, and TaN, and/or metals such as Ti, W, and Ta. The wirings may include a conductive material.

본 발명의 개념에 따르면, 상기 복수의 채널패턴들(AP)이 상기 단일 활성영역(102) 상에서 상기 제1 방향(D1)으로 서로 이격되도록 배치될 수 있고, 상기 복수의 소스/드레인 패턴들(SD)이 상기 단일 활성영역(102) 상에서 상기 채널패턴들(AP)의 양 측에 배치될 수 있다. 상기 채널패턴들(AP)이 상기 단일 활성영역(102) 상에서 상기 제1 방향(D1)으로 서로 이격되도록 배치됨에 따라, 후술할 바와 같이, 상기 채널패턴들(AP)의 형성이 용이할 수 있다. 더하여, 상기 채널패턴들(AP)의 상기 폭들(W1, W2, W3) 및 상기 소스/드레인 패턴들(SD)의 상기 폭들(W1a, W2a, W3a)은 다양하게 조절될 수 있고, 이에 따라, 상기 채널패턴들(AP), 상기 소스/드레인 패턴들(SD), 및 상기 게이트 구조체(GS)에 의해 구현되는 트랜지스터를 포함하는 반도체 집적회로의 설계의 자유도가 증가할 수 있다.According to the concept of the present invention, the plurality of channel patterns AP may be disposed to be spaced apart from each other in the first direction D1 on the single active region 102, and the plurality of source/drain patterns ( SD) may be disposed on both sides of the channel patterns AP on the single active region 102. As the channel patterns AP are disposed to be spaced apart from each other in the first direction D1 on the single active region 102, as will be described later, formation of the channel patterns AP may be facilitated. . In addition, the widths W1, W2, W3 of the channel patterns AP and the widths W1a, W2a, W3a of the source/drain patterns SD may be variously adjusted, and accordingly, A degree of freedom in designing a semiconductor integrated circuit including a transistor implemented by the channel patterns AP, the source/drain patterns SD, and the gate structure GS may increase.

도 3a 내지 도 9a, 도 3b 내지 도 9b, 및 도 3c 내지 도 9c는 본 발명의 일부 실시예들에 따른 반도체 소자의 제조방법을 나타내는 도면들로, 각각 도 1의 A-A', B-B', 및 C-C'에 대응하는 단면도들이다. 설명의 간소화를 위해, 도 1, 도 2a 내지 도 2c를 참조하여 설명한 반도체 소자와 중복되는 설명은 생략된다. 3A to 9A, 3B to 9B, and 3C to 9C are diagrams illustrating a method of manufacturing a semiconductor device according to some embodiments of the present invention, respectively, A-A' and B- of FIG. These are cross-sectional views corresponding to B'and C-C'. For the sake of simplicity, descriptions overlapping with the semiconductor devices described with reference to FIGS. 1 and 2A to 2C are omitted.

도 1, 도 3a 내지 도 3c를 참조하면, 희생층들(150) 및 반도체층들(152)이 기판(100) 상에 교대로 그리고 반복적으로 적층될 수 있다. 상기 희생층들(150) 및 상기 반도체층들(152)은 3회 반복하여 적층되는 것으로 도시되었으나, 본 발명의 개념은 이에 한정되지 않는다. 상기 희생층들(150)은 상기 반도체층들(152)에 대하여 식각 선택성을 갖는 물질을 포함할 수 있다. 일 예로, 상기 희생층들(150)은 SiGe, Si, 및 Ge 중 하나이고, 상기 반도체층들(152)은 SiGe, Si, 및 Ge 중 다른 하나일 수 있다. 상기 희생층들(150) 및 상기 반도체층들(152)은 상기 기판(100)을 시드로 이용하는 에피택시얼 성장(epitaxial growth) 공정을 수행함으로써 형성될 수 있다. 상기 희생층들(150) 및 상기 반도체층들(152)은 서로 동일한 두께를 가지도록 형성되거나, 서로 다른 두께를 가지도록 형성될 수 있다. 1 and 3A to 3C, sacrificial layers 150 and semiconductor layers 152 may be alternately and repeatedly stacked on the substrate 100. The sacrificial layers 150 and the semiconductor layers 152 are shown to be repeatedly stacked three times, but the concept of the present invention is not limited thereto. The sacrificial layers 150 may include a material having etch selectivity with respect to the semiconductor layers 152. For example, the sacrificial layers 150 may be one of SiGe, Si, and Ge, and the semiconductor layers 152 may be the other one of SiGe, Si, and Ge. The sacrificial layers 150 and the semiconductor layers 152 may be formed by performing an epitaxial growth process using the substrate 100 as a seed. The sacrificial layers 150 and the semiconductor layers 152 may be formed to have the same thickness or different thicknesses.

활성영역(102)이 상기 기판(100) 상에 형성될 수 있다. 상기 활성영역(102)을 형성하는 것은, 상기 희생층들(150), 상기 반도체층들(152), 및 상기 기판(100)의 상부를 차례로 패터닝하여 상기 기판(100) 내에 상기 활성영역(102)을 정의하는 트렌치들(T)을 형성하는 것을 포함할 수 있다. 상기 트렌치들(T)은 상기 제1 방향(D1)으로 서로 이격될 수 있고, 상기 제2 방향(D2)으로 연장되는 라인 형태일 수 있다. 소자분리 패턴들(ST)이 상기 트렌치들(T)을 각각 채우도록 형성될 수 있다. 상기 소자분리 패턴들(ST)은 상기 활성영역(102)의 양 측의 상기 기판(100) 상에 형성될 수 있다. 상기 소자분리 패턴들(ST)은 상기 제2 방향(D2)으로 연장될 수 있고, 상기 활성영역(102)을 사이에 두고 상기 제1 방향(D1)으로 서로 이격될 수 있다. 상기 소자분리 패턴들(ST)을 형성하는 것은, 상기 기판(100) 상에 상기 트렌치들(T)을 채우는 절연막을 형성하는 것, 및 상기 희생층들(150) 및 상기 반도체층들(152)의 측면들이 노출되도록 상기 절연막을 리세스하는 것을 포함할 수 있다. 상기 절연막이 리세스됨에 따라, 상기 소자분리 패턴들(ST)의 상면들(ST_U)은 상기 활성영역(102)의 상면(102U)보다 낮은 레벨에 있을 수 있다. An active region 102 may be formed on the substrate 100. The active region 102 is formed by sequentially patterning the sacrificial layers 150, the semiconductor layers 152, and the upper portions of the substrate 100 to form the active region 102 in the substrate 100. It may include forming trenches T defining ). The trenches T may be spaced apart from each other in the first direction D1 and may have a line shape extending in the second direction D2. Device isolation patterns ST may be formed to fill the trenches T, respectively. The device isolation patterns ST may be formed on the substrate 100 on both sides of the active region 102. The device isolation patterns ST may extend in the second direction D2 and may be spaced apart from each other in the first direction D1 with the active region 102 interposed therebetween. Forming the device isolation patterns ST includes forming an insulating layer filling the trenches T on the substrate 100, and the sacrificial layers 150 and the semiconductor layers 152 It may include recessing the insulating layer so that the sides of the are exposed. As the insulating layer is recessed, upper surfaces ST_U of the device isolation patterns ST may be at a lower level than the upper surface 102U of the active region 102.

도 1, 도 4a 내지 도 4c를 참조하면, 복수의 예비 채널패턴들(PAP)이 상기 활성영역(102)의 상기 상면(102U) 상에 형성될 수 있다. 상기 예비 채널패턴들(PAP)은 상기 희생층들(150) 및 상기 반도체층들(152)을 차례로 패터닝함으로써 형성될 수 있다. 상기 예비 채널패턴들(PAP)은 상기 활성영역(102)의 상기 상면(102U) 상에서 상기 제1 방향(D1)으로 서로 이격될 수 있고, 상기 제2 방향(D2)으로 연장되는 라인 형태를 가질 수 있다. 상기 예비 채널패턴들(PAP)의 각각은 상기 희생층들(150) 및 상기 반도체층들(152)을 패터닝하여 각각 형성된 예비 희생 패턴들(150P) 및 예비 반도체 패턴들(152P)을 포함할 수 있다. 상기 예비 희생 패턴들(150P) 및 상기 예비 반도체 패턴들(152P)은 상기 제3 방향(D3)을 따라 교대로 그리고 반복적으로 적층될 수 있다. 상기 예비 희생 패턴들(150P) 및 상기 예비 반도체 패턴들(152P)의 각각은 상기 활성영역(102)의 상기 상면(102U) 상에서 상기 제2 방향(D2)으로 연장되는 라인 형태를 가질 수 있다. 1 and 4A to 4C, a plurality of preliminary channel patterns PAP may be formed on the upper surface 102U of the active region 102. The preliminary channel patterns PAP may be formed by sequentially patterning the sacrificial layers 150 and the semiconductor layers 152. The preliminary channel patterns PAP may be spaced apart from each other in the first direction D1 on the upper surface 102U of the active region 102 and have a line shape extending in the second direction D2. I can. Each of the preliminary channel patterns PAP may include preliminary sacrificial patterns 150P and preliminary semiconductor patterns 152P respectively formed by patterning the sacrificial layers 150 and the semiconductor layers 152. have. The preliminary sacrificial patterns 150P and the preliminary semiconductor patterns 152P may be alternately and repeatedly stacked along the third direction D3. Each of the preliminary sacrificial patterns 150P and the preliminary semiconductor patterns 152P may have a line shape extending in the second direction D2 on the upper surface 102U of the active region 102.

상기 예비 채널패턴들(PAP)의 각각은 상기 제1 방향(D1)에 따른 폭을 가질 수 있다. 일부 실시예들에 따르면, 상기 예비 채널패턴들(PAP) 중 적어도 하나의 예비 채널패턴(PAP)의 폭은 상기 예비 채널패턴들(PAP) 중 다른 예비 채널패턴(PAP)의 폭과 다를 수 있다. 일부 실시예들에 따르면, 상기 예비 채널패턴들(PAP)의 폭들은 서로 다를 수도 있고, 다른 실시예들에 따르면, 상기 예비 채널패턴들(PAP)의 상기 폭들은 서로 동일할 수도 있다. 일부 실시예들에 따르면, 상기 예비 채널패턴들(PAP) 중, 적어도 한 쌍의 예비 채널패턴들(PAP) 사이의 거리는 상기 예비 채널패턴들(PAP) 중, 다른 한 쌍의 예비 채널패턴들(PAP) 사이의 거리와 다를 수 있다. 다른 실시예들에 따르면, 상기 예비 채널패턴들(PAP) 사이의 거리들은 서로 동일할 수도 있다. 상기 예비 채널패턴들(PAP) 사이의 상기 거리들의 각각은 상기 예비 채널패턴들(PAP) 중, 서로 인접하는 한 쌍의 예비 채널패턴들(PAP) 사이의 상기 제1 방향(D1)에 따른 거리일 수 있다.Each of the preliminary channel patterns PAP may have a width along the first direction D1. According to some embodiments, a width of at least one preliminary channel pattern PAP among the preliminary channel patterns PAP may be different from a width of another preliminary channel pattern PAP among the preliminary channel patterns PAP . According to some embodiments, widths of the preliminary channel patterns PAP may be different from each other, and according to other embodiments, the widths of the preliminary channel patterns PAP may be the same. According to some embodiments, a distance between at least one pair of preliminary channel patterns PAP among the preliminary channel patterns PAP is the other pair of preliminary channel patterns ( PAP) may differ from the distance between them. According to other embodiments, distances between the preliminary channel patterns PAP may be the same. Each of the distances between the preliminary channel patterns PAP is a distance in the first direction D1 between a pair of preliminary channel patterns PAP adjacent to each other among the preliminary channel patterns PAP Can be

도 1, 도 5a 내지 도 5c를 참조하면, 희생 게이트 구조체(SGS)가 상기 복수의 예비 채널패턴들(PAP)을 가로지르도록 형성될 수 있다. 상기 희생 게이트 구조체(SGS)는 상기 제1 방향(D1)으로 연장될 수 있고, 상기 활성영역(102), 상기 복수의 예비 채널패턴들(PAP), 및 상기 소자분리 패턴들(ST)을 가로지를 수 있다. 상기 희생 게이트 구조체(SGS)는 상기 기판(100) 상에 차례로 적층된 식각 정지 패턴(160), 희생 게이트 패턴(162), 및 마스크 패턴(164)을 포함할 수 있다. 상기 희생 게이트 패턴(162)은 상기 제1 방향(D1)으로 연장되는 라인 형태일 수 있다. 상기 희생 게이트 패턴(162)은 상기 예비 채널패턴들(PAP)의 각각의, 상기 제1 방향(D1)으로 서로 마주하는 측면들을 덮을 수 있고, 상기 예비 채널패턴들(PAP)의 각각의 상면, 상기 예비 채널패턴들(PAP) 사이의 상기 활성영역(102)의 상기 상면(102U), 및 상기 소자분리패턴들(ST)의 상기 상면들(ST_U)을 덮을 수 있다. 상기 식각 정지 패턴(160)은 상기 희생 게이트 패턴(162)과 상기 예비 채널패턴들(PAP)의 각각 사이에 개재될 수 있고, 상기 희생 게이트 패턴(162)과 상기 활성영역(102) 사이 및 상기 희생 게이트 패턴(162)과 상기 소자분리 패턴들(ST)의 각각 사이로 연장될 수 있다. Referring to FIGS. 1 and 5A to 5C, a sacrificial gate structure SGS may be formed to cross the plurality of preliminary channel patterns PAP. The sacrificial gate structure SGS may extend in the first direction D1 and do not cross the active region 102, the plurality of preliminary channel patterns PAP, and the device isolation patterns ST. You can. The sacrificial gate structure SGS may include an etch stop pattern 160, a sacrificial gate pattern 162, and a mask pattern 164 sequentially stacked on the substrate 100. The sacrificial gate pattern 162 may have a line shape extending in the first direction D1. The sacrificial gate pattern 162 may cover side surfaces of each of the preliminary channel patterns PAP, facing each other in the first direction D1, and an upper surface of each of the preliminary channel patterns PAP, The upper surface 102U of the active region 102 between the preliminary channel patterns PAP and the upper surfaces ST_U of the device isolation patterns ST may be covered. The etch stop pattern 160 may be interposed between the sacrificial gate pattern 162 and each of the preliminary channel patterns PAP, and between the sacrificial gate pattern 162 and the active region 102 and the It may extend between the sacrificial gate pattern 162 and each of the device isolation patterns ST.

상기 희생 게이트 패턴(162) 및 상기 식각 정지 패턴(160)을 형성하는 것은, 상기 기판(100) 상에 상기 예비 채널패턴들(PAP), 상기 활성영역(102), 및 상기 소자분리패턴들(ST)을 덮는 식각 정지막(미도시) 및 희생 게이트막(미도시)을 차례로 형성하는 것, 상기 희생 게이트막 상에 상기 희생 게이트 패턴(162)이 형성될 영역을 정의하는 상기 마스크 패턴(164)을 형성하는 것, 및 상기 마스크 패턴(164)을 식각 마스크로 이용하여 상기 희생 게이트막 및 상기 식각 정지막을 순차로 패터닝하는 것을 포함할 수 있다. 상기 식각 정지막은 일 예로, 실리콘 산화막을 포함할 수 있다. 상기 희생 게이트막은 상기 식각 정지막에 대하여 식각 선택성을 갖는 물질을 포함할 수 있다. 상기 희생 게이트막은 일 예로, 폴리 실리콘을 포함할 수 있다. 상기 마스크 패턴(164)을 식각 마스크로 상기 희생 게이트막을 패터닝하여 상기 희생 게이트 패턴(162)이 형성될 수 있다. 상기 희생 게이트막을 패터닝하는 것은, 상기 식각 정지막에 대하여 식각 선택성을 갖는 식각 공정을 수행하는 것을 포함할 수 있다. 상기 희생 게이트 패턴(162)이 형성된 후, 상기 희생 게이트 패턴(162) 양 측의 상기 식각 정지막을 제거하여 상기 희생 게이트 패턴(162) 아래에 상기 식각 정지 패턴(160)이 국소적으로 형성될 수 있다. The formation of the sacrificial gate pattern 162 and the etch stop pattern 160 includes the preliminary channel patterns PAP, the active region 102, and the device isolation patterns on the substrate 100. Forming an etch stop layer (not shown) and a sacrificial gate layer (not shown) sequentially covering the ST, and the mask pattern 164 defining a region on the sacrificial gate layer in which the sacrificial gate pattern 162 will be formed ), and sequentially patterning the sacrificial gate layer and the etch stop layer using the mask pattern 164 as an etching mask. The etch stop layer may include, for example, a silicon oxide layer. The sacrificial gate layer may include a material having etch selectivity with respect to the etch stop layer. The sacrificial gate layer may include, for example, polysilicon. The sacrificial gate pattern 162 may be formed by patterning the sacrificial gate layer using the mask pattern 164 as an etching mask. Patterning the sacrificial gate layer may include performing an etching process having an etch selectivity on the etch stop layer. After the sacrificial gate pattern 162 is formed, the etch stop layer on both sides of the sacrificial gate pattern 162 is removed to form the etch stop pattern 160 locally under the sacrificial gate pattern 162. have.

상기 희생 게이트 구조체(SGS)는 상기 희생 게이트 패턴(162)의 양 측의 게이트 스페이서들(GSP)를 더 포함할 수 있다. 상기 게이트 스페이서들(GSP)를 형성하는 것은, 상기 기판(100) 상에 상기 마스크 패턴(164), 상기 희생 게이트 패턴(162), 및 상기 식각 정지 패턴(160)을 덮는 게이트 스페이서막(미도시)을 형성하는 것, 및 상기 게이트 스페이서막을 이방성 식각하는 것을 포함할 수 있다. 상기 마스크 패턴(164) 및 상기 게이트 스페이서들(GSP)은 일 예로, 실리콘 질화물을 포함할 수 있다. The sacrificial gate structure SGS may further include gate spacers GSP on both sides of the sacrificial gate pattern 162. Forming the gate spacers GSP may include a gate spacer layer (not shown) covering the mask pattern 164, the sacrificial gate pattern 162, and the etch stop pattern 160 on the substrate 100. ), and anisotropically etching the gate spacer layer. The mask pattern 164 and the gate spacers GSP may include, for example, silicon nitride.

도 1, 도 6a 내지 도 6c를 참조하면, 상기 예비 채널패턴들(PAP)을 패터닝함으로써, 상기 희생 게이트 구조체(SGS) 아래에 복수의 채널패턴들(AP)이 형성될 수 있다. 상기 복수의 채널패턴들(AP)은 상기 제1 방향(D1)으로 서로 이격될 수 있고, 상기 복수의 채널패턴들(AP)의 각각은 상기 희생 게이트 구조체(SGS)와 중첩할 수 있다. 상기 채널패턴들(AP)을 형성하는 것은, 상기 희생 게이트 구조체(SGS) 양 측에서 상기 예비 채널패턴들(PAP)의 각각의 부분들을 제거하는 것을 포함할 수 있다. 상기 예비 채널패턴들(PAP)의 각각의 상기 부분들을 제거하는 것은, 상기 마스크 패턴(164) 및 상기 게이트 스페이서들(GSP)을 식각 마스크로 이용하여 상기 예비 채널패턴들(PAP)의 각각의 상기 부분들을 식각하는 것을 포함할 수 있다. 상기 예비 채널패턴들(PAP)의 각각의 상기 부분들을 식각하는 것은, 상기 희생 게이트 구조체(SGS)의 양 측에서 상기 활성영역(102)의 상기 상면(102U)이 노출될 때까지 수행될 수 있다. 상기 채널패턴들(AP)의 각각은 상기 활성영역(102) 상에 교대로 그리고 반복적으로 적층된 희생 패턴들(154) 및 반도체 패턴들(104)을 포함할 수 있다. 상기 희생 패턴들(154)은 상기 예비 희생 패턴들(150P)을 패터닝하여 형성될 수 있고, 상기 반도체 패턴들(104)은 상기 예비 반도체 패턴들(152P)을 패터닝하여 형성될 수 있다. 상기 희생 게이트 구조체(SGS)는 상기 채널패턴들(AP)의 각각의, 상기 제1 방향(D1)으로 서로 마주하는 측면들을 덮을 수 있고, 상기 채널패턴들(AP)의 각각의, 상기 제2 방향(D2)으로 서로 마주하는 측면들을 노출할 수 있다. Referring to FIGS. 1 and 6A to 6C, a plurality of channel patterns AP may be formed under the sacrificial gate structure SGS by patterning the preliminary channel patterns PAP. The plurality of channel patterns AP may be spaced apart from each other in the first direction D1, and each of the plurality of channel patterns AP may overlap the sacrificial gate structure SGS. Forming the channel patterns AP may include removing portions of the preliminary channel patterns PAP from both sides of the sacrificial gate structure SGS. The removal of the respective portions of the preliminary channel patterns PAP may be performed by using the mask pattern 164 and the gate spacers GSP as an etching mask. It may include etching portions. Etching the portions of each of the preliminary channel patterns PAP may be performed until the upper surface 102U of the active region 102 is exposed on both sides of the sacrificial gate structure SGS. . Each of the channel patterns AP may include sacrificial patterns 154 and semiconductor patterns 104 alternately and repeatedly stacked on the active region 102. The sacrificial patterns 154 may be formed by patterning the preliminary sacrificial patterns 150P, and the semiconductor patterns 104 may be formed by patterning the preliminary semiconductor patterns 152P. The sacrificial gate structure SGS may cover side surfaces of each of the channel patterns AP, facing each other in the first direction D1, and each of the channel patterns AP, the second Side surfaces facing each other in the direction D2 may be exposed.

상기 희생 게이트 구조체(SGS)에 의해 노출된, 상기 채널패턴들(AP)의 각각의 상기 측면들 상에서, 상기 희생 패턴들(154)이 수평적으로 리세스되어 리세스 영역들(154R)이 형성될 수 있다. 상기 리세스 영역들(154R)은 상기 희생 패턴들(154)을 선택적으로 식각하는 습식 식각 공정을 수행함으로써 형성될 수 있다. 이 후, 스페이서 패턴들(110)이 상기 리세스 영역들(154R) 내에 각각 형성될 수 있다. 상기 스페이서 패턴들(110)을 형성하는 것은, 상기 기판(100) 상에 상기 리세스 영역들(154R)을 채우는 스페이서막을 콘포멀하게 형성하는 것, 및 상기 스페이서 패턴들(110)이 상기 리세스 영역들(154R) 내에 각각 국소적으로 형성되도록 상기 스페이서막을 이방성 식각하는 것을 포함할 수 있다. 상기 스페이서 패턴들(110)은 저유전막(일 예로, 실리콘 질화물)을 포함할 수 있다. On the side surfaces of the channel patterns AP exposed by the sacrificial gate structure SGS, the sacrificial patterns 154 are horizontally recessed to form recess regions 154R Can be. The recess regions 154R may be formed by performing a wet etching process of selectively etching the sacrificial patterns 154. Thereafter, spacer patterns 110 may be formed in the recess regions 154R, respectively. Forming the spacer patterns 110 includes conformally forming a spacer layer filling the recess regions 154R on the substrate 100, and the spacer patterns 110 forming the recesses The spacer layer may be anisotropically etched so as to be formed locally in the regions 154R. The spacer patterns 110 may include a low dielectric film (eg, silicon nitride).

도 1, 도 7a 내지 도 7c를 참조하면, 상기 희생 게이트 구조체(SGS)의 양 측의 상기 활성영역(102) 상에 소스/드레인 패턴들(SD)이 형성될 수 있다. 상기 소스/드레인 패턴들(SD)은 상기 반도체 패턴들(104) 및 상기 활성영역(102)을 시드로 이용하는 선택적 에피택시얼 성장 공정을 수행하여 형성될 수 있다. 상기 소스/드레인 패턴들(SD)의 각각은 상기 희생 게이트 구조체(SGS)에 의해 노출된, 상기 반도체 패턴들(104)의 측면들과 접할 수 있고, 상기 활성영역(102)의 상기 상면(102U)과 접할 수 있다. 상기 소스/드레인 패턴들(SD)은 상기 반도체 패턴들(104)의 각각을 통하여 서로 전기적으로 연결될 수 있다. 상기 소스/드레인 패턴들(SD)은 상기 스페이서 패턴들(110)을 사이에 두고 상기 희생 패턴들(154)의 각각으로부터 이격될 수 있다. 상기 소스/드레인 패턴들(SD)은 상기 스페이서 패턴들(110)과 접할 수 있다. Referring to FIGS. 1 and 7A to 7C, source/drain patterns SD may be formed on the active region 102 on both sides of the sacrificial gate structure SGS. The source/drain patterns SD may be formed by performing a selective epitaxial growth process using the semiconductor patterns 104 and the active region 102 as seeds. Each of the source/drain patterns SD may contact side surfaces of the semiconductor patterns 104 exposed by the sacrificial gate structure SGS, and the upper surface 102U of the active region 102 ). The source/drain patterns SD may be electrically connected to each other through each of the semiconductor patterns 104. The source/drain patterns SD may be spaced apart from each of the sacrificial patterns 154 with the spacer patterns 110 interposed therebetween. The source/drain patterns SD may contact the spacer patterns 110.

상기 소스/드레인 패턴들(SD)은 실리콘-게르마늄(SiGe), 실리콘(Si), 및 탄화 실리콘(SiC) 중 적어도 하나를 포함할 수 있다. 상기 소스/드레인 패턴들(SD)을 형성하는 것은, 상기 선택적 에피택시얼 성장 공정과 동시에 또는 상기 선택적 에피택시얼 성장 공정 후, 상기 소스/드레인 패턴들(SD)에 불순물을 도핑하는 것을 더 포함할 수 있다. 상기 불순물은 상기 소스/드레인 패턴들(SD)을 포함하는 트랜지스터의 전기적 특성을 개선하기 위해 채용될 수 있다. 상기 트랜지스터가 NMOSFET인 경우, 상기 불순물은 일 예로, 인(P)일 수 있고, 상기 트랜지스터가 PMOSFET인 경우, 상기 불순물은 일 예로, 보론(B)일 수 있다.The source/drain patterns SD may include at least one of silicon-germanium (SiGe), silicon (Si), and silicon carbide (SiC). The forming of the source/drain patterns SD further includes doping the source/drain patterns SD with impurities simultaneously with the selective epitaxial growth process or after the selective epitaxial growth process. can do. The impurities may be employed to improve electrical characteristics of a transistor including the source/drain patterns SD. When the transistor is an NMOSFET, the impurity may be phosphorus (P), for example, and when the transistor is a PMOSFET, the impurity may be boron (B), for example.

상기 소스/드레인 패턴들(SD)이 형성된 상기 기판(100) 상에 하부 층간 절연막(120)이 형성될 수 있다. 상기 하부 층간 절연막(120)은 상기 소스/드레인 패턴들(SD) 및 상기 희생 게이트 구조체(SGS)를 덮도록 형성될 수 있다. A lower interlayer insulating layer 120 may be formed on the substrate 100 on which the source/drain patterns SD are formed. The lower interlayer insulating layer 120 may be formed to cover the source/drain patterns SD and the sacrificial gate structure SGS.

도 1, 도 8a 내지 도 8c를 참조하면, 상기 희생 게이트 패턴(162)이 노출될 때까지 상기 하부 층간 절연막(120)이 평탄화될 수 있다. 상기 마스크 패턴(164)은 상기 평탄화 공정에 의해 제거될 수 있다. 상기 희생 게이트 패턴(162) 및 상기 식각 정지 패턴(160)이 제거될 수 있고, 이에 따라, 상기 하부 층간 절연막(120) 내에 갭 영역(170)이 형성될 수 있다. 상기 갭 영역(170)은 상기 게이트 스페이서들(GSP) 사이의 빈 영역일 수 있다. 상기 갭 영역(170)은 상기 복수의 채널패턴들(AP)을 노출할 수 있다. 상기 갭 영역(170)을 형성하는 것은, 상기 게이트 스페이서(GSP), 상기 하부 층간 절연막(120), 및 상기 식각 정지 패턴(160)에 대하여 식각 선택성을 갖는 식각 공정을 수행하여 상기 희생 게이트 패턴(162)을 선택적으로 식각하는 것, 및 상기 식각 정지 패턴(160)을 제거하여 상기 반도체 패턴들(104) 및 상기 희생 패턴들(154)을 노출하는 것을 포함할 수 있다. 상기 갭 영역(170)은 평면적 관점에서, 상기 제1 방향(D1)으로 연장되는 라인 형태일 수 있고, 상기 소자분리패턴들(ST)의 상기 상면들(ST_U)을 노출할 수 있다. 1 and 8A to 8C, the lower interlayer insulating layer 120 may be planarized until the sacrificial gate pattern 162 is exposed. The mask pattern 164 may be removed by the planarization process. The sacrificial gate pattern 162 and the etch stop pattern 160 may be removed, and accordingly, a gap region 170 may be formed in the lower interlayer insulating layer 120. The gap region 170 may be an empty region between the gate spacers GSP. The gap region 170 may expose the plurality of channel patterns AP. The formation of the gap region 170 may include performing an etching process having an etch selectivity on the gate spacer (GSP), the lower interlayer insulating layer 120, and the etch stop pattern 160 to form the sacrificial gate pattern ( It may include selectively etching the 162 and removing the etch stop pattern 160 to expose the semiconductor patterns 104 and the sacrificial patterns 154. The gap region 170 may have a line shape extending in the first direction D1 from a plan view, and may expose the upper surfaces ST_U of the device isolation patterns ST.

상기 노출된 희생 패턴들(154)이 선택적으로 제거될 수 있다. 일 예로, 상기 희생 패턴들(154)이 실리콘-게르마늄(SiGe)을 포함하고, 상기 반도체 패턴들(104)이 실리콘(Si)을 포함하는 경우, 상기 희생 패턴들(154)은 과초산(peracetic acid)을 식각 소스로 이용하는 습식 식각 공정을 수행하여 선택적으로 제거될 수 있다. 상기 선택적 제거 공정 동안, 상기 소스/드레인 패턴들(SD)은 상기 층간 절연막(120) 및 상기 스페이서 패턴들(110)에 의해 보호될 수 있다. 상기 희생 패턴들(154)이 선택적으로 제거됨에 따라, 상기 반도체 패턴들(104) 사이, 및 상기 반도체 패턴들(104) 중 최하층의 반도체 패턴(104)과 상기 활성영역(102) 사이에 빈 영역들(172)이 형성될 수 있다. 상기 빈 영역들(172)의 각각은 상기 갭 영역(170)과 연결되어 서로 통할 수 있다. The exposed sacrificial patterns 154 may be selectively removed. As an example, when the sacrificial patterns 154 include silicon-germanium (SiGe) and the semiconductor patterns 104 include silicon (Si), the sacrificial patterns 154 are peracetic acid. acid) may be selectively removed by performing a wet etching process using as an etching source. During the selective removal process, the source/drain patterns SD may be protected by the interlayer insulating layer 120 and the spacer patterns 110. As the sacrificial patterns 154 are selectively removed, a blank area between the semiconductor patterns 104 and between the lowermost semiconductor pattern 104 and the active region 102 of the semiconductor patterns 104 Fields 172 may be formed. Each of the empty areas 172 may be connected to the gap area 170 to communicate with each other.

상기 단일 활성영역(102) 상에 상기 단일 활성영역(102)과 실질적으로 동일한 폭을 갖는 단일 채널패턴이 형성되는 경우, 상기 단일 채널패턴 내 희생 패턴들(154)의 제거가 어려울 수 있고, 이에 따라, 상기 단일 채널패턴 내 반도체 패턴들(104) 사이에 빈 영역들(172)을 형성하는 것이 어려울 수 있다. When a single channel pattern having substantially the same width as the single active region 102 is formed on the single active region 102, it may be difficult to remove the sacrificial patterns 154 in the single channel pattern. Accordingly, it may be difficult to form blank regions 172 between the semiconductor patterns 104 in the single channel pattern.

본 발명의 개념에 따르면, 상기 복수의 채널패턴들(AP)이 상기 단일 활성영역(102) 상에서 상기 제1 방향(D1)으로 서로 이격되도록 형성될 수 있다. 이에 따라, 상기 복수의 채널패턴들(AP)의 각각 내 상기 희생 패턴들(154)의 제거가 용이할 수 있고, 상기 복수의 채널패턴들(AP)의 각각 내 상기 반도체 패턴들(104) 사이에 상기 빈 영역들(172)을 형성하는 것이 용이할 수 있다. 따라서, 반도체 소자의 제조가 용이할 수 있다. According to the concept of the present invention, the plurality of channel patterns AP may be formed to be spaced apart from each other in the first direction D1 on the single active region 102. Accordingly, it is possible to easily remove the sacrificial patterns 154 in each of the plurality of channel patterns AP, and between the semiconductor patterns 104 in each of the plurality of channel patterns AP It may be easy to form the blank areas 172 in the. Therefore, it can be easy to manufacture the semiconductor device.

도 1, 도 9a 내지 도 9c를 참조하면, 게이트 절연 패턴(GI) 및 게이트 전극(GE)이 상기 갭 영역(170) 및 상기 빈 영역들(172)을 채우도록 형성될 수 있다. 상기 게이트 절연 패턴(GI) 및 상기 게이트 전극(GE)을 형성하는 것은, 상기 갭 영역(170) 및 상기 빈 영역들(172)의 내면들을 컨포멀하게 덮는 게이트 절연막을 형성하는 것, 상기 갭 영역(170) 및 상기 빈 영역들(172)의 잔부를 채우는 게이트 도전막을 형성하는 것, 및 상기 하부 층간 절연막(120)이 노출될 때까지 평탄화 공정을 수행하여, 상기 게이트 절연 패턴(GI) 및 상기 게이트 전극(GE)을 상기 갭 영역(170) 및 상기 빈 영역들(172)을 내에 국소적으로 형성하는 것을 포함할 수 있다. 상기 게이트 전극(GE)은 상기 게이트 절연 패턴(GI)을 사이에 두고 상기 반도체 패턴들(104)의 각각 및 상기 활성영역(102)으로부터 이격될 수 있고, 상기 스페이서 패턴들(110)의 각각을 사이에 두고 상기 소스/드레인 패턴들(SD)의 각각으로부터 이격될 수 있다. 1 and 9A to 9C, a gate insulating pattern GI and a gate electrode GE may be formed to fill the gap region 170 and the empty regions 172. The forming of the gate insulating pattern GI and the gate electrode GE includes forming a gate insulating layer conformally covering inner surfaces of the gap region 170 and the empty regions 172, and the gap region (170) and forming a gate conductive layer filling the remainder of the empty regions 172, and performing a planarization process until the lower interlayer insulating layer 120 is exposed, and the gate insulating pattern GI and the It may include forming the gate electrode GE locally in the gap region 170 and the empty regions 172. The gate electrode GE may be spaced apart from each of the semiconductor patterns 104 and the active region 102 with the gate insulating pattern GI interposed therebetween, and each of the spacer patterns 110 It may be spaced apart from each of the source/drain patterns SD while interposed therebetween.

상기 게이트 절연 패턴(GI) 및 상기 게이트 전극(GE)의 상부들이 리세스되어, 상기 게이트 스페이서들(GSP) 사이에 그루브 영역이 형성될 수 있다. 게이트 캐핑 패턴(CAP)이 상기 그루브 영역 내에 형성될 수 있다. 상기 게이트 캐핑 패턴(CAP)을 형성하는 것은, 상기 하부 층간 절연막(120) 상에 상기 그루브 영역을 채우는 게이트 캐핑막을 형성하는 것, 및 상기 하부 층간 절연막(120)이 노출될 때까지 상기 게이트 캐핑막을 평탄화하는 것을 포함할 수 있다. Upper portions of the gate insulating pattern GI and the gate electrode GE may be recessed, so that a groove region may be formed between the gate spacers GSP. A gate capping pattern CAP may be formed in the groove area. The forming of the gate capping pattern CAP includes forming a gate capping layer filling the groove region on the lower interlayer insulating layer 120, and forming the gate capping layer until the lower interlayer insulating layer 120 is exposed. It may include flattening.

상기 게이트 절연 패턴(GI), 상기 게이트 전극(GE), 상기 게이트 캐핑 패턴(CAP), 및 상기 게이트 스페이서들(GSP)은 게이트 구조체(GS)를 구성할 수 있다. 상기 소스/드레인 패턴들(SD) 중 한 쌍의 소스/드레인 패턴들(SD)은 상기 채널패턴들(AP)의 각각을 사이에 두고 상기 제2 방향(D2)으로 서로 이격될 수 있고, 상기 한 쌍의 소스/드레인 패턴들(SD)은 상기 채널패턴들(AP)의 각각의 상기 반도체 패턴들(104)과 접할 수 있다. 상기 채널패턴들(AP)의 각각 및 상기 한 쌍의 소스/드레인 패턴들(SD)은 활성 구조체(AS)를 구성할 수 있고, 복수의 활성 구조체들(AS)이 상기 활성영역(102) 상에 상기 제1 방향(D1)으로 서로 이격되도록 배치될 수 있다. 상기 활성 구조체들(AS)의 각각 및 상기 게이트 전극(GE)은 게이트-올-어라운드(Gate-All-Around)형 전계 효과 트랜지스터를 구성할 수 있다.The gate insulating pattern GI, the gate electrode GE, the gate capping pattern CAP, and the gate spacers GSP may constitute a gate structure GS. A pair of source/drain patterns SD among the source/drain patterns SD may be spaced apart from each other in the second direction D2 with each of the channel patterns AP interposed therebetween, and the A pair of source/drain patterns SD may contact each of the semiconductor patterns 104 of the channel patterns AP. Each of the channel patterns AP and the pair of source/drain patterns SD may constitute an active structure AS, and a plurality of active structures AS may be formed on the active region 102. May be arranged to be spaced apart from each other in the first direction D1. Each of the active structures AS and the gate electrode GE may constitute a gate-all-around type field effect transistor.

도 1, 도 2a 내지 도 2c를 다시 참조하면, 상부 층간 절연막(130)이 상기 하부 층간 절연막(120) 상에 형성될 수 있다. 콘택 플러그들(CT)이 상기 상부 층간 절연막(130) 및 상기 하부 층간 절연막(120)을 관통하여 상기 소스/드레인 패턴들(SD)에 전기적으로 연결되도록 형성될 수 있고, 게이트 콘택 플러그(미도시)가 상기 상부 층간 절연막(130)을 관통하여 상기 게이트 전극(GE)에 전기적으로 연결되도록 형성될 수 있다. 상기 콘택 플러그들(CT) 및 상기 게이트 콘택 플러그를 형성하는 것은, 일 예로, 상기 상부 층간 절연막(130) 및 상기 하부 층간 절연막(120)을 관통하여 상기 소스/드레인 패턴들(SD)을 노출하는 콘택 홀들, 및 상기 상부 층간 절연막(130)을 관통하여 상기 게이트 전극(GE)을 노출하는 게이트 콘택 홀을 형성하는 것, 상기 콘택 홀들 및 상기 게이트 콘택 홀을 채우는 도전막을 형성하는 것, 및 상기 상부 층간 절연막(130)의 상면이 노출될 때까지 상기 도전막을 평탄화하는 것을 포함할 수 있다. 배선들(미도시)이 상기 상부 층간 절연막(130) 상에 형성될 수 있고, 상기 콘택 플러그들(CT) 및 상기 게이트 콘택 플러그에 접속되도록 형성될 수 있다.Referring again to FIGS. 1 and 2A to 2C, an upper interlayer insulating layer 130 may be formed on the lower interlayer insulating layer 120. Contact plugs CT may be formed to pass through the upper interlayer insulating layer 130 and the lower interlayer insulating layer 120 to be electrically connected to the source/drain patterns SD, and a gate contact plug (not shown) ) May pass through the upper interlayer insulating layer 130 and be electrically connected to the gate electrode GE. Forming the contact plugs CT and the gate contact plug may include, for example, penetrating the upper interlayer insulating layer 130 and the lower interlayer insulating layer 120 to expose the source/drain patterns SD. Forming a gate contact hole penetrating the contact holes and the upper interlayer insulating layer 130 to expose the gate electrode GE, forming the contact holes and a conductive layer filling the gate contact hole, and the upper portion It may include planarizing the conductive layer until the upper surface of the interlayer insulating layer 130 is exposed. Wires (not shown) may be formed on the upper interlayer insulating layer 130, and may be formed to be connected to the contact plugs CT and the gate contact plug.

도 10은 본 발명의 일부 실시예들에 따른 반도체 소자의 평면도이다. 도 11a, 도 11b, 및 도 11c는 각각 도 10의 A-A', B-B', 및 C-C' 선에 따라 자른 단면도들이다. 설명의 간소화를 위해, 도 1, 도 2a 내지 도 2c를 참조하여 설명한 반도체 소자와 차이점을 주로 설명한다.10 is a plan view of a semiconductor device according to some embodiments of the present invention. 11A, 11B, and 11C are cross-sectional views taken along lines A-A', B-B', and C-C' of FIG. 10, respectively. For simplicity of explanation, differences from the semiconductor devices described with reference to FIGS. 1 and 2A to 2C will be mainly described.

도 10, 도 11a, 도 11b, 및 도 11c를 참조하면, 상기 콘택 플러그들(CT)은 상기 상부 층간 절연막(130) 및 상기 하부 층간 절연막(120)을 관통하여 상기 소스/드레인 패턴들(SD)에 전기적으로 연결될 수 있다. 본 실시예들에 따르면, 상기 소스/드레인 패턴들(SD) 중 상기 소스 패턴들(SDa)은 상기 콘택 플러그들(CT) 중 대응하는 콘택 플러그들(CT)에 각각 접속될 수 있다. 상기 소스 패턴들(SDa)에 각각 연결된 상기 콘택 플러그들(CT)은 상기 게이트 구조체(GS)의 일 측에서 상기 제1 방향(D1)으로 서로 이격될 수 있다. 상기 소스/드레인 패턴들(SD) 중 상기 드레인 패턴들(SDb)은 상기 콘택 플러그들(CT) 중 대응하는 콘택 플러그들(CT)에 각각 접속될 수 있다. 상기 드레인 패턴들(SDb)에 각각 연결된 상기 콘택 플러그들(CT)은 상기 게이트 구조체(GS)의 타 측에서 상기 제1 방향(D1)으로 서로 이격될 수 있다. 배선들(ML)이 상기 상부 층간 절연막(130) 상에 배치될 수 있고, 상기 콘택 플러그들(CT)에 연결될 수 있다. 상기 소스 패턴들(SDa)에 각각 연결된 상기 콘택 플러그들(CT)은 상기 배선들(ML) 중 대응하는 배선(ML)에 의해 서로 연결될 수 있다. 상기 드레인 패턴들(SDb)에 각각 연결된 상기 콘택 플러그들(CT)은 상기 배선들(ML) 중 대응하는 다른 배선(ML)에 의해 서로 연결될 수 있다. 상술한 차이를 제외하고, 본 실시예들에 따른 반도체 소자는 도 1, 도 2a 내지 도 2c를 참조하여 설명한 반도체 소자와 실질적으로 동일하다.10, 11A, 11B, and 11C, the contact plugs CT penetrate the upper interlayer insulating layer 130 and the lower interlayer insulating layer 120 to pass through the source/drain patterns SD. ) Can be electrically connected. According to the present embodiments, the source patterns SDa among the source/drain patterns SD may be respectively connected to corresponding contact plugs CT among the contact plugs CT. The contact plugs CT respectively connected to the source patterns SDa may be spaced apart from each other in the first direction D1 from one side of the gate structure GS. Among the source/drain patterns SD, the drain patterns SDb may be respectively connected to corresponding contact plugs CT among the contact plugs CT. The contact plugs CT respectively connected to the drain patterns SDb may be spaced apart from each other in the first direction D1 from the other side of the gate structure GS. Wires ML may be disposed on the upper interlayer insulating layer 130 and may be connected to the contact plugs CT. The contact plugs CT respectively connected to the source patterns SDa may be connected to each other by a corresponding wire ML among the wires ML. The contact plugs CT respectively connected to the drain patterns SDb may be connected to each other by a corresponding other wire ML among the wires ML. Except for the above-described difference, the semiconductor device according to the present embodiments is substantially the same as the semiconductor device described with reference to FIGS. 1 and 2A to 2C.

도 12는 본 발명의 일부 실시예들에 따른 반도체 소자의 평면도이다. 도 13a, 도 13b, 및 도 13c는 각각 도 12의 A-A', B-B', 및 C-C' 선에 따라 자른 단면도들이다. 설명의 간소화를 위해, 도 1, 도 2a 내지 도 2c를 참조하여 설명한 반도체 소자와 차이점을 주로 설명한다.12 is a plan view of a semiconductor device according to some embodiments of the present invention. 13A, 13B, and 13C are cross-sectional views taken along lines A-A', B-B', and C-C' of FIG. 12, respectively. For simplicity of explanation, differences from the semiconductor devices described with reference to FIGS. 1 and 2A to 2C will be mainly described.

도 12, 도 13a, 도 13b, 및 도 13c를 참조하면, 복수의 활성영역들(102)이 상기 기판(100) 상에 배치될 수 있다. 상기 복수의 활성영역들(102)은 상기 제3 방향(D3)을 따라 상기 기판(100)으로부터 돌출될 수 있다. 소자분리 패턴들(ST)이 상기 기판(100) 상에 배치되어 상기 복수의 활성영역들(102)을 정의할 수 있다. 상기 소자분리 패턴들(ST)은 상기 복수의 활성영역들(102)의 측면들 상에 배치될 수 있다. 상기 복수의 활성영역들(102)은 상기 제1 방향(D1)으로 서로 이격되는 제1 활성영역(102a) 및 제2 활성영역(102b), 상기 제1 활성영역(102a)으로부터 상기 제2 방향(D2)으로 연장되는 제3 활성영역(102c), 및 상기 제2 활성영역(102b)으로부터 상기 제2 방향(D2)으로 연장되는 제4 활성영역(102d)을 포함할 수 있다. 상기 제1 활성영역(102a) 및 상기 제2 활성영역(102b)은 상기 소자분리 패턴들(ST) 중 대응하는 소자분리 패턴(ST)을 사이에 두고 상기 제1 방향(D1)으로 서로 이격될 수 있고, 상기 제3 활성영역(102c) 및 상기 제4 활성영역(102d)은 상기 소자분리 패턴들(ST) 중 대응하는 소자분리 패턴(ST)을 사이에 두고 상기 제1 방향(D1)으로 서로 이격될 수 있다.12, 13A, 13B, and 13C, a plurality of active regions 102 may be disposed on the substrate 100. The plurality of active regions 102 may protrude from the substrate 100 along the third direction D3. Device isolation patterns ST may be disposed on the substrate 100 to define the plurality of active regions 102. The device isolation patterns ST may be disposed on side surfaces of the plurality of active regions 102. The plurality of active regions 102 are separated from each other in the first direction (D1) in a first active region (102a) and a second active region (102b), the second direction from the first active region (102a). A third active region 102c extending in (D2), and a fourth active region 102d extending in the second direction D2 from the second active region 102b. The first active region 102a and the second active region 102b may be spaced apart from each other in the first direction D1 with a corresponding device isolation pattern ST interposed between the device isolation patterns ST. And the third active region 102c and the fourth active region 102d are in the first direction D1 with a corresponding device isolation pattern ST among the device isolation patterns ST interposed therebetween. They can be separated from each other.

상기 제1 내지 제4 활성영역들(102a, 102b, 102c, 102d)의 각각은 상기 제1 방향(D1)에 따른 폭을 가질 수 있다. 상기 제1 활성영역(102a)의 폭(102W1)은 상기 제3 활성영역(102c)의 폭(102W3)보다 클 수 있고, 상기 제2 활성영역(102b)의 폭(102W2)은 상기 제4 활성영역(102d)의 폭(102W4) 보다 클 수 있다. 일부 실시예들에 따르면, 상기 제1 활성영역(102a)의 폭(102W1)은 상기 제2 활성영역(102b)의 폭(102W2)과 실질적으로 동일할 수 있다. Each of the first to fourth active regions 102a, 102b, 102c, and 102d may have a width along the first direction D1. The width 102W1 of the first active area 102a may be larger than the width 102W3 of the third active area 102c, and the width 102W2 of the second active area 102b is the fourth active area 102b. It may be larger than the width 102W4 of the region 102d. According to some embodiments, the width 102W1 of the first active region 102a may be substantially the same as the width 102W2 of the second active region 102b.

복수의 제1 채널패턴들(AP1)이 상기 제1 활성영역(102a) 상에 배치될 수 있다. 상기 제1 채널패턴들(AP1)은 상기 제1 활성영역(102a)의 상면(102aU) 상에서 상기 제1 방향(D1)으로 서로 이격될 수 있다. 상기 제1 채널패턴들(AP1)의 각각은 상기 제1 활성영역(102a)의 상기 상면(102aU)에 수직한 방향(일 예로, 상기 제3 방향(D3))을 따라 적층된 복수의 제1 반도체 패턴들(104)을 포함할 수 있다. 상기 제1 채널패턴들(AP1)의 각각은 상기 제1 방향(D1)에 따른 폭(W4)을 가질 수 있다. 상기 제1 채널패턴들(AP1)의 각각의 폭(W4)은 상기 제1 채널패턴들(AP1)의 각각의 상기 제1 반도체 패턴들(104)의 폭일 수 있다. 상기 제1 활성영역(102a)의 상기 폭(102W)은 상기 제1 채널패턴들(AP1)의 폭들(W4)의 합보다 클 수 있다. 상기 제1 활성영역(102a)의 상기 폭(102W)은 상기 제1 채널패턴들(AP1)의 상기 폭들(W4)과 상기 제1 채널패턴들(AP1) 사이의 거리의 합보다 크거나 같을 수 있다. 상기 제1 채널패턴들(AP1) 사이의 상기 거리는 상기 제1 채널패턴들(AP1) 중, 서로 인접하는 제1 채널패턴들(AP1) 사이의 상기 제1 방향(D1)에 따른 거리일 수 있다. A plurality of first channel patterns AP1 may be disposed on the first active region 102a. The first channel patterns AP1 may be spaced apart from each other in the first direction D1 on the upper surface 102aU of the first active region 102a. Each of the first channel patterns AP1 is a plurality of first channels stacked along a direction perpendicular to the top surface 102aU of the first active region 102a (for example, the third direction D3). It may include semiconductor patterns 104. Each of the first channel patterns AP1 may have a width W4 along the first direction D1. Each width W4 of the first channel patterns AP1 may be the width of each of the first semiconductor patterns 104 of the first channel patterns AP1. The width 102W of the first active region 102a may be greater than the sum of the widths W4 of the first channel patterns AP1. The width 102W of the first active region 102a may be greater than or equal to the sum of the distances between the widths W4 of the first channel patterns AP1 and the first channel patterns AP1. have. The distance between the first channel patterns AP1 may be a distance in the first direction D1 between the first channel patterns AP1 adjacent to each other among the first channel patterns AP1. .

제2 채널패턴(AP2)이 상기 제2 활성영역(102b) 상에 배치될 수 있다. 상기 제2 채널패턴(AP2)은 상기 제2 활성영역(102b)의 상면(102bU)에 수직한 방향(일 예로, 상기 제3 방향(D3))을 따라 적층된 복수의 제2 반도체 패턴들(104)을 포함할 수 있다. 상기 제2 채널패턴(AP2)은 상기 제1 방향(D1)에 따른 폭(W5)을 가질 수 있다. 상기 제2 채널패턴(AP2)의 상기 폭(W5)은 상기 제2 채널패턴(AP2)의 상기 제2 반도체 패턴들(104)의 폭일 수 있다. 상기 제2 채널패턴(AP2)의 상기 폭(W5)은 상기 제1 채널패턴들(AP1)의 각각의 상기 폭(W4)보다 클 수 있다. 상기 제2 채널패턴(AP2)의 상기 폭(W5)은 상기 제1 채널패턴들(AP1)의 상기 폭들(W4)의 합보다 클 수 있다.A second channel pattern AP2 may be disposed on the second active region 102b. The second channel pattern AP2 includes a plurality of second semiconductor patterns stacked along a direction perpendicular to the upper surface 102bU of the second active region 102b (for example, the third direction D3). 104) may be included. The second channel pattern AP2 may have a width W5 along the first direction D1. The width W5 of the second channel pattern AP2 may be the width of the second semiconductor patterns 104 of the second channel pattern AP2. The width W5 of the second channel pattern AP2 may be greater than the width W4 of each of the first channel patterns AP1. The width W5 of the second channel pattern AP2 may be greater than the sum of the widths W4 of the first channel patterns AP1.

제3 채널패턴(AP3)이 상기 제3 활성영역(102c) 상에 배치될 수 있다. 상기 제3 채널패턴(AP3)은 상기 제3 활성영역(102c)의 상면에 수직한 방향(일 예로, 상기 제3 방향(D3))을 따라 적층된 복수의 제3 반도체 패턴들(104)을 포함할 수 있다. 상기 제3 채널패턴(AP3)은 상기 제1 방향(D1)에 따른 폭(W6)을 가질 수 있다. 상기 제3 채널패턴(AP3)의 상기 폭(W6)은 상기 제3 채널패턴(AP3)의 상기 제3 반도체 패턴들(104)의 폭일 수 있다. 상기 제3 채널패턴(AP3)의 상기 폭(W6)은 상기 제2 채널패턴(AP2)의 상기 폭(W5)보다 작을 수 있고, 상기 제1 채널패턴들(AP1)의 각각의 상기 폭(W4)과 실질적으로 동일할 수 있다. A third channel pattern AP3 may be disposed on the third active region 102c. The third channel pattern AP3 includes a plurality of third semiconductor patterns 104 stacked along a direction perpendicular to the upper surface of the third active region 102c (for example, the third direction D3). Can include. The third channel pattern AP3 may have a width W6 along the first direction D1. The width W6 of the third channel pattern AP3 may be the width of the third semiconductor patterns 104 of the third channel pattern AP3. The width W6 of the third channel pattern AP3 may be smaller than the width W5 of the second channel pattern AP2, and the width W4 of each of the first channel patterns AP1 ) May be substantially the same.

제4 채널패턴(AP4)이 상기 제4 활성영역(102d) 상에 배치될 수 있다. 상기 제4 채널패턴(AP4)은 상기 제4 활성영역(102d)의 상면에 수직한 방향(일 예로, 상기 제3 방향(D3))을 따라 적층된 복수의 제4 반도체 패턴들(104)을 포함할 수 있다. 상기 제4 채널패턴(AP4)은 상기 제1 방향(D1)에 따른 폭(W7)을 가질 수 있다. 상기 제4 채널패턴(AP4)의 상기 폭(W7)은 상기 제4 채널패턴(AP4)의 상기 제4 반도체 패턴들(104)의 폭일 수 있다. 상기 제4 채널패턴(AP4)의 상기 폭(W7)은 상기 제2 채널패턴(AP2)의 상기 폭(W5)보다 작을 수 있고, 상기 제1 채널패턴들(AP1)의 각각의 상기 폭(W4)과 실질적으로 동일할 수 있다. A fourth channel pattern AP4 may be disposed on the fourth active region 102d. The fourth channel pattern AP4 includes a plurality of fourth semiconductor patterns 104 stacked along a direction perpendicular to the upper surface of the fourth active region 102d (for example, the third direction D3). Can include. The fourth channel pattern AP4 may have a width W7 along the first direction D1. The width W7 of the fourth channel pattern AP4 may be the width of the fourth semiconductor patterns 104 of the fourth channel pattern AP4. The width W7 of the fourth channel pattern AP4 may be smaller than the width W5 of the second channel pattern AP2, and the width W4 of each of the first channel patterns AP1 ) May be substantially the same.

일부 실시예들에 따르면, 상기 제3 채널패턴(AP3)은 평면적 관점에서, 상기 제2 방향(D2)을 따라 상기 제1 채널패턴들(AP1) 중 하나에 정렬되도록 배치될 수 있다. 상기 제4 채널패턴(AP4)은 평면적 관점에서, 상기 제2 방향(D2)을 따라 상기 제2 채널패턴(AP2)의 가장자리부에 정렬되도록 배치될 수 있다. 이 경우, 상기 제3 활성영역(102c)과 상기 제4 활성영역(102d) 사이의 소자분리 패턴(ST)의 폭(ST_W2)은 상기 제1 활성영역(102a)과 상기 제2 활성영역(102b) 사이의 소자분리 패턴(ST)의 폭(ST_W1)보다 클 수 있다. According to some embodiments, the third channel pattern AP3 may be arranged to be aligned with one of the first channel patterns AP1 along the second direction D2 from a plan view. The fourth channel pattern AP4 may be arranged to be aligned with an edge portion of the second channel pattern AP2 along the second direction D2 from a plan view. In this case, the width ST_W2 of the device isolation pattern ST between the third active region 102c and the fourth active region 102d is the first active region 102a and the second active region 102b. ) May be larger than the width ST_W1 of the device isolation pattern ST.

제1 소스/드레인 패턴들(SD1)이 상기 제1 활성영역(102a) 상에 배치될 수 있다. 상기 제1 소스/드레인 패턴들(SD1)은 상기 제1 채널패턴들(AP1)의 일 측에 배치될 수 있고, 상기 제1 활성영역(102a)의 상면(102aU) 상에서 상기 제1 방향(D1)으로 서로 이격될 수 있다. 상기 제1 소스/드레인 패턴들(SD1)은 상기 제1 채널패턴들(AP1)에 각각 연결될 수 있다. 상기 제1 소스/드레인 패턴들(SD1)의 각각은 상기 제1 방향(D1)에 따른 폭(W4a)을 가질 수 있다. 상기 제1 활성영역(102a)의 상기 폭(102W)은 상기 제1 소스/드레인 패턴들(SD1)의 폭들(W4a)의 합보다 클 수 있다. First source/drain patterns SD1 may be disposed on the first active region 102a. The first source/drain patterns SD1 may be disposed on one side of the first channel patterns AP1, and the first direction D1 on the upper surface 102aU of the first active region 102a ) Can be separated from each other. The first source/drain patterns SD1 may be connected to the first channel patterns AP1, respectively. Each of the first source/drain patterns SD1 may have a width W4a along the first direction D1. The width 102W of the first active region 102a may be greater than the sum of the widths W4a of the first source/drain patterns SD1.

제2 소스/드레인 패턴(SD2)이 상기 제2 활성영역(102b) 상에 배치될 수 있다. 상기 제2 소스/드레인 패턴(SD2)은 상기 제2 채널패턴(AP2)의 일 측에 배치될 수 있고, 상기 제2 채널패턴(AP2)에 연결될 수 있다. 상기 제2 소스/드레인 패턴(SD2)은 상기 제1 방향(D1)에 따른 폭(W5a)을 가질 수 있다. 상기 제2 소스/드레인 패턴(SD2)의 상기 폭(W5a)은 상기 제1 소스/드레인 패턴들(SD1)의 각각의 상기 폭(W4a)보다 클 수 있고, 상기 제1 소스/드레인 패턴들(SD1)의 상기 폭들(W4a)의 합보다 클 수 있다.A second source/drain pattern SD2 may be disposed on the second active region 102b. The second source/drain pattern SD2 may be disposed on one side of the second channel pattern AP2 and may be connected to the second channel pattern AP2. The second source/drain pattern SD2 may have a width W5a along the first direction D1. The width W5a of the second source/drain pattern SD2 may be larger than the width W4a of each of the first source/drain patterns SD1, and the first source/drain patterns SD1 It may be greater than the sum of the widths W4a of SD1).

제3 소스/드레인 패턴(SD3)이 상기 제3 활성영역(102c) 상에 배치될 수 있다. 상기 제3 소스/드레인 패턴(SD3)은 상기 제3 채널패턴(AP3)의 일 측에 배치될 수 있고, 상기 제3 채널패턴(AP3)에 연결될 수 있다. 상기 제3 소스/드레인 패턴(SD3)은 상기 제1 방향(D1)에 따른 폭(W6a)을 가질 수 있다. 상기 제3 소스/드레인 패턴(SD3)의 상기 폭(W6a)은 상기 제2 소스/드레인 패턴(SD2)의 상기 폭(W5a)보다 작을 수 있고, 상기 제1 소스/드레인 패턴들(SD1)의 각각의 상기 폭(W4a)과 실질적으로 동일할 수 있다. A third source/drain pattern SD3 may be disposed on the third active region 102c. The third source/drain pattern SD3 may be disposed on one side of the third channel pattern AP3 and may be connected to the third channel pattern AP3. The third source/drain pattern SD3 may have a width W6a along the first direction D1. The width W6a of the third source/drain pattern SD3 may be smaller than the width W5a of the second source/drain pattern SD2, and the first source/drain patterns SD1 It may be substantially the same as each of the widths W4a.

제4 소스/드레인 패턴(SD4)이 상기 제4 활성영역(102d) 상에 배치될 수 있다. 상기 제4 소스/드레인 패턴(SD4)은 상기 제4 채널패턴(AP4)의 일 측에 배치될 수 있고, 상기 제4 채널패턴(AP4)에 연결될 수 있다. 상기 제4 소스/드레인 패턴(SD4)은 상기 제1 방향(D1)에 따른 폭(W7a)을 가질 수 있다. 상기 제4 소스/드레인 패턴(SD4)의 상기 폭(W7a)은 상기 제2 소스/드레인 패턴(SD2)의 상기 폭(W5a)보다 작을 수 있고, 상기 제1 소스/드레인 패턴들(SD1)의 각각의 상기 폭(W4a)과 실질적으로 동일할 수 있다.A fourth source/drain pattern SD4 may be disposed on the fourth active region 102d. The fourth source/drain pattern SD4 may be disposed on one side of the fourth channel pattern AP4 and may be connected to the fourth channel pattern AP4. The fourth source/drain pattern SD4 may have a width W7a along the first direction D1. The width W7a of the fourth source/drain pattern SD4 may be smaller than the width W5a of the second source/drain pattern SD2, and the first source/drain patterns SD1 It may be substantially the same as each of the widths W4a.

복수의 게이트 구조체들(GS)이 상기 활성영역들(102)을 가로지르도록 배치될 수 있다. 상기 게이트 구조체들(GS)은 상기 제1 방향(D1)으로 연장될 수 있고, 상기 제2 방향(D2)으로 서로 이격될 수 있다. 상기 게이트 구조체들(GS) 중 하나는 상기 제1 및 제2 활성영역들(102a, 102b) 및 이들 사이의 소자분리 패턴(ST)을 가로지를 수 있고, 상기 복수의 제1 채널패턴들(AP1) 및 상기 제2 채널패턴(AP2)을 덮을 수 있다. 상기 게이트 구조체들(GS) 중 다른 하나는 상기 제3 및 제4 활성영역들(102c, 102d) 및 이들 사이의 소자분리 패턴(ST)을 가로지를 수 있고, 상기 제3 및 제4 채널패턴들(AP3, AP4)을 덮을 수 있다.A plurality of gate structures GS may be disposed to cross the active regions 102. The gate structures GS may extend in the first direction D1 and may be spaced apart from each other in the second direction D2. One of the gate structures GS may cross the first and second active regions 102a and 102b and the device isolation pattern ST therebetween, and the plurality of first channel patterns AP1 ) And the second channel pattern AP2. Another one of the gate structures GS may cross the third and fourth active regions 102c and 102d and the device isolation pattern ST therebetween, and the third and fourth channel patterns (AP3, AP4) can be covered.

제1 콘택 플러그(CT1) 및 제2 콘택 플러그(CT2)가 상기 게이트 구조체들(GS) 중 상기 하나의 일 측에서 상기 제1 방향(D1)으로 서로 이격되도록 배치될 수 있다. 상기 제1 콘택 플러그(CT1)는 상기 제1 방향(D1)으로 연장되어 상기 제1 소스/드레인 패턴들(SD1)을 서로 연결할 수 있고, 상기 제2 콘택 플러그(CT2)는 상기 제2 소스/드레인 패턴(SD2)에 연결될 수 있다. 제3 콘택 플러그(CT3) 및 제4 콘택 플러그(CT4)가 상기 게이트 구조체들(GS) 중 상기 다른 하나의 일 측에서 상기 제1 방향(D1)으로 서로 이격되도록 배치될 수 있다. 상기 제3 콘택 플러그(CT3) 및 상기 제4 콘택 플러그(CT4)는 상기 제3 소스/드레인 패턴(SD3) 및 상기 제4 소스/드레인 패턴(SD4)에 각각 연결될 수 있다. The first contact plug CT1 and the second contact plug CT2 may be disposed to be spaced apart from each other in the first direction D1 from one side of the one of the gate structures GS. The first contact plug CT1 may extend in the first direction D1 to connect the first source/drain patterns SD1 to each other, and the second contact plug CT2 may be provided with the second source/drain pattern. It may be connected to the drain pattern SD2. The third contact plug CT3 and the fourth contact plug CT4 may be disposed to be spaced apart from each other in the first direction D1 from one side of the other of the gate structures GS. The third contact plug CT3 and the fourth contact plug CT4 may be connected to the third source/drain pattern SD3 and the fourth source/drain pattern SD4, respectively.

도 14는 본 발명의 일부 실시예들에 따른 반도체 소자의 평면도이다. 도 15는 도 14의 A-A' 선에 따라 자른 단면도이다. 도 14의 B-B' 및 C-C'에 따른 단면도들은 각각 도 13b 및 도 13c와 동일하다. 14 is a plan view of a semiconductor device according to some embodiments of the present invention. 15 is a cross-sectional view taken along line A-A' of FIG. 14. Cross-sectional views taken along lines B-B' and C-C' of FIG. 14 are the same as those of FIGS. 13B and 13C, respectively.

도 14 및 도 15를 참조하면, 본 실시예들에 따르면, 상기 제3 채널패턴(AP3)은 평면적 관점에서, 상기 제2 방향(D2)을 따라 상기 제1 채널패턴들(AP1)로부터 오프셋되도록 배치될 수 있다. 상기 제4 채널패턴(AP4)은 평면적 관점에서, 상기 제2 방향(D2)을 따라 상기 제2 채널패턴(AP2)의 중앙부에 정렬되도록 배치될 수 있다. 이 경우, 상기 제3 활성영역(102c)과 상기 제4 활성영역(102d) 사이의 소자분리 패턴(ST)의 폭(ST_W2)은 상기 제1 활성영역(102a)과 상기 제2 활성영역(102b) 사이의 소자분리 패턴(ST)의 폭(ST_W1)보다 클 수 있다. 상술한 차이를 제외하고, 본 실시예들에 따른 반도체 소자는 도 12, 도 13a, 도 13b, 및 도 13c를 참조하여 설명한 반도체 소자와 실질적으로 동일하다.14 and 15, according to the present embodiments, the third channel pattern AP3 is offset from the first channel patterns AP1 along the second direction D2 in a plan view. Can be placed. In a plan view, the fourth channel pattern AP4 may be arranged to be aligned with a central portion of the second channel pattern AP2 along the second direction D2. In this case, the width ST_W2 of the device isolation pattern ST between the third active region 102c and the fourth active region 102d is the first active region 102a and the second active region 102b. ) May be larger than the width ST_W1 of the device isolation pattern ST. Except for the above differences, the semiconductor device according to the present embodiments is substantially the same as the semiconductor device described with reference to FIGS. 12, 13A, 13B, and 13C.

도 16은 본 발명의 일부 실시예들에 따른 반도체 소자의 평면도이다. 도 17은 도 16의 A-A' 선에 따라 자른 단면도이다. 도 16의 B-B' 및 C-C'에 따른 면도들은 각각 도 13b 및 도 13c와 동일하다.16 is a plan view of a semiconductor device according to some embodiments of the present invention. 17 is a cross-sectional view taken along line A-A' of FIG. 16. Shaves according to B-B' and C-C' of FIG. 16 are the same as those of FIGS. 13B and 13C, respectively.

도 16 및 도 17을 참조하면, 본 실시예들에 따르면, 상기 제3 채널패턴(AP3)은 평면적 관점에서, 상기 제2 방향(D2)을 따라 상기 제1 채널패턴들(AP1) 중 하나에 정렬되도록 배치될 수 있다. 상기 제4 채널패턴(AP4)은 평면적 관점에서, 상기 제2 방향(D2)을 따라 상기 제2 채널패턴(AP2)의 가장자리부에 정렬되도록 배치될 수 있다. 이 경우, 상기 제3 활성영역(102c)과 상기 제4 활성영역(102d) 사이의 소자분리 패턴(ST)의 폭(ST_W2)은 상기 제1 활성영역(102a)과 상기 제2 활성영역(102b) 사이의 소자분리 패턴(ST)의 폭(ST_W1)과 실질적으로 동일할 수 있다. 상술한 차이를 제외하고, 본 실시예들에 따른 반도체 소자는 도 12, 도 13a, 도 13b, 및 도 13c를 참조하여 설명한 반도체 소자와 실질적으로 동일하다.Referring to FIGS. 16 and 17, according to the present embodiments, the third channel pattern AP3 is formed in one of the first channel patterns AP1 along the second direction D2 in a plan view. It can be arranged to be aligned. The fourth channel pattern AP4 may be arranged to be aligned with an edge portion of the second channel pattern AP2 along the second direction D2 from a plan view. In this case, the width ST_W2 of the device isolation pattern ST between the third active region 102c and the fourth active region 102d is the first active region 102a and the second active region 102b. ) May be substantially the same as the width ST_W1 of the device isolation pattern ST. Except for the above differences, the semiconductor device according to the present embodiments is substantially the same as the semiconductor device described with reference to FIGS. 12, 13A, 13B, and 13C.

도 18은 본 발명의 일부 실시예들에 따른 반도체 소자의 평면도이다. 도 19a, 도 19b, 및 도 19c는 각각 도 18의 A-A', B-B' 및 C-C' 선에 따라 자른 단면도들이다. 설명의 간소화를 위해, 도 1, 도 2a 내지 도 2c를 참조하여 설명한 반도체 소자와 차이점을 주로 설명한다.18 is a plan view of a semiconductor device according to some embodiments of the present invention. 19A, 19B, and 19C are cross-sectional views taken along lines A-A', B-B', and C-C' of FIG. 18, respectively. For simplicity of explanation, differences from the semiconductor devices described with reference to FIGS. 1 and 2A to 2C will be mainly described.

도 18, 도 19a, 도 19b, 및 도 19c를 참조하면, 복수의 활성영역들(102)이 상기 기판(100) 상에 배치될 수 있다. 상기 복수의 활성영역들(102)은 상기 제3 방향(D3)을 따라 상기 기판(100)으로부터 돌출될 수 있다. 상기 복수의 활성영역들(102)은 제1 활성영역(102a), 및 상기 제1 활성영역(102a)을 사이에 두고 상기 제1 방향(D1)으로 서로 이격되는 제2 활성영역들(102b)을 포함할 수 있다. 상기 제2 활성영역들(102b)은 상기 제2 방향(D2)으로 연장되는 라인 형태를 가질 수 있다. 상기 복수의 활성영역들(102)은 상기 제2 활성영역들(102b) 사이에 배치되는 제3 활성영역들(102c)을 더 포함할 수 있다. 상기 제3 활성영역들(102c)은 상기 제2 활성영역들(102b) 사이에서 상기 제1 방향(D1)으로 서로 이격될 수 있고, 상기 제2 방향(D2)으로 연장되어 상기 제1 활성영역(102a)에 연결될 수 있다. 상기 제1 활성영역(102a) 및 상기 제3 활성영역들(102c)은 제1 도전형(n형 또는 p형)을 가질 수 있고, 상기 제2 활성영역들(102b)은 상기 제1 도전형과 다른 제2 도전형(p형 또는 n형)을 가질 수 있다. 소자분리 패턴들(ST)이 상기 복수의 활성영역들(102) 사이에 개재될 수 있다. 18, 19A, 19B, and 19C, a plurality of active regions 102 may be disposed on the substrate 100. The plurality of active regions 102 may protrude from the substrate 100 along the third direction D3. The plurality of active regions 102 include a first active region 102a and second active regions 102b spaced apart from each other in the first direction D1 with the first active region 102a interposed therebetween. It may include. The second active regions 102b may have a line shape extending in the second direction D2. The plurality of active regions 102 may further include third active regions 102c disposed between the second active regions 102b. The third active regions 102c may be spaced apart from each other in the first direction D1 between the second active regions 102b, and extend in the second direction D2 to be the first active region Can be connected to (102a). The first active regions 102a and the third active regions 102c may have a first conductivity type (n-type or p-type), and the second active regions 102b may have the first conductivity type. It may have a second conductivity type (p-type or n-type) different from that. Device isolation patterns ST may be interposed between the plurality of active regions 102.

상기 제1 내지 제3 활성영역들(102a, 102b, 102c)의 각각은 상기 제1 방향(D1)에 따른 폭을 가질 수 있다. 상기 제1 활성영역(102a)의 폭(102W1)은 상기 제2 활성영역들(102b)의 각각의 폭(102W2) 및 상기 제3 활성영역들(102c)의 각각의 폭(102W3)보다 클 수 있다. 일부 실시예들에 따르면, 상기 제1 활성영역(102a)의 상기 폭(102W1)은 상기 제3 활성영역들(102c)의 폭들(102W3)의 합보다 클 수 있다. Each of the first to third active regions 102a, 102b, and 102c may have a width along the first direction D1. The width 102W1 of the first active region 102a may be larger than the width 102W2 of each of the second active regions 102b and the width 102W3 of each of the third active regions 102c. have. According to some embodiments, the width 102W1 of the first active region 102a may be greater than the sum of the widths 102W3 of the third active regions 102c.

복수의 제1 채널패턴들(AP1)이 상기 제1 활성영역(102a) 상에 배치될 수 있다. 상기 제1 채널패턴들(AP1)은 상기 제1 활성영역(102a)의 상면(102aU) 상에서 상기 제1 방향(D1)으로 서로 이격될 수 있다. 상기 제1 채널패턴들(AP1)의 각각은 상기 제1 활성영역(102a)의 상기 상면(102aU)에 수직한 방향(일 예로, 상기 제3 방향(D3))을 따라 적층된 복수의 제1 반도체 패턴들(104)을 포함할 수 있다. 상기 제1 채널패턴들(AP1)의 각각은 상기 제1 방향(D1)에 따른 폭(W4)을 가질 수 있다. 상기 제1 채널패턴들(AP1)의 각각의 폭(W4)은 상기 제1 채널패턴들(AP1)의 각각의 상기 제1 반도체 패턴들(104)의 폭일 수 있다. 상기 제1 활성영역(102a)의 상기 폭(102W1)은 상기 제1 채널패턴들(AP1)의 폭들(W4)의 합보다 클 수 있다. 상기 제1 활성영역(102a)의 상기 폭(102W)은 상기 제1 채널패턴들(AP1)의 상기 폭들(W4)과 상기 제1 채널패턴들(AP1) 사이의 거리들의 합보다 크거나 같을 수 있다. 상기 제1 채널패턴들(AP1) 사이의 상기 거리들의 각각은 상기 제1 채널패턴들(AP1) 중, 서로 인접하는 한 쌍의 제1 채널패턴들(AP1) 사이의 상기 제1 방향(D1)에 따른 거리일 수 있다. A plurality of first channel patterns AP1 may be disposed on the first active region 102a. The first channel patterns AP1 may be spaced apart from each other in the first direction D1 on the upper surface 102aU of the first active region 102a. Each of the first channel patterns AP1 is a plurality of first channels stacked along a direction perpendicular to the top surface 102aU of the first active region 102a (for example, the third direction D3). It may include semiconductor patterns 104. Each of the first channel patterns AP1 may have a width W4 along the first direction D1. Each width W4 of the first channel patterns AP1 may be the width of each of the first semiconductor patterns 104 of the first channel patterns AP1. The width 102W1 of the first active region 102a may be greater than the sum of the widths W4 of the first channel patterns AP1. The width 102W of the first active region 102a may be greater than or equal to the sum of distances between the widths W4 of the first channel patterns AP1 and the first channel patterns AP1. have. Each of the distances between the first channel patterns AP1 is the first direction D1 between the pair of first channel patterns AP1 adjacent to each other among the first channel patterns AP1 It may be a distance according to.

제2 채널패턴(AP2)이 상기 제2 활성영역들(102b)의 각각 상에 배치될 수 있다. 상기 제2 채널패턴(AP2)은 상기 제2 활성영역들(102b)의 각각의 상면(102bU)에 수직한 방향(일 예로, 상기 제3 방향(D3))을 따라 적층된 복수의 제2 반도체 패턴들(104)을 포함할 수 있다. 상기 제2 채널패턴(AP2)은 상기 제1 방향(D1)에 따른 폭(W5)을 가질 수 있다. 상기 제2 채널패턴(AP2)의 상기 폭(W5)은 상기 제2 채널패턴(AP2)의 상기 제2 반도체 패턴들(104)의 폭일 수 있다. 상기 제2 채널패턴(AP2)의 상기 폭(W5)은 상기 제1 채널패턴들(AP1)의 각각의 상기 폭(W4)과 실질적으로 동일할 수 있다. 상기 제2 채널패턴(AP2)은 평면적 관점에서, 상기 제1 방향(D1)을 따라 상기 제1 채널패턴들(AP1)에 정렬되도록 배치될 수 있다. A second channel pattern AP2 may be disposed on each of the second active regions 102b. The second channel pattern AP2 is a plurality of second semiconductors stacked along a direction perpendicular to the upper surface 102bU of each of the second active regions 102b (for example, the third direction D3). Patterns 104 may be included. The second channel pattern AP2 may have a width W5 along the first direction D1. The width W5 of the second channel pattern AP2 may be the width of the second semiconductor patterns 104 of the second channel pattern AP2. The width W5 of the second channel pattern AP2 may be substantially the same as the width W4 of each of the first channel patterns AP1. The second channel pattern AP2 may be arranged to be aligned with the first channel patterns AP1 along the first direction D1 from a plan view.

제3 채널패턴(AP3)이 상기 제3 활성영역들(102c)의 각각 상에 배치될 수 있다. 상기 제3 채널패턴(AP3)은 상기 제3 활성영역들(102c)의 각각의 상면에 수직한 방향(일 예로, 상기 제3 방향(D3))을 따라 적층된 복수의 제3 반도체 패턴들을 포함할 수 있다. 상기 제3 채널패턴(AP3)은 상기 제1 방향(D1)에 따른 폭(W6)을 가질 수 있다. 상기 제3 채널패턴(AP3)의 상기 폭(W6)은 상기 제3 채널패턴(AP3)의 상기 제3 반도체 패턴들의 폭일 수 있다. 상기 제3 채널패턴(AP3)의 상기 폭(W6)은 상기 제1 채널패턴들(AP1)의 각각의 상기 폭(W4)과 실질적으로 동일할 수 있다. 상기 제3 채널패턴(AP3)은 평면적 관점에서, 상기 제2 방향(D2)을 따라 상기 제1 채널패턴들(AP1) 중 하나에 정렬되도록 배치될 수 있다. 추가적인 제2 채널패턴(AP2)이 상기 제2 활성영역들(102b)의 각각 상에 배치될 수 있다. 상기 추가적인 제2 채널패턴(AP2)은 평면적 관점에서, 상기 제1 방향(D1)을 따라 상기 제3 채널패턴(AP3)에 정렬되도록 배치될 수 있다. A third channel pattern AP3 may be disposed on each of the third active regions 102c. The third channel pattern AP3 includes a plurality of third semiconductor patterns stacked along a direction perpendicular to the upper surface of each of the third active regions 102c (for example, the third direction D3). can do. The third channel pattern AP3 may have a width W6 along the first direction D1. The width W6 of the third channel pattern AP3 may be the width of the third semiconductor patterns of the third channel pattern AP3. The width W6 of the third channel pattern AP3 may be substantially the same as the width W4 of each of the first channel patterns AP1. The third channel pattern AP3 may be arranged to be aligned with one of the first channel patterns AP1 along the second direction D2 from a plan view. An additional second channel pattern AP2 may be disposed on each of the second active regions 102b. The additional second channel pattern AP2 may be arranged to be aligned with the third channel pattern AP3 along the first direction D1 from a plan view.

제1 소스/드레인 패턴들(SD1)이 상기 제1 활성영역(102a) 상에 배치될 수 있다. 상기 제1 소스/드레인 패턴들(SD1)은 상기 제1 채널패턴들(AP1)의 일 측에 배치될 수 있고, 상기 제1 활성영역(102a)의 상면(102aU) 상에서 상기 제1 방향(D1)으로 서로 이격될 수 있다. 상기 제1 소스/드레인 패턴들(SD1)은 상기 제1 채널패턴들(AP1)에 각각 연결될 수 있다. 상기 제1 소스/드레인 패턴들(SD1)의 각각은 상기 제1 방향(D1)에 따른 폭(W4a)을 가질 수 있다. 상기 제1 활성영역(102a)의 상기 폭(102W1)은 상기 제1 소스/드레인 패턴들(SD1)의 폭들(W4a)의 합보다 클 수 있다.First source/drain patterns SD1 may be disposed on the first active region 102a. The first source/drain patterns SD1 may be disposed on one side of the first channel patterns AP1, and the first direction D1 on the upper surface 102aU of the first active region 102a ) Can be separated from each other. The first source/drain patterns SD1 may be connected to the first channel patterns AP1, respectively. Each of the first source/drain patterns SD1 may have a width W4a along the first direction D1. The width 102W1 of the first active region 102a may be greater than the sum of the widths W4a of the first source/drain patterns SD1.

제2 소스/드레인 패턴(SD2)이 상기 제2 활성영역들(102b)의 각각 상에 배치될 수 있다. 상기 제2 소스/드레인 패턴(SD2)은 상기 제2 채널패턴(AP2)의 일 측에 배치될 수 있고, 상기 제2 채널패턴(AP2)에 연결될 수 있다. 상기 제2 소스/드레인 패턴(SD2)은 상기 제1 방향(D1)에 따른 폭(W5a)을 가질 수 있다. 상기 제2 소스/드레인 패턴(SD2)의 상기 폭(W5a)은 상기 제1 소스/드레인 패턴들(SD1)의 각각의 상기 폭(W4a)과 실질적으로 동일할 수 있다. A second source/drain pattern SD2 may be disposed on each of the second active regions 102b. The second source/drain pattern SD2 may be disposed on one side of the second channel pattern AP2 and may be connected to the second channel pattern AP2. The second source/drain pattern SD2 may have a width W5a along the first direction D1. The width W5a of the second source/drain pattern SD2 may be substantially the same as the width W4a of each of the first source/drain patterns SD1.

제3 소스/드레인 패턴(SD3)이 상기 제3 활성영역들(102c)의 각각 상에 배치될 수 있다. 상기 제3 소스/드레인 패턴(SD3)은 상기 제3 채널패턴(AP3)의 일 측에 배치될 수 있고, 상기 제3 채널패턴(AP3)에 연결될 수 있다. 상기 제3 소스/드레인 패턴(SD3)은 상기 제1 방향(D1)에 따른 폭(W6a)을 가질 수 있다. 상기 제3 소스/드레인 패턴(SD3)의 상기 폭(W6a)은 상기 제1 소스/드레인 패턴들(SD1)의 각각의 상기 폭(W4a)과 실질적으로 동일할 수 있다. 추가적인 제2 소스/드레인 패턴(SD2)이 상기 제2 활성영역들(102b)의 각각 상에 배치될 수 있다. 상기 추가적인 제2 소스/드레인 패턴(SD2)은 상기 추가적인 제2 채널패턴(AP2)의 일 측에 배치될 수 있고, 상기 추가적인 제2 채널패턴(AP2)에 연결될 수 있다. A third source/drain pattern SD3 may be disposed on each of the third active regions 102c. The third source/drain pattern SD3 may be disposed on one side of the third channel pattern AP3 and may be connected to the third channel pattern AP3. The third source/drain pattern SD3 may have a width W6a along the first direction D1. The width W6a of the third source/drain pattern SD3 may be substantially the same as the width W4a of each of the first source/drain patterns SD1. An additional second source/drain pattern SD2 may be disposed on each of the second active regions 102b. The additional second source/drain pattern SD2 may be disposed on one side of the additional second channel pattern AP2 and may be connected to the additional second channel pattern AP2.

복수의 게이트 구조체들(GS)이 상기 활성영역들(102)을 가로지르도록 배치될 수 있다. 상기 게이트 구조체들(GS)은 상기 제1 방향(D1)으로 연장될 수 있고, 상기 제2 방향(D2)으로 서로 이격될 수 있다. 상기 게이트 구조체들(GS) 중 하나는 상기 제1 및 제2 활성영역들(102a, 102b) 및 이들 사이의 소자분리 패턴들(ST)을 가로지를 수 있고, 상기 복수의 제1 채널패턴들(AP1) 및 상기 제2 채널패턴(AP2)을 덮을 수 있다. 상기 게이트 구조체들(GS) 중 다른 하나는 상기 제2 활성영역들(102b) 중 하나, 상기 제3 활성영역들(102c) 중 하나, 및 이들 사이의 소자분리 패턴(ST)을 가로지를 수 있고, 상기 추가적인 제2 채널패턴(AP2) 및 상기 제3 채널패턴(AP3)을 덮을 수 있다. A plurality of gate structures GS may be disposed to cross the active regions 102. The gate structures GS may extend in the first direction D1 and may be spaced apart from each other in the second direction D2. One of the gate structures GS may cross the first and second active regions 102a and 102b and the device isolation patterns ST therebetween, and the plurality of first channel patterns ( AP1) and the second channel pattern AP2 may be covered. The other of the gate structures GS may cross one of the second active regions 102b, one of the third active regions 102c, and a device isolation pattern ST therebetween, , The additional second channel pattern AP2 and the third channel pattern AP3 may be covered.

제1 콘택 플러그(CT1) 및 제2 콘택 플러그(CT2)가 상기 게이트 구조체들(GS) 중 상기 하나의 일 측에서 상기 제1 방향(D1)으로 서로 이격되도록 배치될 수 있다. 상기 제1 콘택 플러그(CT1)는 상기 제1 방향(D1)으로 연장되어 상기 제1 소스/드레인 패턴들(SD1)을 서로 연결할 수 있고, 상기 제2 콘택 플러그(CT2)는 상기 제2 소스/드레인 패턴(SD2)에 연결될 수 있다. 제3 콘택 플러그(CT3) 및 추가적인 제2 콘택 플러그(CT2)가 상기 게이트 구조체들(GS) 중 상기 다른 하나의 일 측에서 상기 제1 방향(D1)으로 서로 이격되도록 배치될 수 있다. 상기 제3 콘택 플러그(CT3) 및 상기 추가적인 제2 콘택 플러그(CT2)는 상기 제3 소스/드레인 패턴(SD3) 및 상기 추가적인 제2 소스/드레인 패턴(SD2)에 각각 연결될 수 있다. The first contact plug CT1 and the second contact plug CT2 may be disposed to be spaced apart from each other in the first direction D1 from one side of the one of the gate structures GS. The first contact plug CT1 may extend in the first direction D1 to connect the first source/drain patterns SD1 to each other, and the second contact plug CT2 may be provided with the second source/drain pattern. It may be connected to the drain pattern SD2. A third contact plug CT3 and an additional second contact plug CT2 may be disposed to be spaced apart from each other in the first direction D1 from one side of the other of the gate structures GS. The third contact plug CT3 and the additional second contact plug CT2 may be connected to the third source/drain pattern SD3 and the additional second source/drain pattern SD2, respectively.

제1 전원라인(first power line, PW1), 및 상기 제1 전원라인(PW1)을 사이에 두고 상기 제1 방향(D1)으로 서로 이격되는 제2 전원라인들(PW2)이 상기 기판(100) 상에 배치될 수 있다. 상기 제1 및 제2 전원라인들(PW1, PW2)은 상기 제1 방향(D1)으로 서로 이격될 수 있고, 상기 제2 방향(D2)으로 연장될 수 있다. 상기 제1 전원라인(PW1)은 상기 제3 활성영역들(102c) 사이의 소자분리 패턴(ST) 상에 배치될 수 있고, 상기 제2 방향(D2)으로 연장되어 상기 제1 활성영역(102a)을 가로지를 수 있다. 상기 제1 전원라인(PW1)은 상기 제1 활성영역(102a) 상의 상기 게이트 구조체들(GS) 및 상기 제1 콘택 플러그(CT1)를 가로지를 수 있다. 상기 제2 전원라인들(PW2)은 상기 제2 활성영역들(102b)에 각각 인접하게 배치될 수 있다. 일 예로, 드레인 전압이 상기 제1 전원라인(PW1)을 통해 인가될 수 있고, 소스 전압이 상기 제2 전원라인들(PW2)의 각각을 통해 인가될 수 있다. 상기 제1 및 제2 전원라인들(PW1, PW2)은 도전물질을 포함할 수 있다.The substrate 100 includes a first power line PW1 and second power lines PW2 spaced apart from each other in the first direction D1 with the first power line PW1 interposed therebetween. Can be placed on top. The first and second power lines PW1 and PW2 may be spaced apart from each other in the first direction D1 and may extend in the second direction D2. The first power line PW1 may be disposed on the device isolation pattern ST between the third active regions 102c, and extend in the second direction D2 so that the first active region 102a ) Can be crossed. The first power line PW1 may cross the gate structures GS and the first contact plug CT1 on the first active region 102a. The second power lines PW2 may be disposed adjacent to the second active regions 102b, respectively. For example, a drain voltage may be applied through the first power line PW1, and a source voltage may be applied through each of the second power lines PW2. The first and second power lines PW1 and PW2 may include a conductive material.

본 발명의 실시예들에 대한 이상의 설명은 본 발명의 설명을 위한 예시를 제공한다. 따라서 본 발명은 이상의 실시예들에 한정되지 않으며, 본 발명의 기술적 사상 내에서 당해 기술 분야의 통상의 지식을 가진 자에 의하여 상기 실시예들을 조합하여 실시하는 등 여러 가지 많은 수정 및 변경이 가능함은 명백하다.The above description of the embodiments of the present invention provides an example for describing the present invention. Therefore, the present invention is not limited to the above embodiments, and various modifications and changes are possible within the technical spirit of the present invention, such as by combining the above embodiments by a person having ordinary skill in the art. It is obvious.

100: 기판 102: 활성영역
ST: 소자분리패턴 GS: 게이트 구조체
GI: 게이트 절연패턴 GE: 게이트 전극
CAP: 게이트 캐핑패턴 GSP: 게이트 스페이서
AS: 활성 구조체 AP: 채널패턴
SD: 소스/드레인 패턴들 104: 반도체 패턴들
120: 하부층간 절연막 130: 상부층간절연막
110: 스페이서 패턴들 CT: 콘택 플러그
100: substrate 102: active region
ST: device isolation pattern GS: gate structure
GI: gate insulation pattern GE: gate electrode
CAP: Gate capping pattern GSP: Gate spacer
AS: active structure AP: channel pattern
SD: source/drain patterns 104: semiconductor patterns
120: lower interlayer insulating film 130: upper interlayer insulating film
110: spacer patterns CT: contact plug

Claims (20)

기판으로부터 위로 돌출된 활성영역;
상기 활성영역 상에 제1 방향으로 서로 이격되는 복수의 채널패턴들; 및
상기 활성영역 상에서 상기 제1 방향으로 연장되고, 상기 복수의 채널패턴들을 덮는 게이트 전극을 포함하되,
상기 복수의 채널패턴들의 각각은 상기 활성영역의 상면에 수직한 방향으로 서로 이격되는 복수의 반도체 패턴들을 포함하고,
상기 게이트 전극은 상기 복수의 채널패턴들 사이의 상기 활성영역의 상기 상면을 덮는 반도체 소자.
An active region protruding upward from the substrate;
A plurality of channel patterns spaced apart from each other in a first direction on the active region; And
A gate electrode extending in the first direction on the active region and covering the plurality of channel patterns,
Each of the plurality of channel patterns includes a plurality of semiconductor patterns spaced apart from each other in a direction perpendicular to an upper surface of the active region,
The gate electrode covers the upper surface of the active region between the plurality of channel patterns.
청구항 1에 있어서,
상기 기판 상에 배치되어 상기 활성영역을 정의하는 소자분리패턴들을 더 포함하되,
상기 소자분리패턴들은 상기 활성영역을 사이에 두고 상기 제1 방향으로 서로 이격되고,
상기 활성영역은 상기 소자분리패턴들 사이의 단일 활성영역인 반도체 소자.
The method according to claim 1,
Further comprising device isolation patterns disposed on the substrate to define the active region,
The device isolation patterns are spaced apart from each other in the first direction with the active region interposed therebetween,
The active region is a single active region between the device isolation patterns.
청구항 1에 있어서,
상기 게이트 전극은 상기 복수의 채널패턴들의 각각과 상기 활성영역 사이, 및 상기 복수의 반도체 패턴들 사이로 연장되는 반도체 소자.
The method according to claim 1,
The gate electrode is a semiconductor device extending between each of the plurality of channel patterns and the active region, and between the plurality of semiconductor patterns.
청구항 1에 있어서,
상기 활성영역 및 상기 복수의 채널패턴들의 각각은 상기 제1 방향에 따른 폭을 가지고,
상기 활성영역의 폭은 상기 복수의 채널패턴들의 폭들의 합보다 큰 반도체 소자.
The method according to claim 1,
Each of the active region and the plurality of channel patterns has a width along the first direction,
A semiconductor device in which a width of the active region is greater than a sum of widths of the plurality of channel patterns.
청구항 4에 있어서,
상기 활성영역의 상기 폭은 상기 복수의 채널패턴들의 상기 폭들과 상기 복수의 채널패턴들 사이의 거리들의 합보다 크거나 같고,
상기 복수의 채널패턴들 사이의 상기 거리들은 상기 제1 방향에 따른 거리들인 반도체 소자.
The method of claim 4,
The width of the active region is greater than or equal to the sum of the widths of the plurality of channel patterns and the distances between the plurality of channel patterns,
The distances between the plurality of channel patterns are distances along the first direction.
청구항 5에 있어서,
상기 복수의 채널패턴들은 상기 제1 방향으로 서로 이격되는 제1 서브 채널패턴, 제2 서브 채널패턴, 및 제3 서브 채널패턴을 포함하고,
상기 제1 서브 채널패턴과 상기 제2 서브 채널패턴 사이의 거리는 상기 제2 서브 채널패턴과 상기 제3 서브 채널패턴 사이의 거리와 다른 반도체 소자.
The method of claim 5,
The plurality of channel patterns include a first sub channel pattern, a second sub channel pattern, and a third sub channel pattern spaced apart from each other in the first direction,
A semiconductor device in which a distance between the first sub channel pattern and the second sub channel pattern is different from a distance between the second sub channel pattern and the third sub channel pattern.
청구항 4에 있어서,
상기 복수의 채널패턴들은 상기 제1 방향으로 서로 이격되는 제1 서브 채널패턴 및 제2 서브 채널패턴을 포함하고,
상기 제1 서브 채널패턴의 폭은 상기 제2 서브 채널패턴의 폭과 다른 반도체 소자.
The method of claim 4,
The plurality of channel patterns include a first sub channel pattern and a second sub channel pattern spaced apart from each other in the first direction,
A semiconductor device having a width of the first sub channel pattern different from that of the second sub channel pattern.
청구항 1에 있어서,
상기 활성영역 상에 상기 게이트 전극의 양 측에 배치되는 소스/드레인 패턴들을 더 포함하되,
상기 소스/드레인 패턴들은 상기 복수의 채널패턴들의 각각을 사이에 두고 상기 제1 방향에 교차하는 제2 방향으로 서로 이격되고, 상기 복수의 채널패턴들의 각각의 상기 복수의 반도체 패턴들에 연결되는 반도체 소자.
The method according to claim 1,
Further comprising source/drain patterns disposed on both sides of the gate electrode on the active region,
The source/drain patterns are spaced apart from each other in a second direction crossing the first direction with each of the plurality of channel patterns interposed therebetween, and are connected to the plurality of semiconductor patterns of each of the plurality of channel patterns device.
청구항 8에 있어서,
상기 복수의 반도체 패턴들 중, 서로 인접하는 한 쌍의 반도체 패턴들 사이에 배치되는 스페이서 패턴들을 더 포함하되,
상기 스페이서 패턴들은 상기 제2 방향으로 서로 이격되고, 상기 소스/드레인 패턴들 사이에 배치되는 반도체 소자.
The method of claim 8,
Among the plurality of semiconductor patterns, further comprising spacer patterns disposed between a pair of semiconductor patterns adjacent to each other,
The spacer patterns are spaced apart from each other in the second direction and are disposed between the source/drain patterns.
청구항 9에 있어서,
상기 게이트 전극은 상기 스페이서 패턴들 사이로 연장되고,
상기 스페이서 패턴들의 각각은 상기 소스/드레인 패턴들 중 대응하는 하나와 상기 게이트 전극 사이에 개재되는 반도체 소자.
The method of claim 9,
The gate electrode extends between the spacer patterns,
Each of the spacer patterns is interposed between a corresponding one of the source/drain patterns and the gate electrode.
청구항 1에 있어서,
상기 활성영역 상에 상기 게이트 전극의 일 측에 배치되고, 상기 제1 방향으로 서로 이격되는 소스/드레인 패턴들을 더 포함하되,
상기 소스/드레인 패턴들은 상기 복수의 채널패턴들에 각각 연결되고,
상기 소스/드레인 패턴들의 각각은 상기 복수의 채널패턴들의 각각의 상기 복수의 반도체 패턴들에 연결되는 반도체 소자.
The method according to claim 1,
Further comprising source/drain patterns disposed on one side of the gate electrode on the active region and spaced apart from each other in the first direction,
The source/drain patterns are respectively connected to the plurality of channel patterns,
Each of the source/drain patterns is connected to the plurality of semiconductor patterns of each of the plurality of channel patterns.
청구항 11에 있어서,
상기 활성영역 및 상기 소스/드레인 패턴들의 각각은 상기 제1 방향에 따른 폭을 가지고,
상기 활성영역의 폭은 상기 소스/드레인 패턴들의 폭들의 합보다 큰 반도체 소자.
The method of claim 11,
Each of the active region and the source/drain patterns has a width along the first direction,
A semiconductor device in which a width of the active region is greater than a sum of widths of the source/drain patterns.
청구항 12에 있어서,
상기 소스/드레인 패턴들 중 적어도 하나의 소스/드레인 패턴의 폭은 상기 소스/드레인 패턴들 중 다른 소스/드레인 패턴의 폭과 다른 반도체 소자.
The method of claim 12,
A semiconductor device in which a width of at least one of the source/drain patterns is different from a width of another source/drain pattern of the source/drain patterns.
청구항 11에 있어서,
상기 게이트 전극의 상기 일 측에 배치되는 콘택 플러그를 더 포함하되,
상기 콘택 플러그는 상기 제1 방향으로 연장되어 상기 소스/드레인 패턴들을 서로 연결하는 반도체 소자.
The method of claim 11,
Further comprising a contact plug disposed on the one side of the gate electrode,
The contact plug extends in the first direction to connect the source/drain patterns to each other.
청구항 11에 있어서,
상기 게이트 전극의 상기 일 측에 배치되고, 상기 제1 방향으로 서로 이격되는 콘택 플러그들; 및
상기 콘택 플러그들을 서로 연결하는 배선을 더 포함하되,
상기 콘택 플러그들은 상기 소스/드레인 패턴들에 각각 연결되는 반도체 소자.
The method of claim 11,
Contact plugs disposed on the one side of the gate electrode and spaced apart from each other in the first direction; And
Further comprising a wire connecting the contact plugs to each other,
The contact plugs are respectively connected to the source/drain patterns.
기판 상의 소자분리패턴;
상기 기판으로부터 위로 돌출되고, 상기 소자분리패턴을 사이에 두고 제1 방향으로 서로 이격되는 제1 활성영역 및 제2 활성영역;
상기 제1 활성영역 상에 상기 제1 방향으로 서로 이격되는 복수의 제1 채널패턴들;
상기 제2 활성영역 상의 제2 채널패턴; 및
상기 제1 방향으로 연장되어 상기 제1 및 제2 활성영역들을 가로지르고, 상기 복수의 제1 채널패턴들 및 상기 제2 채널패턴을 덮는 게이트 전극을 포함하되,
상기 복수의 제1 채널패턴들의 각각은 상기 제1 활성영역의 상면에 수직한 방향으로 서로 이격되는 복수의 제1 반도체 패턴들을 포함하고,
상기 제2 채널패턴은 상기 제2 활성영역의 상면에 수직한 방향으로 서로 이격되는 복수의 제2 반도체 패턴들을 포함하는 반도체 소자.
A device isolation pattern on the substrate;
A first active region and a second active region protruding upward from the substrate and spaced apart from each other in a first direction with the device isolation pattern therebetween;
A plurality of first channel patterns spaced apart from each other in the first direction on the first active region;
A second channel pattern on the second active area; And
A gate electrode extending in the first direction, crossing the first and second active regions, and covering the plurality of first channel patterns and the second channel pattern,
Each of the plurality of first channel patterns includes a plurality of first semiconductor patterns spaced apart from each other in a direction perpendicular to an upper surface of the first active region,
The second channel pattern includes a plurality of second semiconductor patterns spaced apart from each other in a direction perpendicular to an upper surface of the second active region.
청구항 16에 있어서,
상기 제1 활성영역은 상기 제2 활성영역과 다른 도전형을 갖는 반도체 소자.
The method of claim 16,
The first active region is a semiconductor device having a different conductivity type than the second active region.
청구항 16에 있어서,
상기 제1 활성영역 및 상기 제2 활성영역의 각각은 상기 제1 방향에 따른 폭을 가지고,
상기 제1 활성영역의 폭은 상기 제2 활성영역의 폭보다 큰 반도체 소자.
The method of claim 16,
Each of the first and second active regions has a width along the first direction,
A semiconductor device having a width of the first active region greater than a width of the second active region.
청구항 18에 있어서,
상기 제1 채널패턴들의 각각은 상기 제1 방향에 따른 폭을 가지고,
상기 제1 활성영역의 상기 폭은 상기 제1 채널패턴들의 폭들의 합보다 큰 반도체 소자.
The method of claim 18,
Each of the first channel patterns has a width along the first direction,
The width of the first active region is greater than a sum of widths of the first channel patterns.
청구항 16에 있어서,
상기 제1 방향으로 서로 이격되고, 상기 제1 방향에 교차하는 제2 방향으로 연장되는 제1 전원라인 및 제2 전원라인을 더 포함하되,
상기 제1 전원라인은 상기 제1 활성영역 및 상기 게이트 전극을 가로지르고,
상기 제2 전원라인은 상기 제2 활성영역에 인접하게 배치되는 반도체 소자.
The method of claim 16,
Further comprising a first power line and a second power line separated from each other in the first direction and extending in a second direction crossing the first direction,
The first power line crosses the first active region and the gate electrode,
The second power line is a semiconductor device disposed adjacent to the second active region.
KR1020190068761A 2019-06-11 2019-06-11 Semiconductor device KR20200142153A (en)

Priority Applications (4)

Application Number Priority Date Filing Date Title
KR1020190068761A KR20200142153A (en) 2019-06-11 2019-06-11 Semiconductor device
US16/730,172 US11522071B2 (en) 2019-06-11 2019-12-30 Semiconductor device with channel patterns having different widths
CN202010079485.3A CN112071837A (en) 2019-06-11 2020-02-04 Semiconductor device with a plurality of transistors
US17/960,277 US20230022952A1 (en) 2019-06-11 2022-10-05 Semiconductor device with channel patterns having different widths

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020190068761A KR20200142153A (en) 2019-06-11 2019-06-11 Semiconductor device

Publications (1)

Publication Number Publication Date
KR20200142153A true KR20200142153A (en) 2020-12-22

Family

ID=73657877

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020190068761A KR20200142153A (en) 2019-06-11 2019-06-11 Semiconductor device

Country Status (3)

Country Link
US (2) US11522071B2 (en)
KR (1) KR20200142153A (en)
CN (1) CN112071837A (en)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11276643B2 (en) 2020-07-22 2022-03-15 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with backside spacer and methods of forming the same
US11908746B2 (en) * 2021-08-28 2024-02-20 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacturing thereof
US20230123883A1 (en) * 2021-09-25 2023-04-20 International Business Machines Corporation Nanosheet transistor devices with different active channel widths

Family Cites Families (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7074657B2 (en) * 2003-11-14 2006-07-11 Advanced Micro Devices, Inc. Low-power multiple-channel fully depleted quantum well CMOSFETs
US9012287B2 (en) 2012-11-14 2015-04-21 Taiwan Semiconductor Manufacturing Company, Ltd. Cell layout for SRAM FinFET transistors
US8872161B1 (en) * 2013-08-26 2014-10-28 Taiwan Semiconductor Manufacturing Company, Ltd. Integrate circuit with nanowires
US9361418B2 (en) * 2014-06-23 2016-06-07 Synopsys, Inc. Nanowire or 2D material strips interconnects in an integrated circuit cell
KR102358571B1 (en) 2015-07-29 2022-02-07 삼성전자주식회사 Integrated circuit and standard cell library
US9620509B1 (en) 2015-10-30 2017-04-11 Taiwan Semiconductor Manufacturing Co., Ltd. Static random access memory device with vertical FET devices
US10032627B2 (en) * 2015-11-16 2018-07-24 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming stacked nanowire transistors
US9887269B2 (en) * 2015-11-30 2018-02-06 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-gate device and method of fabrication thereof
US9660033B1 (en) * 2016-01-13 2017-05-23 Taiwan Semiconductor Manufactuing Company, Ltd. Multi-gate device and method of fabrication thereof
JPWO2017145906A1 (en) * 2016-02-25 2018-12-27 株式会社ソシオネクスト Semiconductor integrated circuit device
KR102413610B1 (en) 2016-03-02 2022-06-24 삼성전자주식회사 Layout design system, Semiconductor device and method for fabricating the same using the design system
US10014389B2 (en) 2016-07-26 2018-07-03 Globalfoundries Inc. Methods of forming IC products comprising a nano-sheet device and a transistor device having first and second replacement gate structures
JP6947987B2 (en) * 2016-08-29 2021-10-13 株式会社ソシオネクスト Semiconductor integrated circuit equipment
KR102551589B1 (en) * 2016-09-29 2023-07-04 삼성전자주식회사 Semiconductor device and method for fabricating the same
US9935014B1 (en) * 2017-01-12 2018-04-03 International Business Machines Corporation Nanosheet transistors having different gate dielectric thicknesses on the same chip
KR102363250B1 (en) * 2017-03-23 2022-02-16 삼성전자주식회사 Semiconductor device
KR102318560B1 (en) * 2017-04-12 2021-11-01 삼성전자주식회사 Semiconductor device
US10186510B2 (en) 2017-05-01 2019-01-22 Advanced Micro Devices, Inc. Vertical gate all around library architecture
KR102277610B1 (en) * 2017-06-29 2021-07-14 삼성전자주식회사 Method for fabricating semiconductor device
KR102388463B1 (en) * 2017-08-21 2022-04-20 삼성전자주식회사 Semiconductor device including channel pattern and manufacturing method thereof
US10868127B2 (en) * 2017-10-30 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Gate-all-around structure and manufacturing method for the same
KR102437286B1 (en) * 2017-11-30 2022-08-30 삼성전자주식회사 Semiconductor device
US10734525B2 (en) * 2018-03-14 2020-08-04 Globalfoundries Inc. Gate-all-around transistor with spacer support and methods of forming same
US10490559B1 (en) * 2018-06-27 2019-11-26 International Business Machines Corporation Gate formation scheme for nanosheet transistors having different work function metals and different nanosheet width dimensions
US10872825B2 (en) * 2018-07-02 2020-12-22 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
US11031397B2 (en) * 2018-09-27 2021-06-08 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-gate device integration with separated Fin-like field effect transistor cells and gate-all-around transistor cells
US11101359B2 (en) * 2018-11-28 2021-08-24 Taiwan Semiconductor Manufacturing Company, Ltd. Gate-all-around (GAA) method and devices
US11387362B2 (en) * 2018-11-30 2022-07-12 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10957798B2 (en) * 2019-02-06 2021-03-23 International Business Machines Corporation Nanosheet transistors with transverse strained channel regions
US11164796B2 (en) * 2019-03-14 2021-11-02 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming semiconductor device structure
US10971630B2 (en) * 2019-04-24 2021-04-06 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure having both gate-all-around devices and planar devices
US10892331B2 (en) * 2019-06-05 2021-01-12 International Business Machines Corporation Channel orientation of CMOS gate-all-around field-effect transistor devices for enhanced carrier mobility

Also Published As

Publication number Publication date
US11522071B2 (en) 2022-12-06
US20200395470A1 (en) 2020-12-17
CN112071837A (en) 2020-12-11
US20230022952A1 (en) 2023-01-26

Similar Documents

Publication Publication Date Title
CN109801913B (en) Semiconductor device with a semiconductor layer having a plurality of semiconductor layers
US10636790B2 (en) Semiconductor devices and methods for manufacturing the same
US11211495B2 (en) Semiconductor devices
US11804490B2 (en) Method for fabricating semiconductor device
KR20190140647A (en) Semiconductor device having gate isolation layer and method of manufacturing the same
KR20180115392A (en) Semiconductor device
KR20170061233A (en) Semiconductor device
KR20190036773A (en) Semiconductor devices and methods of manufacturing the same
US20230022952A1 (en) Semiconductor device with channel patterns having different widths
US11171224B2 (en) Semiconductor device
KR20170101344A (en) Semiconductor device
KR20190111308A (en) Semiconductor device including self-aligned contact, and method for fabricating the same
KR20200019506A (en) Semiconductor device including diffusion break region
KR20210066990A (en) Semiconductor device
US11195917B2 (en) Semiconductor device
KR102343219B1 (en) Semiconductor device
KR102437286B1 (en) Semiconductor device
KR102472571B1 (en) Semiconductor device
CN108461494B (en) Semiconductor device including recessed gate electrode portion
US20230005910A1 (en) Semiconductor device
US20220085006A1 (en) Semiconductor device
US20220375847A1 (en) Semiconductor devices including gate structure and method of forming the same
US20240055428A1 (en) Semiconductor device and method of fabricating the same
US20230014468A1 (en) Semiconductor device
US20220223711A1 (en) Semiconductor devices including separation structure