KR20200072556A - 이방성 패턴 에칭 및 처리를 위한 방법 및 장치 - Google Patents

이방성 패턴 에칭 및 처리를 위한 방법 및 장치 Download PDF

Info

Publication number
KR20200072556A
KR20200072556A KR1020207016294A KR20207016294A KR20200072556A KR 20200072556 A KR20200072556 A KR 20200072556A KR 1020207016294 A KR1020207016294 A KR 1020207016294A KR 20207016294 A KR20207016294 A KR 20207016294A KR 20200072556 A KR20200072556 A KR 20200072556A
Authority
KR
South Korea
Prior art keywords
substrate
ion beam
holes
ion
substrate support
Prior art date
Application number
KR1020207016294A
Other languages
English (en)
Other versions
KR102623685B1 (ko
Inventor
석민 윤
수오강 황
즈민 완
마크 메릴
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20200072556A publication Critical patent/KR20200072556A/ko
Application granted granted Critical
Publication of KR102623685B1 publication Critical patent/KR102623685B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/02Details
    • H01J37/04Arrangements of electrodes and associated parts for generating or controlling the discharge, e.g. electron-optical arrangement, ion-optical arrangement
    • H01J37/08Ion sources; Ion guns
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/30Electron-beam or ion-beam tubes for localised treatment of objects
    • H01J37/305Electron-beam or ion-beam tubes for localised treatment of objects for casting, melting, evaporating or etching
    • H01J37/3053Electron-beam or ion-beam tubes for localised treatment of objects for casting, melting, evaporating or etching for evaporating or etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/30Electron-beam or ion-beam tubes for localised treatment of objects
    • H01J37/302Controlling tubes by external information, e.g. programme control
    • H01J37/3023Programme control
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/30Electron-beam or ion-beam tubes for localised treatment of objects
    • H01J37/305Electron-beam or ion-beam tubes for localised treatment of objects for casting, melting, evaporating or etching
    • H01J37/3053Electron-beam or ion-beam tubes for localised treatment of objects for casting, melting, evaporating or etching for evaporating or etching
    • H01J37/3056Electron-beam or ion-beam tubes for localised treatment of objects for casting, melting, evaporating or etching for evaporating or etching for microworking, e.g. etching of gratings, trimming of electrical components
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • H01J37/32183Matching circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32816Pressure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • H01L21/67213Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process comprising at least one ion or electron beam chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68764Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a movable susceptor, stage or support, others than those only rotating on their own vertical axis, e.g. susceptors on a rotating caroussel
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/006Details of gas supplies, e.g. in an ion source, to a beam line, to a specimen or to a workpiece
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/06Sources
    • H01J2237/083Beam forming
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/20Positioning, supporting, modifying or maintaining the physical state of objects being observed or treated
    • H01J2237/202Movement
    • H01J2237/20207Tilt
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/20Positioning, supporting, modifying or maintaining the physical state of objects being observed or treated
    • H01J2237/202Movement
    • H01J2237/20214Rotation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/30Electron or ion beam tubes for processing objects
    • H01J2237/304Controlling tubes
    • H01J2237/30455Correction during exposure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/30Electron or ion beam tubes for processing objects
    • H01J2237/304Controlling tubes
    • H01J2237/30455Correction during exposure
    • H01J2237/30461Correction during exposure pre-calculated
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Electromagnetism (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)

Abstract

기판의 에칭 및 처리를 위해 이방성 이온 빔을 제공하기 위한 방법들 및 장치들이 논의된다. 일 실시예에서, 기판을 프로세싱하기 위한 시스템이 챔버, 척 어셈블리, 이온 소스, 및 그리드 시스템을 포함한다. 이온 소스는 챔버 및 이온 소스 모두와 인터페이싱하는 그리드 시스템을 포함하고, 이온 빔을 형성하기 위해 이온 소스로부터 이온들이 추출되는 복수의 홀들을 포함한다. 복수의 홀들의 사이즈는 이온 빔의 이온 밀도가 또한 축을 따라 가변하도록 축을 따라 가변한다. 복수의 홀들의 밀도는 이온 빔의 이온 밀도가 또한 축을 따라 가변하도록 축을 따라 가변한다. 일부 실시예들에서, 빔렛 (beamlet) 또는 복수의 빔렛들의 에너지들은 빔 에너지 밀도를 조정하기 위해 개별적으로 규정될 수도 있다.

Description

이방성 패턴 에칭 및 처리를 위한 방법 및 장치
본 실시예들은 반도체 제작 챔버 상의 에칭을 개선하기 위한 방법들, 시스템들, 및 프로그램들에 관한 것이고, 그리고 보다 구체적으로, 고 틸트 (tilt) 각도 에칭 프로세스들에서 이온 빔 (beam) 에칭 균일성을 개선하기 위한 방법들, 시스템들, 및 컴퓨터 프로그램들에 관한 것이다.
반도체 제작에서, 에칭 프로세스들이 일반적으로 그리고 반복적으로 수행된다. 당업자들에게 공지된 바와 같이, 에칭 프로세스들에는 습식 에칭 및 건식 에칭의 두 가지 타입들이 있다. 건식 에칭의 일 타입은 ICP (Inductively Coupled Plasma) 에칭 장치를 사용하여 수행된 플라즈마 에칭이다.
플라즈마는 다양한 타입들의 라디칼들, 뿐만 아니라 양이온들 및 음이온들을 포함한다. 다양한 라디칼들, 양이온들, 및 음이온들의 화학적 반응들은 기판의 피처들, 표면들, 재료들을 에칭하도록 사용된다.
목표된 것은 이온 빔의 방향에 대해 고 틸팅되는 (tilted) 기판들에 대한 균일한 이온 빔 에칭이다. 이 맥락에서 실시예들이 발생한다.
방법들, 디바이스들, 시스템들, 및 컴퓨터 프로그램들은 기판 상에 입사하는 이온 빔에 대한 이온 빔 밀도의 변화량 (gradient) 을 제어하기 위해 제시된다. 본 실시예들이 방법, 장치, 시스템, 디바이스, 또는 컴퓨터 판독 가능 매체 상의 컴퓨터 프로그램과 같은 수많은 방식들로 구현될 수 있다는 것이 인식될 수 있다. 몇 가지 실시예들이 이하에 기술된다.
일 실시예에서, 기판을 프로세싱하기 위한 시스템이 챔버, 척 어셈블리, 이온 소스 (source), 및 그리드 (grid) 시스템을 포함한다. 척 어셈블리는 기판 지지부, 기판 지지부를 틸팅하기 위한 틸트 어셈블리, 및 기판 지지부를 회전시키기 위한 회전 어셈블리를 포함한다. 이온 소스는 기판 지지부를 향해 지향성으로 배향되고, 플라즈마가 스트라이킹될 때 이온들을 생성하도록 구성된다. 그리드 시스템은 챔버 및 이온 소스 모두와 인터페이싱하고, 이온 빔을 형성하기 위해 이온 소스로부터 이온들이 추출되는 복수의 홀들을 포함한다. 복수의 홀들의 사이즈는 이온 빔의 이온 밀도가 축을 따라 또한 가변하도록 축을 따라 가변한다.
일 실시예에서, 기판을 프로세싱하기 위한 시스템이 챔버, 척 어셈블리, 이온 소스, 및 그리드 시스템을 포함한다. 척 어셈블리는 기판 지지부, 기판 지지부를 틸팅하기 위한 틸트 어셈블리, 및 기판 지지부를 회전시키기 위한 회전 어셈블리를 포함한다. 이온 소스는 기판 지지부를 향해 지향성으로 배향되고, 플라즈마가 스트라이킹될 때 이온들을 생성하도록 구성된다. 그리드 시스템은 챔버 및 이온 소스 모두와 인터페이싱하고, 이온 빔을 형성하기 위해 이온 소스로부터 이온들이 추출되는 복수의 홀들을 포함한다. 복수의 홀들의 밀도는 이온 빔의 이온 밀도가 축을 따라 가변하도록 축을 따라 가변한다.
일 실시예에서, 기판을 프로세싱하기 위한 시스템이 챔버, 척 어셈블리, 이온 소스, 및 그리드 시스템을 포함한다. 척 어셈블리는 기판 지지부, 기판 지지부를 틸팅하기 위한 틸트 어셈블리, 및 기판 지지부를 회전시키기 위한 회전 어셈블리를 포함한다. 이온 소스는 기판 지지부를 향해 지향성으로 배향되고, 플라즈마가 스트라이킹될 때 이온들을 생성하도록 구성된다. 그리드 시스템은 챔버 및 이온 소스 모두와 인터페이싱하고, 이온 소스로부터 이온들을 추출하기 위해 그리고 이온 빔을 형성하기 위해 홀들의 어레이를 포함한다. 그리드 시스템은 이온 빔이 기판 지지부를 향해 챔버 내로 지향되도록 배향된다. 그리드 시스템은 y 축에 의해 수직으로 그리고 x 축에 의해 수평으로 규정된다. 그리드 시스템은 평면일 수도 있다. 또한 그리드 시스템은 전압에 대해 개별적으로 규정 가능하거나 제어되는 복수의 섹션들에 의해 규정된다. 발생하는 이온 빔은 그리드 시스템의 복수의 섹션들의 각각의 전압들에 의해 규정되는 빔 단면에 대해 에너지 밀도를 갖는다. 결과로서, 실시예는 복수의 섹션들의 각각의 전압들을 개별적으로 제어함으로써 빔 단면에 대해 임의의 수의 에너지 밀도 변화량들을 규정할 수 있는 것으로 고려된다.
다른 양태들은 첨부한 도면들과 함께 취해진 이하의 상세한 기술 (description) 로부터 명백해질 것이다.
실시예들은 첨부한 도면들과 함께 취해진 이하의 기술을 참조함으로써 가장 잘 이해될 수도 있다.
도 1a는 일 실시예에 따른, 에칭 동작들에 활용된 플라즈마 프로세싱 시스템을 도시하는 개략적인 단면도이다.
도 1b는 일 실시예에 따른, 동작 동안의 챔버를 예시한다.
도 2는 일 실시예에 따른, 이온 빔 에칭을 위해 구성되는 기판 w의 다양한 피처들을 예시한다.
도 3은 일 실시예에 따른, 180° 회전 전 그리고 180° 회전 후 기판 w를 예시한다.
도 4a 및 도 4b는 일 실시예에 따른, 등방성 이온 빔을 사용하여 고도로 틸팅되는 기판의 이온 빔 에칭의 도면을 예시한다.
도 5a 및 도 5b는 일 실시예에 따른, 이방성 이온 빔을 사용하여 고도로 틸팅되는 기판의 이온 빔 에칭의 도면을 예시한다.
도 6은 일 실시예에 따른, 본 명세서에 논의된 다양한 실시예들과 함께 사용될 수도 있는 그리드 시스템의 단면도를 예시한다.
도 7a 내지 도 7c는 축에 걸쳐 이온 밀도의 변화량을 갖는 이방성 이온 빔이 생성되게 하는, 상이한 추출 홀 밀도들을 갖는 섹션들에 의해 규정되는 그리드 시스템들의 실시예들을 예시한다.
도 8a 내지 도 8c는 축에 걸쳐 이온 밀도의 변화량을 갖는 이방성 이온 빔이 생성되게 하는, 상이한 추출 홀 사이즈들을 갖는 섹션들에 의해 규정되는 그리드 시스템들의 실시예들을 예시한다.
도 9a 내지 도 9d는 하나 이상의 축들에 걸쳐 이온 밀도의 변화량을 갖는 이방성 이온 빔이 생성되게 하는, 상이한 전압들이 공급된 섹션들에 의해 규정되는 그리드 시스템들의 실시예들을 예시한다.
도 10은 이온 밀도에서 변화량을 갖는 이방성 이온 빔을 생성하도록 사용될 수도 있는 섹션형 (sectional) 자기장을 갖는 유도적으로 커플링된 플라즈마 이온 소스의 부가적인 실시예를 예시한다.
도 11a 및 도 11b는 전압에 대해 국부적으로 제어될 수 있는 섹션형 그리드를 갖는 이온 소스를 사용한 이온 빔 에칭으로 기판을 프로세싱하는 방법들에 대한 전반적인 흐름을 도시한다.
실시예들은 기판의 이온 빔 에칭 또는 밀링 (milling) 또는 스퍼터링 (sputtering) 을 위한 이방성 이온 빔들을 제공한다. 일부 실시예들에서, 이방성 이온 빔들은 틸팅되는 기판을 에칭하도록 사용될 수도 있다. 이온 빔 에칭 동안의 고 기판 틸트는 측벽 패턴 에칭뿐만 아니라 억제된 수직 에칭을 가능하게 한다. 예를 들어, 고 틸트 IBE (Ion Beam Etching) 구성들에서, 기판의 상단부 상에 증착되는 포토레지스트의 에칭은 에칭 각도가 수평 방향들 (예를 들어, 측벽들) 로 보다 지향되고 수직 방향들 (예를 들어, 패턴의 높이) 로 보다 덜 지향되도록 이루어지기 때문에 억제될 수도 있다.
일부 실시예들에서, 기판은 수직 에칭을 위해 사용된 기판 각도에 대해 10 내지 89° 틸팅될 수도 있다. 즉, 기판은 기판의 평면에 직각인 축이 이온 빔의 방향에 대해 10 내지 89° 기울어지도록 틸팅될 수도 있다. 이온 빔 에칭 동안 고 틸트 구성들 하에서, 기판은 기판 상의 상이한 위치들에서 상이한 이온 밀도들 및/또는 에너지 밀도들을 경험할 수도 있다. ICP (Inductively Coupled Plasma) 소스에 보다 가깝게 틸팅되는 기판의 영역들은 ICP 소스로부터 멀어지게 틸팅되는 영역들보다 높은 이온 밀도들을 경험할 수도 있다.
일반적으로, 이온 빔의 이온 밀도 (및 에너지 밀도) 는 이온 빔의 발산 (divergence) 때문에 ICP 소스로부터 멀어지는 거리의 함수로서 감소한다. 예를 들어, 그리드를 사용하여 ICP 소스로부터 추출되는 이온들은 완전히 평행한 궤적을 따르지 않는다. 이는 이온 빔의 발산을 발생시킨다. 또한, 플라즈마 소스로부터 그리드에 의해 추출되는 (양)이온들은 그들의 유사한 전하들로 인해 반발을 경험하는 경향이 있을 것이다. 이는 또한 이온 빔의 발산을 발생시킨다.
이온 빔의 이러한 발산의 결과로서, ICP 소스로의 거리에서 보다 가깝게 틸팅되는 이온 빔 에칭 동안 틸팅된 기판의 영역들은 ICP 이온 소스로부터 멀어지게 틸팅된 영역들보다 높은 에너지 밀도들을 경험할 것이다. 이는 ICP 소스로 보다 가깝게 틸팅되는 기판의 영역들이 보다 높은 이온 빔 에너지 밀도 (예를 들어, 이온 밀도 및/또는 이온 에너지) 로 인해 보다 높은 에칭 레이트가 제공되는 에칭에서 “위치 바이어스 (positional bias)”를 생성한다.
일부 실시예들에서, 기판은 에칭의 위치 바이어스로 인한 에칭 레이트들의 불균일성을 보상하기 위해 회전될 수도 있다. 그러나, 회전될 때조차도, 에칭 레이트들의 특정한 비대칭이 발생할 수도 있다. 예를 들어, 기판의 중심부에 대면하는 측벽들 (예를 들어, 수직 표면들) 은 기판이 계속적으로 회전될 때조차도 보다 작은 이온 빔 에너지 밀도들을 항상 경험할 것이다. 반대로, 기판의 둘레 또는 주변에 대면하는 측벽들은 기판이 회전될 때조차도 보다 큰 이온 빔 에너지 밀도들을 항상 경험할 것이다. 결과로서, 에칭 레이트의 비대칭은 패턴의 주어진 측벽이 기판의 중심부 또는 둘레에 대면하는지 여부에 따라 존재할 것이다. 이 측벽 에칭 레이트의 비대칭은 둘레 또는 주변 대면 측벽들에 대한 바이어스들인 “방향 바이어스 (directional bias)”로서 지칭될 수도 있다. 방향 바이어스 (예를 들어, 둘레 대면 측벽들에 대한 에칭 바이어스) 는 기판에 회전을 제공함에도 불구하고 명백하지만, 회전은 에칭의 일부 위치 바이어스를 제어할 수도 있다.
둘레-대면 바이어스는 기판의 중심부로부터 멀어지는 방사상 거리의 함수로서 보다 두드러진다. 예를 들어, 기판의 중심부로부터 방사상으로 보다 먼 영역들은 기판의 중심부에 보다 가까운 영역들보다 큰 둘레-대면 바이어스를 경험할 것이다.
본 명세서에서 고려된 실시예들은 또한 이하에 더 상세하게 논의될 바와 같이, 이온 빔 밀도 변화량들에 제공된 개선의 결과로서 1차원 수평 에칭을 가능하게 한다. 수평 에칭 (예를 들어, 평면 기판의 x 축 및 y 축에서 에칭) 은 다수의 이유들에 대해 유리하다. 이점들 중 하나는 기판의 상단부 상에 증착되는 포토레지스트 또는 다른 마스킹 층의 높이를 줄이지 않고 측벽들과 같은 수직 피처들을 에칭하는 것을 포함한다.
예를 들어 1차원 에칭에서, 기판의 에칭 또는 밀링은 한 번에 한 개의 축만을 따라 이루어진다. 예를 들어, 기판은 -y 방향으로 먼저 에칭될 수도 있다. 이어서 기판을 180° 회전시킨 이후, 기판은 이어서 +y 방향으로 에칭될 수도 있다. 1차원 에칭에 대해, 에칭 레이트의 바이어스는 또한 측벽들의 지향성에 따라 명백해질 것이다. 즉, 두 개의 측벽들이 기판 상에서 사실상 동일한 위치에 있더라도, 기판의 중심 라인에 대면하는 (예를 들어, 기판의 평면이 (0, 0) 에서 중심을 갖고 x 축 및 y 축에 의해 규정되고, y=0인 라인을 향해 대면하는) 측벽들은, 중심 라인으로부터 이격되는 (예를 들어, 라인들 y=±∞을 향해 대면하는) 측벽들보다 낮은 레이트들에서 에칭될 것이다. 이는 내측으로 대면하는 측벽들 (예를 들어, y=0에 대면하는 측벽들) 이 외측으로 대면하는 측벽들 (예를 들어, y=±∞에 대면하는 측벽들) 보다 이온 소스로부터 항상 보다 먼 거리들에서 고 틸트 적용예들 동안 에칭되기 때문이다.
본 명세서에서 고려된 실시예들은 이온 빔 단면의 하나 이상의 축들을 따라 규정된 제어 가능한 이온 빔 에너지 밀도 변화량을 갖는 이방성 이온 빔들을 제공하는 이방성 이온 빔 구성들에 대한 것이다. 본 명세서에 사용된 바와 같이, 이방성 이온 빔들은 하나 이상의 축에 걸쳐 불균일하거나 비동질인 에너지 밀도들을 갖는 이온 빔들이다. 이방성 이온 빔들이 선행 프로세싱 단계의 불균일성을 보상하거나 하나 이상의 향후 단계들에서 예측된 불균일성을 회피하기 위해 기판에서 균일한 이온 빔 에너지 밀도를 생성함으로써, 또는 불균일한 이온 빔 에너지 밀도를 생성함으로써 기판에 걸쳐 이온 빔 에칭의 불균일성을 개선하도록 구현되어야 한다는 것이 고려된다.
구상된 이온 빔 에너지 밀도 변화량은 이온 빔의 단면의 하나 이상의 축들에 걸쳐 이온 밀도 및/또는 이온 에너지의 변화량을 규정함으로써 제어될 수도 있다. 이온 밀도 및/또는 이온 에너지 (본 명세서에서 집합적으로 이온 빔 에너지 밀도로서 지칭됨) 의 변화량은 이하에 논의된 그리드 시스템들의 실시예들을 사용함으로써 제어될 수도 있다. 발생하는 이온 빔 에너지 밀도 변화량을 갖는 이방성 이온 빔은 고 틸트 이온 빔 에칭 적용예들 하에서 기판 축에 걸쳐 에칭 레이트 균일성을 제공할 수 있다. 본 명세서에 고려된 실시예들은 또한 에칭의 위치 바이어스 및/또는 방향 바이어스 모두를 개선한다. 예를 들어, 특정한 실시예들은 연속적인 기판 회전 없이 그리고 연속적인 기판 회전을 하는, 이온 빔 에칭에 대해 기판의 둘레에 대면하는 측벽들 및 기판의 중심부에 대면하는 측벽들 사이에 에칭 레이트들의 보다 큰 균형이 있도록 방향 바이어스를 개선한다.
1차원 에칭 (기판은 계속적으로 회전되지 않고, 주기적으로 180° 회전됨) 에 대해, 본 명세서에 고려된 실시예들은 내측으로 대면하는 측벽들에 비해 외측으로 대면하는 측벽들에 대해 에칭 바이어스를 개선한다. 즉, 본 명세서에 고려된 이방성 이온 빔들의 실시예들은 연속적인 기판 회전이 있는 이온 빔 에칭 적용예들 및 연속적인 기판 회전이 없는 1차원 에칭에 대한 적용예들에서 모두 개선할 수도 있다.
이온 빔의 이방성은 y 축의 일 단부를 향해 보다 크고 또 다른 단부를 향해 보다 작은 이온 빔 에너지 밀도의 변화량을 생성하기 위해 ICP 소스의 그리드의 y 축 (또는 어떠한 임의로 규정된 축) 의 함수로서 이온 빔 밀도를 제어함으로써 구현될 수도 있다고 고려된다. 일 실시예에서, 변화량은 y가 보다 작은 포지티브이기 때문에 이온 빔 밀도가 보다 큰 것이다 (예를 들어, 변화량은 y 축에 반비례하거나, y 좌표가 증가함에 따라 에너지 밀도가 감소한다). 이 실시예에서, ICP 소스에 보다 가깝게 틸팅되는 기판의 영역들은 ICP 소스로부터 더 멀어지게 틸팅된 영역들과 유사한 이온 빔 밀도를 경험할 것이다. 따라서, 기판의 y 축에 걸친 에칭 레이트들의 균일성이 개선될 것이다. 또한, 둘레-대면 바이어스는 이온 빔 밀도의 변화량의 결과에 따라 또한 개선될 것이다.
이하의 실시예들은 고도로 틸팅되는 기판의 이온 빔 에칭을 위한 이온 빔 밀도의 변화량을 제어하기 위한 방법들, 디바이스들, 시스템들, 및 컴퓨터 프로그램들을 기술한다. 본 실시예들이 이들 구체적인 상세들의 일부 또는 전부 없이 실시될 수도 있다는 것이 명백할 것이다. 다른 예들에서, 공지된 프로세스 동작들은 본 실시예들을 불필요하게 모호하게 하지 않기 위해 상세히 기술되지 않았다.
도 1a는 일 실시예에 따라, 에칭 동작들에 활용된 플라즈마 프로세싱 시스템을 도시하는 개략적인 단면도이다. 시스템은 기판 (112), 게이트 밸브, 및 이온 빔 챔버 (114) 를 운반하는 이송 모듈 (110) 을 포함한다. 기판 (112) 은 기판이 이온 빔 챔버 (114) 에 진입할 때 수평 위치에 있는, 기판 (112) 으로 기판 로드 (154) 를 통해 챔버에 진입한다. 챔버는 척 어셈블리 (115) 및 틸트 액추에이터 (actuator) (136) 를 포함한다. 척 어셈블리 (115) 는 기판 지지부 (116) 를 포함한다. 일부 실시예들에서 유전체 윈도우 (106) (미도시) 가 챔버 내에 또한 존재한다. 기판 지지부 (116) 는 기판 (112) 을 지지하기 위한 정전 척일 수 있다.
틸트 액추에이터 (136) 는 기판이 틸팅된 위치에 있는 동안 기판의 프로세싱을 수행하기 위해, 기판이 로딩된 후 척 어셈블리를 틸팅한다. 상기 언급된 바와 같이, 기판은 이온 빔의 방향에 대해 측벽들의 수평 에칭을 위해 거의 90°까지 틸트 액추에이터 (136) 및 척 (115) 에 의해 틸팅될 수도 있다. 즉, 척 (115) 및 기판 지지부 (116) 는 로딩 위치로부터 거의 180° 틸팅될 수도 있다. 도 1a에 또한 도시된 것은, 기판이 이의 중심부를 중심으로 회전하도록 구현될 수도 있는 회전자 (117) 이다.
설비들 (104) 은 기판 지지부에 전력을 제공하기 위해, 또는 동작 동안 기판을 냉각시키도록 액체 또는 가스를 제공하기 위해 척 어셈블리에 연결된다. 이온 소스 캐비티 (134) 가 기판을 프로세싱하기 위한 플라즈마를 생성한다. 일부 실시예들에서, 내부 패러데이 실드 (faraday shield) (미도시) 가 이온 빔 챔버 (114) 내부에 배치된다. 일부 실시예들에서, 이온 소스 캐비티 (134) 는 매칭 회로 (102) 에 연결된 TCP (Transformer Coupled Plasma) 코일을 포함한다.
추가로 도시된 것은, 하나 이상의 생성기들로부터 규정될 수 있는 바이어스 RF 생성기 (120) 이다. 복수의 생성기들이 제공되면, 상이한 주파수들이 다양한 튜닝 특성들을 달성하도록 사용될 수 있다. 바이어스 매칭부 (118) 가 RF 생성기 (120) 와, 기판 지지부 (116) 를 규정하는 어셈블리의 전도성 플레이트 사이에 커플링된다. 기판 지지부 (116) 는 또한 기판의 척킹 (chucking) 및 디척킹 (dechucking) 을 가능하게 하도록 정전 전극들을 포함한다. 대체로, 필터 및 DC 클램프 전력 공급부가 제공될 수 있다. 기판 지지부 (116) 로부터 기판을 리프팅하기 위한 다른 제어 시스템들이 또한 제공될 수 있다.
가스 소스들 (128) 은 매니폴드들 (manifolds) (122) 을 통해 혼합될 수 있는 복수의 가스 소스들을 포함한다. 가스 소스들은 하나 이상의 반응물질 가스들 (또한 본 명세서에서 주요 가스들로 지칭됨) 및 하나 이상의 튜닝 가스들을 포함한다. 반응물질 가스는 에칭에 사용된 활성 가스이고, 반응물질 가스는 기판에 대한 에칭에 필요한 종의 소스이다. 반응물질 가스들의 예들은 Cl2, HBr, 및 SF6을 포함하지만, 다른 반응물질 가스들이 또한 사용될 수도 있다. 복수의 가스 공급부들이 기판들 상의 프로세스 동작들, 기판 없는 자동 세정 동작들, 및 다른 동작들과 같은 다양한 타입들의 동작들을 위해 챔버에 상이한 가스들을 공급하기 위해 제공될 수도 있다는 것이 인식되어야 한다.
진공 펌프 (130) 가 동작 플라즈마 프로세싱 동안 챔버로부터 진공 압력 제어 및 가스성 부산물들의 제거를 가능하게 하도록 이온 빔 챔버 (114) 에 연결된다. 밸브 (126) 가 챔버에 적용될 진공 흡입 (suction) 의 양을 제어하기 위해 배기부 (124) 와 진공 펌프 (130) 사이에 배치된다. 도시되지 않지만, 하나 이상의 진공 장치들이 또한 이온 소스 캐비티 (134) 에 진공을 제공한다.
이온 빔 챔버 (114) 는 또한 약 0.1 mTorr (mT) 내지 약 1 mTorr (mT) 범위의 진공 상태들에서 작동할 것이다. 이온 소스 캐비티 (134) 는 약 1 mT 내지 약 10 mT 범위의 진공 상태들에서 작동할 수도 있지만, 다른 사양들이 사용될 수도 있다. 모두 구체적으로 도시되지는 않았지만, 이온 빔 챔버 (114) 는 클린 룸에 설치될 때 설비들, 또는 제조 설비에 통상적으로 커플링된다. 설비들은 프로세싱 가스들, 진공, 온도 제어, 및 분위기 입자 제어를 제공하는 배관을 포함한다.
프로그래밍 가능한 제어기 (108) 가 이온 빔 챔버 (114) 및 이의 연관된 컴포넌트들의 동작을 제어하기 위해 제공된다. 일반적으로 말하면, 제어기 (108) 는 레시피에 의해 규정된 챔버 동작을 실행하기 위해 프로그래밍될 수 있다. 미리 결정된 레시피는 TCP 코일들로의 전력의 인가, 챔버 내로의 가스의 플로우, 및 진공의 적용과 같은 동작을 위한 다양한 파라미터들을 명시할 수도 있다. 타이밍, 지속기간, 크기, 또는 임의의 다른 조정 가능한 파라미터 또는 제어 가능한 피처들이 레시피에 의해 규정되고 이온 빔 챔버 (114) 및 이의 연관된 컴포넌트들의 동작을 제어하기 위해 수행될 수 있다는 것이 인식되어야 한다. 부가적으로, 일련의 레시피들이 제어기 (108) 내에 프로그래밍될 수도 있다.
도 1b는 일 실시예에 따른, 동작 동안의 챔버를 예시한다. 일 실시예에서, 기판 (112) 은 기판 지지부 (116) 내로 로딩되고, 기판이 로딩된 후, 위치 액추에이터는 플라즈마가 점화되기 전 틸팅된 위치에 기판 지지부 (116) 및 기판 (112) 을 배치하기 위해 기판 지지부 (116) 를 100° 내지 179° 회전시킨다. 틸팅된 위치는 10° 내지 거의 90°일 수도 있는 각도 θ에 의해 규정된다. 일부 실시예들에서, 틸트 각도 θ는 약 85°이다. 이온 소스 캐비티 (134) 는 수직 배향으로 챔버의 측면 상에 배치된다.
도 2는 고 틸트 이온 빔 에칭 프로세스들에 사용될 수도 있는 기판 (200) 의 다양한 피처들을 예시한다. 기판 (200) 은 원형 웨이퍼이도록 도시되었지만, 다른 형상들이 본 명세서에 제공된 방법들 및 시스템들과 호환된다. 기판 (200) 은 x 축 및 y 축에 의해 규정되고, 기판 (200) 의 중심부 (202) 는 기판 축들 x=0 및 y=0에서 규정된다. 기판 축들에 더하여, 기판 (200) 의 평면의 x 축 및 y 축이 또한 규정된다. 기판이 “디폴트 (default)”배향에 있을 때, 기판 (200) 의 y 축은 평면의 y 축의 동일한 방향에 있다. 기판 (200) 의 이 배향은 따라서 디폴트 배향, 또는 y=0°인 배향으로 지칭될 수도 있다. 기판 (200) 이 기판의 중심부를 중심으로 180° 회전될 때, 기판 (200) 은 회전된 배향에 있거나, 기판 (200) 의 y 축이 기판 (200) 이 있는 평면의 y 축에 대해 180°라고 할 수도 있다. 즉, 회전된 배향은 y=-180° 또는 y=+180°이다.
기판은 참조를 위해 “상단부” 및 “하단부”를 포함한다. 상단부 (206) 는 극좌표들에서 (φ=0, r=r) 의 기판 (200) 의 좌표들과 연관된 지점 또는 영역을 지칭하는 한편 기판의 하단부 (208) 는 (φ=180°, r=r) 의 좌표들과 연관된다. 기판 (200) 의 부가적인 피처들은 반경 (210), 및 둘레 (204) 를 포함한다. 반경 (210) 은 대략 (anywhere) 약 20 mm 내지 약 1000 mm, 또는 약 100 mm 내지 약 250 mm일 수도 있다.
도 2는 제 1 패턴 (201) 및 제 2 패턴 (203) 을 포함하는 기판 (200) 을 도시한다. 제 1 패턴 (201) 은 중심-대면 에지 (edge) (201a) 및 둘레-대면 에지 (201b) 를 갖도록 도시된다. 본 명세서에 사용된 바와 같이, 에지는 수직 에칭에 의해 유발된 측벽, 또는 기판 (200) 의 평면에 평행하지 않은 임의의 표면을 지칭할 수도 있다. 제 2 패턴 (203) 은 또한 중심-대면 에지 (203a) 및 둘레-대면 에지 (203b) 를 갖도록 도시된다. 도 2는 또한 기판 (200) 의 절단 단면도를 도시한다.
도 3은 180° 회전 전후 기판 w를 도시한다. 비회전된 배향에서, 기판의 Y 축은 평면의 2 차원 공간의 y 축과 동일 선상에 있다 (Y=0°). 또한, 비회전된 위치에서, 평면의 2 차원 공간 내에서 기판 상단부 (206) 는 (0, +r) 의 좌표를 갖고 기판 하단부는 (0, -r) 의 좌표를 갖는다.
회전된 배향에서, 기판은 기판의 Y 축이 평면의 2 차원 공간의 -y 방향을 가리키도록 중심부를 중심으로 180° 회전된다 (Y=180°). 부가적으로, 기판이 일단 회전되면, 기판 상단부는 평면의 2 차원 공간 내의 좌표 (0, -r) 와 연관되고, 기판 하단부는 평면의 2 차원 공간 내의 좌표 (0, +r) 와 연관된다.
도 4는 일 실시예에 따른, 고 틸트 적용예 동안 기판 (400) 의 이온 빔 에칭 프로세스의 도면을 도시한다. 기판 (400) 은 기판 지지부 (402) 에 의해 그리고 척 (406) 에 의해 제자리에 홀딩된다. 척 (406) 은 후속 180° 회전을 위한 회전 축 (404) 을 갖는 것으로 도시된다. 도시된 실시예에서, 기판 (400) 은 비회전된 배향에 있고, 이온 빔 에칭 단계 동안 (예를 들어, 대략 약 0.1 초 이하 내지 약 100 초 이상) 비회전된 배향 (예를 들어, Y=0°) 에서 제자리에 홀딩된다.
기판 (400) 은 예시적인 목적들을 위해 대략 45° 인 틸트 각도 θ로 틸팅되도록 도시된다. 상기 언급된 바와 같이, 기판이 85°까지 그리고 85° 이상으로 틸팅될 수도 있다고 고려된다.
도 4는 플라즈마 (416) 및 이온 빔 (424) 에 연관된 이온들을 생성하는 ICP 이온 소스 (408) 를 도시한다. ICP 이온 소스 (408) 는 석영 또는 알루미나 등으로 이루어진 챔버 (410), 정전 실드 (412) 및 RF 필드를 생성하기 위한 RF 코일 안테나 (414) 를 포함한다. 가스 (420) 는 챔버 내로 피딩되고 (fed) 가스 원자들을 이온화하여 플라즈마 (416) 를 생성하고 유지하기 충분한 가스 내로 전자들을 여기시키는 RF 코일 안테나 (414) 의 RF 필드의 자기장을 겪는다. 일부 실시예들에서, 가스 (420) 는 Ar, Xe, Ne, 또는 Kr 또는 다른 가스들과 같은 비활성 가스들을 포함할 수도 있다.
ICP 이온 소스 (408) 는 또한 플라즈마 (416) 로부터 이온들을 추출하고 이온 빔 (424) 을 생성하도록 규정된 에너지 및 방향으로 추진하는 것을 담당하는 그리드 (418) 를 포함하는 것으로 도시된다. 이온 빔 (424) 은 기판 지지부 (402) 및 기판 (400) 을 향해 이온 빔 챔버 (114) 내로 지향되는 것으로 도시된다. 그리드 (418) 는 규정된 홀 패턴들뿐만 아니라 이온 빔 (424) 의 생성을 위한 전압들을 갖는 2 또는 3 또는 그 이상의 별개의 그리드들을 포함할 수도 있다. 그리드 (418) 의 개별적인 홀들은 이온 빔 (424) 을 형성하기 위해 다른 이온 빔렛들 (beamlets) 과 시준되는 (collimated) 개별적인 이온 빔렛들을 생성한다. 개별적인 빔렛들은 단위 시간 당 홀을 통과하는 이온들의 수를 지칭하는 빔렛 전류에 의해 특징지어질 수도 있다. 개별적인 빔렛들은 또한 단위 면적 당 빔렛에 의해 운반되는 에너지의 양을 지칭하는 빔렛 에너지 밀도에 의해 특징지어진다.
발산 (422) (스케일대로 도시되지 않음) 이 이온 빔 (424) 과 연관되는 것으로 도시된다. 상기 언급된 바와 같이, 발산 (422) 은 개별적인 이온 궤적들 사이 및 개별적인 빔렛들 사이의 불완전한 시준뿐만 아니라, 이온 빔 (424) 내의 이온들에 의해 경험되는 반발력들을 기술할 수도 있다. 도 4a에 도시된 실시예에서, 이온 빔 (424) 은 등방성 이온 빔이다. 즉, 이온 빔 (424) 은 이온 빔 (424) 의 단면의 임의의 방향에서 이온 빔 에너지 밀도가 크게 가변하지 않는다. 이온 빔 (424) 의 이 등방성은 그리드 (418) 로부터 나오고 기판 (400) 을 향하는 화살표들의 동일한 간격 및 균일한 밀도에 의해 표시된다.
이온 빔 (424) 은 약 90° - 틸트 각도 (θ) 와 동일한 입사각으로 기판 (400) 의 표면과 콘택트를 이루는 것으로 도시된다. 틸트 각도 (θ) 가 약 85°로 증가함에 따라, 일부 실시예들의 경우일 수도 있는 것과 같이, 기판 (400) 상의 이온 빔의 입사각은 약 5°에 가까워진다. 추가 실시예들에서, 입사각은 90°에 근접할 수도 있다.
다시, ICP 이온 소스 (408) 및 그리드 (418) 에 의해 생성된 이온 빔 (424) 은 이온 빔 단면에 걸쳐 대략 등방성이도록 도시된다. 따라서 이온 빔의 등방성은 이온 빔의 등방성 이온 밀도와 이온 빔의 등방성 이온 에너지 밀도 모두에 의해 규정될 수도 있다. 그러나, 발산 (422) 으로 인해, 기판 (400) 의 다양한 영역들에 의해 경험되는 이온 밀도는 ICP 이온 소스 (408) 로부터 멀어진 거리에 종속될 것이다. 예를 들어, ICP 이온 소스 (408) 에 보다 가깝게 틸팅되는 영역 (401) 은 ICP 이온 소스 (408) 로부터 멀어지게 틸팅되는 영역 (403) 보다 큰 이온 빔 에너지 밀도를 경험할 것이다. 곡선 (426) 은 기판 (400) 의 Y 좌표와 경험된 이온 밀도 사이의 대략적인 관계를 예시한다. 영역 (401) (예를 들어, ICP 이온 소스 (408) 에 보다 가까운 영역들) 을 향한 이온 밀도 바이어스는 틸트 각도 θ가 90°에 보다 가깝게 증가될 때 훨씬 보다 두드러진다.
기판 (400) 상에 입사하는 불균일한 이온 빔 에너지 밀도의 결과로서, 기판 (400) 의 불균일한 에칭 레이트가 발생할 것이다. 예를 들어, 영역 (401) 은 영역 (403) 보다 큰 레이트로 에칭될 것이다.
확대된 도면들 (432 및 434) 는 각각 기판 (400) 의 영역 (403) 및 영역 (401) 에서의 수평 에칭에 대한 이온 빔 에너지 밀도의 표현들을 도시한다. 화살표들의 수 또는 밀도는 영역들 (401 및 403) 에 국부적으로 입사하는 이온 빔의 에너지 밀도를 나타내도록 사용된다. 확대된 도면 (432) 은 ICP 소스 (408) 로부터 더 멀어지게 틸팅되는 영역 (403) 내의 피처 (430) 를 도시한다. 피처 (430) 는 중심-대면 측벽 (430a) 및 둘레-대면 측벽 (430b) 을 포함하는 것으로 도시된다. 확대된 도면 (434) 은 중심-대면 측벽 (428a) 및 둘레-대면 측벽 (428b) 을 갖는 피처 (428) 를 갖는, ICP 소스 (408) 에 보다 가깝게 틸팅되는 영역 (401) 에 대한 것이다.
기판 (400) 의 (회전 없이) 이온 빔 에칭 동안, 피처 (430) 는 피처 (430) 가 ICP 소스 (408) 로부터 더 멀어지게 틸팅되는 영역 (403) 내에 있기 때문에 상대적으로 작은 이온 빔 에너지 밀도 (424b) 를 경험하는 것으로 도시된다. 대조적으로, 피처 (428) 는 피처 (428) 가 ICP 이온 소스 (408) 에 보다 가깝게 틸팅되는 영역 (401) 내에 있기 때문에 상대적으로 보다 큰 이온 빔 에너지 밀도 (424a) 를 경험하는 것으로 도시된다. 기판 (400) 의 회전 없이 이온 빔 에칭 동안, ICP 이온 소스 (408) 에 대면하는 측벽들이 에칭되지만 ICP 이온 소스 (408) 에 대면하지 않는 측벽들은 현재 에칭되지 않는 것을 또한 주목해야 한다. 예를 들어, 피처 (428) 의 둘레-대면 측벽 (428b) 이 이온 빔 (424) 에 의해 에칭되는 동안, 피처 (430) 의 중심-대면 측벽 (430a) 은 에칭된다. 둘레-대면 측면 (430b) 및 중심-대면 측벽 (428a) 은 이 단계에서 에칭되지 않지만, 기판 (400) 의 회전 후 후속하는 단계에서 에칭될 수도 있다.
도 4b는 기판이 180° (예를 들어, Y=180°) 회전된 후 이온 빔 에칭 동안의 도 4a의 기판을 도시한다. 이온 빔이 180° 회전 동안 차단되거나 억제되는 것이 고려된다. 결과로서, +y 방향 및 -y 방향에서 에칭만이 수행된다. 영역 (401) 은 ICP 이온 소스 (408) 로부터 보다 멀어지게 틸팅되도록 도 4b에 도시되는 반면, 도 4a에서 영역 (401) 은 ICP 이온 소스 (408) 에 보다 가깝게 틸팅되도록 도시되었다. 마찬가지로, 영역 (403) 은 ICP 이온 소스 (408) 에 보다 가깝게 틸팅되도록 도시되는 반면, 도 4a에서 영역 (403) 은 ICP 이온 소스 (408) 로부터 보다 멀어지게 틸팅되도록 도시되었다. 결과로서, 회전 전 상대적으로 보다 큰 이온 빔 에너지 밀도를 경험한 영역 (401) 의 피처들은 이제 회전 후 보다 작은 이온 빔 에너지 밀도들을 경험한다. 마찬가지로, 회전 전 상대적으로 보다 작은 이온 빔 밀도들을 경험한 영역 (403) 의 피처들은 이제 회전 후 상대적으로 보다 큰 이온 빔 밀도들을 경험한다.
따라서, 기판 (400) 을 회전시키는 것은 가변하는 Y 좌표들을 갖는 영역들에서 경험된 이온 빔 에너지 밀도들을 보다 균등하게 분배할 수도 있다 (예를 들어, 위치 바이어스 에칭 레이트를 개선한다). 예를 들어, 영역 (401) 에 의해 경험된 평균 이온 빔 에너지 밀도는 기판 (400) 을 회전시킴으로써 영역 (403) 에 의해 경험된 평균 이온 빔 에너지 밀도에 유사하게 이루어질 수도 있다. 이는 회전 전과 회전 후의 에칭과 연관된 시간의 양이 거의 동일하다는 것을 가정한다.
그러나, 회전을 수반하는 이온 빔 에칭은 에칭 레이트의 방향 바이어스를 개선할 필요는 없을 수도 있다. 예를 들어, 회전하더라도, 특정 측벽이 기판 (400) 의 중심부 (또는 기판의 중심 라인) 에 대면하는지 여부 또는 기판 (400) 의 둘레 (또는 y=±∞인 라인들) 에 대면하는지 여부에 종속되는 수평 에칭을 위한 평균된 이온 빔 에너지 밀도 (또는 총 이온 플럭스 (flux)) 에 바이어스가 있다.
예를 들어, 확대된 도면 (434) 은 180°의 배향 및 0°의 초기 배향으로 회전된 영역 (401) 을 도시한다. 0°의 배향 (예를 들어, 회전 전) 에서, 피처 (428) 는 보다 많은 수의 파선 화살표들에 의해 나타낸 피처의 둘레-대면 측벽 (428b) 상에서 상대적으로 보다 큰 이온 빔 에너지 밀도 (424a) 를 경험한다. 회전 후, 피처 (428) 는 보다 작은 수의 실선 화살표들로 표현되는 피처의 중심-대면 측벽 (428a) 에서 상대적으로 보다 작은 이온 에너지 빔 밀도 (424b) 를 경험한다.
확대된 도면 (432) 은 이전의 180°의 배향으로부터 0°의 배향으로 회전된 영역 (401) 을 도시한다. 도 4a에 도시된 바와 같이 (예를 들어, 회전 전), 피처 (428) 는 피처의 중심-대면 측벽 (430a) 상에서 상대적으로 보다 작은 이온 빔 에너지 밀도를 경험한다 (상대적으로 적은 파선 화살표들). 회전 후, 피처 (430) 는 피처의 둘레-대면 측벽 상에서 보다 큰 이온 빔 밀도 (424a) 를 경험한다 (보다 큰 수의 실선 화살표들).
결과로서, 영역들 (401 및 403) 모두는 기판 (400) 이 회전된 후 유사한 평균 이온 빔 밀도 (또는 총 이온 플럭스) 를 경험하게 될 수도 있지만, 이온 빔 에너지 밀도 (또는 총 이온 플럭스) 는 상이한 지향성을 갖는 측벽들 사이에 고르게 분포되지 않는다. 즉, 피처들의 중심-대면 측벽들은 둘레-대면 측벽들보다 이온 빔으로부터 항상 보다 작은 이온 빔 에너지 밀도들 (또는 총 이온 플럭스) 을 경험할 것이다. 이온 빔 에너지 밀도의 이 방향 바이어스는 중심-대면 측벽들보다 둘레-대면 측벽들의 에칭 및 에칭 레이트의 방향 바이어스를 발생시킨다. 방향 바이어스는 기판 둘레에 보다 가까운 피처들에 대해 보다 두드러지고 기판의 중심부에 보다 가까운 피처들에 대해 보다 덜 두드러질 수도 있다.
도 5a는 y 축을 따라 규정된 이온 빔 에너지 밀도 변화량을 갖는 이방성 이온 빔 (504) 를 사용하는 기판 (500) 의 이온 빔 에칭을 예시한다. 이온 빔 에너지 밀도의 변화량은 화살표들의 밀도에 의해 표현된다. 보다 조밀하게 이격된 화살표들을 갖는 이온 빔 (504) 의 영역들은 보다 높은 이온 빔 에너지 밀도를 나타내지만, 보다 덜 조밀하게 이격된 화살표들을 갖는 영역들은 보다 낮은 이온 빔 에너지 밀도를 나타낸다.
예를 들어, 그리드 (502) 에 의해 인에이블되는 (enabled) 이방성 이온 빔 (504) 은 네거티브의 y 방향에서 보다 높은 에너지 밀도, 그리고 포지티브의 y 방향에서 보다 낮은 에너지 밀도를 갖는다. 결과로서, 기판 (500) 에 의해 경험된 이온 빔 에너지 밀도는 기판의 표면을 따라 균일하다. 곡선 (506) 은 그리드 (502) 가 달성할 수 있는 기판 (500) 의 y 축에 걸친 이온 빔 에너지 밀도의 타겟 균일성을 도시한다. 따라서, 예를 들어, ICP 이온 소스 (408) 에 보다 가깝게 틸팅되는 (예를 들어, 0°로 배향된) 영역 (501) 은 ICP 이온 소스 (408) 로부터 보다 멀어지게 틸팅되는 (예를 들어, 180°로 배향된) 영역 (503) 과 유사한 이온 빔 밀도를 경험한다.
확대된 도면 (510) 은 영역 (503) 의 피처 (514) 를 도시한다. 확대된 도면 (512) 은 영역 (501) 의 피처 (516) 를 도시한다. 피처 (514) 의 중심-대면 측벽 (514a) 과 피처 (516) 의 둘레-대면 측벽 (516b) 에 의해 경험되는 이온 빔 에너지 밀도는 피처 (514) 가 피처 (516) 보다 ICP 이온 소스 (408) 로부터 보다 멀리 있더라도 유사한 것으로 도시된다.
도 5b는 기판 (500) 이 180° 회전된 후 이방성 이온 빔 (504) 으로 프로세싱되는 도 5a의 기판 (500) 을 도시한다. 상기 언급된 바와 같이, 특정한 실시예들은 회전 동안 이온 빔 에칭을 갖지 않을 수도 있다. 즉, 이온 빔 에칭은 기판이 비회전되거나 (예를 들어, Y=0°) 회전된 (예를 들어, Y=180°) 배향에 있을 때에만 발생한다. 결과로서, 이온 빔 에칭은 일부 실시예들에서 +y 방향 또는 -y 방향에서 발생한다.
기판 (500) 이 180° 회전된 후, 영역 (501) 은 이제 180°로 배향되고, 영역 (503) 은 이제 0°로 배향된다. 확대된 도면 (512) 은 회전 전 0° 위치에서 이온 빔에 의해 먼저 프로세싱되고 회전 후 180° 배향으로 프로세싱되는 영역 (501) 의 피처 (514) 를 도시한다. 다시, 피처 (514) 의 중심-대면 측벽 (514a) 에 의해 경험된 이온 빔 에너지 밀도는 피처 (516) 의 둘레-대면 측벽 (516b) 에 의해 경험된 이온 빔 에너지 밀도와 유사한 것으로 도시된다. 따라서, 평균 이온 빔 에너지 밀도 (또는 총 이온 플럭스) 는 ICP 이온 소스 (408) 로부터 보다 가깝거나 더 멀리 틸팅되는 기판 (500) 상의 위치들 사이에서도 균일하게 된다. 이는 에칭의 위치 바이어스에 대한 개선을 입증한다.
또한, 피처 (514) 는 회전 전 피처의 둘레-대면 측벽 (514b) 상에서 경험된 (파선들) 것과 유사한 이온 빔 에너지 밀도를 피처의 중심-대면 측벽 (514a) 상에서 (실선들) 경험하는 것으로 도시된다. 마찬가지로, 확대된 도면 (510) 에서 피처 (516) 는 피처의 중심-대면 측벽 (516a) 상에서 경험된 (파선들) 것과 유사한 이온 빔 밀도를 피처의 둘레-대면 측벽 (516b) 상에서 (실선들) 경험하도록 도시된다. 결과로서, 등방성 이온 빔 에칭으로 인해 도 4b에서 보여지는 둘레-대면 측벽들을 향한 이온 빔 밀도 바이어스는 더 이상 없다. 이는 에칭의 방향 바이어스에 대한 개선을 입증한다. 피처들 (514 및 516) 은 예시적인 목적들을 위해 대표되고 도시되며 스케일대로 도시되지 않았다는 것을 주의한다. 또한, 에칭을 위해 기판 (500) 상에 존재하는 임의의 수의 피처들이 있을 수도 있다.
도 6은 이온 빔을 생성하기 위해 ICP 이온 소스로부터 이온들을 추출하고 추진시키도록 사용될 수도 있는 예시적인 그리드 (600) 의 단면도를 도시한다. 그리드 (600) 는 스크린 (604) 부분, 가속기 (accelerator) (606) 부분, 및 감속기 (decelerator) (608) 부분을 포함하도록 도시된다. 스크린 (604) (또한 실드로서 공지됨) 은 접지에 대해 포지티브의 전위 (VB) 로 설정되지만, 가속기 (606) 는 네거티브의 전위 (VA) 로 설정되고 감속기 (608) 는 접지로 설정된다. 스크린 (604) 의 전압 VB는 일반적으로 이온 빔 에너지를 결정한다.
그리드 (600) 는 또한 도시되는 홀 (602) 과 함께 복수의 홀들을 포함한다. 그리드 (600) 에서 홀 (602) 을 통해 플라즈마로부터 양이온들이 추출되고 가속기 (606) 에 의해 가속되고 후속하여 감속기 (608) 에 의해 감속된다. 홀 (602) 을 통해 추출된 이온들의 최종 에너지는 대략 스크린 (604) 의 전압, VB일 것이다.
축, 예를 들어 y 축에 걸쳐 가변하는 에너지 밀도 변화량을 갖는 이방성 이온 빔의 생성을 지원함에 있어서, 다수의 개선된 그리드들이 구상된다. 도 7a 내지 도 7c, 도 8a 내지 도 8c, 도 9a 내지 도 9d에 예시된 특정한 실시예들은 이온 빔의 단면에서 가변하는 에너지 변화량을 갖는 이방성 이온 빔을 생성할 수 있다.
예를 들어, 도 7a는 제 1 섹션 (702) 및 제 2 섹션 (704) 을 갖는 그리드 (700) 를 도시하고, 제 1 섹션 (702) 은 제 2 섹션 (704) 보다 작은 홀 밀도와 연관된다. 홀 밀도는 그리드들에 규정된 단위 면적 당 홀들의 수를 지칭한다. 홀 밀도는 연관된 그래프에서 그리드의 y 좌표에 종속되는 것으로 도시된다. 또한, 도 7a 내지 도 7c의 실시예들에 따라, 홀 사이즈는 일정하지만 홀 밀도는 가변한다. 또한, 홀 밀도는 그리드의 폭에 걸쳐 일정하다는 것이 도시된다. y 축에 걸쳐 가변하는 폭은 그리드가 놓여있는 평면을 규정한다. 따라서, y 축을 따라 또는 y 방향을 따라 가변하는 홀 밀도는 그리드의 폭이 y 축을 따라 가변한다는 것을 의미하도록 이루어진다.
일부 실시예들에 따라, 홀 밀도와, 홀들을 통해 추출되는 발생하는 이온 빔 에너지 밀도 사이에는 포지티브의 상관관계가 있다. 일부 실시예들에서, 증가하는 홀 밀도는 발생하는 이온 빔의 이온 밀도를 대응하여 증가시키는 것으로 고려된다. 결과로서, 그리드 (700) 는 네거티브의 y 방향에서 보다 큰 이온 밀도 및 포지티브의 y 방향에서 보다 작은 이온 밀도를 갖는 이방성 이온 빔을 생성하는 것이다.
도 7b에서, 그리드 (706) 가 제 1 섹션 (708), 제 2 섹션 (710) 및 제 3 섹션 (712) 을 갖는 것으로 도시된다. 제 3 섹션 (712) 의 홀 밀도는 제 2 섹션 (710) 의 홀 밀도보다 크도록 도시되고, 이는 결국 제 1 섹션 (712) 의 홀 밀도보다 크다. 그리드 (706) 에 의해 생성된 발생하는 이온 빔은 y가 보다 큰 포지티브가 될 때 감소하는 이온 밀도를 갖는 것으로 고려된다. 예를 들어, 제 1 섹션 (708) 에 의해 생성된 이온 밀도는 제 2 섹션 (710) 의 이온 밀도보다 작을 것이고, 이는 결국 섹션 (712) 의 이온 밀도보다 작다. 상기 언급된 바와 같이, 홀 밀도와 이온 빔 밀도 사이에는 포지티브의 상관관계가 있고, 여기에서 홀 사이즈와 같은 다른 파라미터들은 유사하게 남는다.
도 7c에서, 그리드 (714) 가 n 개의 섹션들을 갖는 것으로 도시된다. 섹션 각각은 상이한 홀 밀도에 의해 규정될 수도 있다. n은 대략 2 내지 약 100 개 이상일 수도 있다는 것이 구상된다. 홀 밀도와 그리드의 y 축 사이의 고려된 관계들이 그래프 (716) 에 도시된다. 예를 들어, y가 보다 큰 포지티브가 될 때 홀 밀도가 선형으로 감소할 수도 있다는 것이 고려된다. 다른 실시예들에서, 홀 밀도는 y가 보다 큰 포지티브가 될 때 대수적으로, 지수적으로, 또는 S 자형 (sigmoidal) 방식으로 감소할 수도 있다. 다른 실시예들에서, y 축을 따르는 홀 밀도 변화량은 최적화 기법들 및 발생하는 이방성 이온 빔의 튜닝에 기반하여 결정될 것이고, 도 7c에 도시되지 않는 관계를 취할 수도 있다. 또한, y 축에 대한 정확한 홀 밀도 변화량은 기판의 사이즈 및 기판의 틸트 각도에 종속될 것이다. 예를 들어, 보다 현저한 홀 사이즈 변화량은 기판의 보다 큰 포지티브의 틸트를 수반하는 이온 빔 에칭 적용예들에 대해 사용될 수도 있다. 다양한 실시예들에서, 홀 밀도는 대략 10-3 홀들/mm2보다 작고 약 103 홀들/mm2보다 큰 것일 수도 있다. 도 7a, 도 7b, 또는 도 7c 중 어느 것도 스케일대로 도시되지 않았다는 것을 또한 주의해야 한다.
도 8a 내지 도 8c에 도시된 실시예들에 따라, 홀 밀도는 홀 사이즈가 y 축에서 가변되는 동안 일정하게 유지된다. 다양한 실시예들에서, 홀 사이즈와 발생하는 이온 빔 밀도 사이에 포지티브의 상관관계가 있다. 예를 들어, 보다 큰 추출 홀들은 큰 빔렛 전류들과 연관되고, 이는 보다 큰 이온 밀도를 발생시킬 것이다. 결과로서 보다 큰 추출 홀들을 갖는 그리드의 영역들은 보다 작은 추출 홀들을 갖는 그리드의 영역들보다 큰 이온 빔 밀도들을 생성할 것이고, 다른 파라미터들은 유사하게 남는다. 도 8a는 제 2 섹션 (804) 보다 작은 홀들을 갖는 제 1 섹션 (802) 을 갖는 그리드 (800) 를 도시한다. 그리드 (800) 는 제 1 섹션 (802) 과 비교하여 제 2 섹션 (804) 의 보다 큰 홀 사이즈로 인해 이온 빔의 하단 절반에서 보다 큰 이온 밀도 및 이온 빔의 상단 절반에서 보다 작은 이온 밀도를 갖는 이온 빔을 생성할 것이다.
도 8b는 제 1 섹션 (808), 제 2 섹션 (810), 및 제 3 섹션 (812) 을 갖는 그리드 (806) 를 도시한다. 홀 밀도는 세 개의 섹션들에 걸쳐 일정한 것으로 도시된다. 그러나, 제 1 섹션 (808) 은 섹션 (810) 보다 작은 홀 사이즈에 의해 규정된 것으로 도시되고, 이는 결국 제 3 섹션 (812) 보다 작은 홀 사이즈에 의해 규정된 것으로 도시된다. 결과로서, 그리드 (806) 에 의해 생성된 이온 빔이 중앙 1/3보다 낮은 이온 밀도를 갖는 상단 1/3을 가질 것이고, 이는 결국 하단 1/3보다 낮은 이온 밀도를 갖는다. 따라서, 그리드 (806) 에 의해 추출된 이온 빔은 y 방향의 이온 밀도에서 이방성일 것이고, y에서 이온 빔 에너지 밀도 변화량을 가질 것이다.
도 8c는 도 8a 및 도 8b에 적용된 원리들의 확장인 그리드 (814) 를 예시한다. 그리드 (814) 는 n 개의 섹션들로 y 축을 따라 구획되는 것으로 도시된다. n은 다양한 실시예들에 따라 대략 2 내지 약 100 이상일 수도 있는 것이 고려된다. 일부 실시예들에서, n은 104보다 클 수도 있다. n 섹션들의 섹션 각각은 y 좌표가 증가할 때 홀 사이즈가 감소하도록 상이한 홀 사이즈에 의해 규정될 수도 있다. 다양한 실시예들에서, y 좌표들이 증가할 때 감소하는 홀 사이즈 사이의 관계는, 선형 감소, 지수 감소, 대수 감소, 또는 S 자형 감소에 의해 기술될 수도 있다. 실제로, 홀 사이즈와 y 좌표 사이의 관계는 많은 요인들에 종속될 것이고, 그래프 (816) 에 도시된 관계들 중 하나를 따르지 않을 수도 있다. 상기 언급된 바와 같이, 이방성 이온 빔의 타겟 변화량은 기판의 틸트, 기판의 사이즈, 플라즈마 소스, 및 다양한 에칭 파라미터들을 포함하는 다양한 요인들에 종속될 것이다.
도 8a 내지 도 8c 중 어느 것도 스케일대로 도시되지 않았다는 것을 주목해야 한다. 대신, 도 8a 내지 도 8c는 이방성 이온 빔을 인에이블하는 이온 빔 에칭 동안 이온들을 추출하고 가속하기 위해, 그리드의 y 축을 따라 홀 사이즈를 어떻게 가변시키는지를 도시하는 예시적인 목적들을 위해 도시된다. 그리드들 (800, 806 및 814) 각각이 서로의 상단부 상에 적층되는 2 개 또는 3 개의 개별적인 그리드들을 포함할 수도 있다는 것을 또한 주의한다. 예를 들어, 앞서 언급된 그리드들 각각은, 예를 들어 전압 VB의 스크린 그리드, 전압 VA의 가속기 그리드, 및 접지의 감속기 그리드를 포함하는 것으로 해석된다. 그리드 각각은 일부 실시예들에서 홀 위치, 홀 사이즈, 및 홀 밀도 면에서 동일한 홀 프로파일을 공유할 것이다.
도 7a 내지 7c가 가변하는 홀 밀도를 갖는 일정한 홀 사이즈를 도시하고, 도 8a 내지 도 8c가 일정한 홀 밀도를 갖는 가변하는 홀 사이즈를 도시하지만, 가변하는 홀 밀도 및 가변하는 홀 사이즈는 국부적으로 규정된 이온 빔 에너지들을 갖는 목표된 이온 빔 에너지 밀도 변화량들을 생성하기 위해 조합하여 사용될 수도 있다는 것이 또한 고려된다. 예를 들어, 일부 실시예들에서, 그리드는 y가 증가할 때 홀 사이즈 및 홀 밀도 감소를 가질 수도 있지만, 홀 사이즈 또는 홀 밀도의 감소 레이트 또는 방식은 상이할 수도 있다.
도 9a 내지 도 9d는 에너지 밀도에서 이방성인 이온 빔들을 인에이블할 수도 있는 상이한 전압들이 공급되는 섹션들을 갖는 그리드들을 예시한다. 예를 들어, 그리드 (900) 는 전압 V0의 제 1 섹션 (902), 전압 V1의 제 2 섹션 (904), 및 전압 V2의 제 3 섹션 (906) 을 포함하도록 도시된다. 그리드 (900) (도 6 참조) 의 스크린 그리드의 전압을 조작함으로써 인접한 플라즈마로부터 추출된 이온들과 연관된 에너지가 제어될 수도 있다는 것이 고려된다. 상기 언급된 바와 같이, 스크린 그리드에 공급된 전압은 빔 에너지에 비례한다. 스크린 그리드의 전압이 그리드의 특정한 영역에서 증가되면, 발생하는 빔 에너지가 증가한다. 스크린 그리드 전압을 하강시키는 것은 빔 에너지를 대응하여 하강시킨다.
본 명세서에서, 이온 소스들은 발생하는 이온 빔 에너지 밀도 변화량을 제어하기 위해 전압의 국부적인 조작을 허용하는 섹션형 그리드들과 함께 사용되도록 고려된다. 일부 실시예들에서, 섹션형 그리드들은 이전 프로세싱 단계 그리고/또는 향후 프로세싱 단계에 의해 유발된 기판 피처들의 불균일성들을 정정하도록 사용될 수도 있다. 결과로서, 본 명세서에서 고려된 섹션형 그리드는 보다 균일하게 프로세싱된 (에칭된) 기판이 발생하도록 이전 또는 향후 불균일 제조 프로세스들을 방해하거나 회피하기 위해 기판 상에 의도적으로 불균일한 에칭을 유발하도록 튜닝될 수도 있다. 결과로서, 기판에 불균일한 에칭을 제공하는 그리드들이 기판에 걸쳐 보다 균일한 에칭을 제공하는 그리드들에 더하여 본 명세서에서 고려된다. 본 명세서에서 구상된 특정한 그리드들 (도 9a 내지 도 9d) 은 두 가지 모두를 행하도록 인에이블된다. 즉, 전압에 대해 국부적으로 제어 가능한 섹션형 그리드들은, 예를 들어 일 프로세싱 단계에서 기판의 평면에 걸쳐 불균일한 에칭뿐만 아니라, 상이한 단계에서 기판의 평면에 걸쳐 균일한 에칭을 전달하도록 인에이블된다. 이는 본 명세서에서 고려된 섹션형 그리드들이 섹션형 그리드의 섹션들 각각의 전압을 조작하는 능력으로 인해 단계들 간의 에너지 밀도에 대해 상이한 섹션형 프로파일들을 갖는 이온 빔들을 전달하도록 인에이블되기 때문이다.
불균일성들은 기판 상의 프로세싱의 위치 및/또는 방향 바이어스뿐만 아니라, 프로세싱 수단과 연관된 다른 바이어스들로 인한 것일 수도 있다. 또한, 불균일성들은 증착, 제거 또는 에칭 또는 밀링 (milling) 또는 스퍼터링 (sputtering), 패터닝 (patterning), 또는 다른 기판 프로세싱 동작에 관련된 프로세스들로부터 비롯될 수도 있다.
이전의 프로세싱 단계들에 의해 유발된 이전의 불균일성들에 대해, 불균일성들이 불균일성들을 보상하도록 사용되는 이온 빔 에너지 밀도의 단면 프로파일을 결정하기 위해 측정되고 그리고/또는 계측될 수도 있다는 것이 고려된다. 이러한 실시예들에서, 발생하는 이온 빔 에너지 밀도 변화량은 불균일하게 프로세싱된 기판을 보다 균일하게 만드는 것이다.
다른 실시예들에서, 본 명세서에서 고려된 섹션형 그리드들은 향후 불균일한 프로세싱 단계들에 대해 사전에 보상하기 위해 기판에 걸쳐 불균일한 에칭 레이트들을 의도적으로 생성하도록 튜닝될 수도 있다. 이들 실시예들에서, 향후 불균일성들은 향후 불균일한 프로세싱 단계들을 예방적으로 보상하기 위해 사용되는 이온 빔 에너지 밀도의 단면 프로파일을 결정하기 위해 예측될 수도 있다. 이러한 실시예들에서, 발생하는 이온 빔 에너지 밀도 변화량은 보다 불균일하게 프로세싱된 기판을 발생시키는 불균일하게 에칭된 기판으로 하여금 “의도적으로” 향후 불균일 프로세스에 매칭하게 하도록 명시된다.
따라서, 제 1 섹션 (902) 이 제 2 섹션 (904) 의 전압보다 작은 포지티브인 전압을 갖는다는 것이 고려되고, 이는 결국 제 3 섹션 (906) 의 전압보다 작은 포지티브이다 (V0<V1<V2). 발생하는 이온 빔은 y에서 빔 에너지에 대해 이방성일 것이다. 구체적으로, 발생하는 이온 빔의 단면은 보다 낮은 이온 에너지들 (예를 들어, 보다 느리게 이동하는 이온들) 을 갖는 상단 1/3, 보다 높은 이온 에너지들 (예를 들어, 보다 빠르게 이동하는 이온들) 을 갖는 하단 1/3, 및 중간 이온 에너지들 (중간 이온 속도들) 을 갖는 중앙 1/3을 가질 것이다. 결과로서, 그리드 (900) 와 같은 그리드는 틸팅된 기판들에 보다 균일한 에칭 레이트들을 제공할 수도 있는 y에서 이온 에너지들의 변화량을 갖는 이방성 이온 빔을 제공할 수도 있다. 세 개의 섹션들 (902, 904, 및 906) 각각이 서로 전기적으로 절연될 수도 있다는 것을 주의한다.
도 9b는 도 9a에서 예시된 원리들의 확장인 그리드 (908) 를 도시한다. 그리드 (908) 는 n 개의 섹션들을 포함하고, 각각은 상이한 전압이 공급될 수도 있다. 상기 언급된 바와 같이, 조작된 전압은 스크린 전압 VB일 수도 있다. 그러나, 다른 실시예들에서, 가속기 및 감속기의 전압이 스크린 전압에 더하여 또는 스크린 전압 대신 조작될 수도 있다. 도 9b에서, 섹션 각각의 전압들이 y 좌표가 증가할 때 감소한다는 것이 고려된다. 즉, V1은 V3보다 낮은 V2보다 낮아지고 (보다 작은 포지티브임), 이는 V3보다 낮고, 이는 Vn-1보다 낮고, 이는 Vn보다 낮다. n은 대략 2 내지 약 1000보다 클 수도 있다는 것이 고려된다. 전압과 y 축 간의 관계는 다수의 요인들에 종속될 것이다. 일부 실시예들에서, y 좌표가 감소할 때 전압의 상승은 비선형일 수도 있다. 다른 실시예들에서, y 축에 대한 전압의 상승은 선형 또는 유사 선형일 수도 있다.
도 9c는 방사상으로 규정된 섹션들 (912, 914, 916) 을 갖는 그리드 (910) 의 일 실시예를 도시한다. 방사상으로 규정된 섹션들 (912, 914, 916) 각각은 V0, V1, 및 V2에 대해 상이한 전압들을 가질 수도 있다. 그리드 (910) 에 의해 형성된 발생하는 이온 빔은 방사상으로 규정되는 에너지 변화량을 가질 수도 있다. 예를 들어, V0>V1>V2이면, 발생하는 이온 빔은 방사상 거리가 증가할 때 바이어싱되는 (예를 들어, 감소하는) 이온 에너지의 변화량을 가질 것이다. 예를 들어, 섹션 (912) 으로부터 비롯되는 이온 빔의 부분은 섹션 (916) 으로부터 비롯되는 이온 빔의 부분보다 큰 에너지를 가질 것이다. 반면, 그리드 (910) 에 대해 V0<V1<V2이면, 발생하는 이온 빔은 그리드 (910) 의 둘레를 향해 바이어싱되는 이온 밀도의 변화량을 가질 것이다. 이온 빔 에너지 밀도의 방사상으로 규정된 에너지 변화량들을 생성할 수 있는 그리드 (910) 와 같은 그리드들은 틸팅된 기판 및 틸팅되지 않은 기판 이온 빔 에칭 적용예들 모두에 유용할 수도 있다.
도 9d는 n 개의 섹션들의 어레이를 갖는 그리드 (918) 를 도시한다. 섹션 각각은 x 축 및 y 축 중 하나 또는 모두에 걸친 전압 변화량이 제공되도록 전압에 대해 독립적으로 제어될 수도 있다. 그리드 (918) 는 그리드의 전압이 어떻게 제어되도록 구상되었는지 더 예시한다. 임의의 특정한 영역에 대한 전압이 x 및 y에서 정교하게 제어 가능한 이온 변화량들을 갖는 이방성 이온 빔들을 생성하도록 국부적으로 제어될 수도 있다는 것이 고려된다. 섹션들의 수 n은, 다양한 실시예들에 따라 대략 2 내지 약 106 이상이도록 구상된다.
일부 실시예들에서, 섹션들의 수 n은 홀 각각이 별도로 규정 가능한 전압 (예를 들어, 스크린 전압) 을 가질 수도 있도록 추출 홀들의 수와 같을 수도 있다는 것이 고려된다. 결과로서, 추출 홀 각각으로부터 에너자이징되는 (energized) 이온 빔렛 각각에 대한 빔렛 에너지는 개별적으로 규정될 수도 있다.
상이한 전압들을 갖는 상이한 섹션들에 의해 규정된 그리드들의 사용은 상이한 홀 사이즈들 및/또는 상이한 홀 밀도들을 갖는 섹션들로 구현될 수도 있다는 것이 또한 고려된다. 예를 들어, 그리드 (908) 는 전압 Vn에 의해 규정된 섹션이 전압 Vn-1에 의해 규정된 섹션보다 큰 추출 홀 사이즈 및/또는 보다 큰 홀 밀도와 연관되는, 등으로 구현될 수도 있다. 보다 큰 홀 사이즈 및/또는 보다 큰 홀 밀도는 플라즈마에 존재하는 미리 결정된 이온이 스크린을 가로지르도록 허용 가능한 궤도를 가질 가능성을 상승시키기 위해 Vn의 보다 높은 전압을 보완할 수도 있다.
도 7a 내지 도 7c, 도 8a 내지 도 8c, 및 도 9a 내지 도 9d에 도시된 그리드 실시예들은 평면형이고, y 축에 의해 수직으로 그리고 x 축에 의해 수평으로 규정된다는 것이 고려된다. 일부 실시예들에서, 이들 그리드들의 섹션들은 이들이 그리드 시스템의 폭에 걸쳐 수평으로 연장하도록 규정될 수도 있다.
도 10은 에너지 밀도의 변화량을 갖는 이방성 이온 빔을 생성하도록 사용될 수도 있는 자기장 섹션들 B1, B2, 및 B3에 의해 제공된 섹션형 자기장을 갖는 유도적으로 커플링된 플라즈마 이온 소스의 부가적인 실시예를 예시한다. 자기장 섹션들 B1, B2, 및 B3은 자기 섹션들에 의해 국부적으로 규정되는 자기장을 생성하는 토로이달 (toroidal) 또는 반-토로이달 (half-toroidal) 필드의 일부일 수도 있다. 자기장 섹션들은 이온 소스의 플라즈마 챔버 내부, 플라즈마 챔버의 벽 내부, 또는 플라즈마 챔버의 외부에 배치될 수도 있다. 일 실시예에서, 자기장 섹션들 B1, B2, 및 B3은 플라즈마 챔버의 후면을 향해 배치될 수도 있다.
발생하는 자기장은 포지티브의 y 방향보다 네거티브의 y 방향에서 보다 크다. 결과로서, 생성된 플라즈마는 포지티브의 y 방향보다 네거티브의 y 방향에서 보다 큰 전자 밀도를 가질 수도 있다. 플라즈마가 네거티브의 y 방향에서 보다 큰 전자 밀도들로 지속되기 때문에, 발생하는 이온 빔은 마찬가지로 포지티브의 y 방향보다 네거티브의 y 방향에서 보다 큰 에너지 밀도를 가질 것이다. 결과로서, 이방성 이온 빔은 네거티브의 y 방향에서 보다 큰 에너지를 갖고, 포지티브의 y 방향에서 보다 작은 밀도를 갖게 생성될 수도 있다. B1, B2, 및 B3에 의해 생성된 섹션형 자기장은, 예를 들어 이온 소스의 네거티브의 y 방향을 향해 이온 소스 내에서 자기장을 국부적으로 향상시키기 위한 RF 코일 안테나에 더하여 구현될 수도 있다.
섹션형 자기장들이 발생하는 이온 빔 에너지 밀도 변화량을 조작하기 위해 유도적으로 커플링된 플라즈마 이온 소스의 플라즈마에 의해 경험된 자기장을 국부적으로 변경하도록 사용될 수도 있다는 것이 고려된다. 섹션형 자기장들은 이온 소스 캐비티의 특정한 영역들이 이온 소스 캐비티의 다른 영역들에 비해 특정한 영역에서 보다 큰 정도의 이온화를 생성하기 위해 보다 큰 자기장 강도를 경험하도록 위치되거나 구성될 수도 있다. 결과로서, 이온 소스는 발생하는 이온 빔의 에너지 밀도 변화량이 제어될 수도 있도록 자기장 강도를 국부적으로 조작하기 위해 섹션형 자기장들로 튜닝될 수도 있다는 것이 고려된다.
도 11a는 기판의 균일한 에칭을 달성하기 위해 전압에 대해 국부적으로 제어될 수 있는 섹션형 그리드를 사용하는 방법에 대한 전반적인 흐름을 예시한다. 방법은 기판의 하나 이상의 이전 프로세싱 동작들과 연관된 기판 프로세싱의 불균일성을 결정하기 위한 동작 (1100) 을 포함한다. 이전 프로세싱 동작들은 증착 단계, 에칭 단계, 또는 패터닝 단계, 또는 다른 기판 프로세싱 단계 중 하나 이상을 포함할 수도 있다. 방법은 불균일성을 측정하고, 불균일성을 계산하고, 또는 불균일성의 이전 측정들을 참조하는 것을 고려한다.
기판 프로세싱의 결정된 불균일성에 따라, 방법은 결정된 불균일성을 보상할 이온 빔 단면 에너지 변화량을 계산하는 동작 (1110) 을 고려한다. 예를 들어, 보다 큰 균일성을 달성하기 위해 이온 빔은 단면의 일 영역이 또 다른 영역보다 큰 이온 에너지에 의해 규정되도록 단면에 걸쳐 에너지 변화량을 가져야 한다고 결정될 수도 있다. 방법의 동작 (1120) 은 목표된 이온 빔 에너지 밀도 변화량을 달성하기 위한 섹션 그리드에 대해 전압 변화량 사양을 계산한다. 방법은 이후 이온 빔 에너지 밀도 변화량을 갖는 이온 빔을 생성하기 위해 계산된 전압 변화량 사양을 갖는 이온 소스의 섹션형 그리드를 공급하도록 역할하는, 동작 (1130) 으로 진행한다.
전압 변화량은 섹션형 그리드에 의해 특정한 목표된 전압에 대해 개별적으로 규정될 수 있는 복수의 섹션들을 갖는 것이 가능해진다. 결과로서, 이온 빔 에칭을 위한 임의의 수의 에너지 밀도 변화량들은 본 명세서에 제시된 방법들 및 시스템들에 의해 인에이블될 수도 있다. 상기 언급된 바와 같이, 특정한 실시예들에서, 섹션형 그리드 상에 홀들이 있는 것처럼 많은 전압-규정된 섹션들이 있을 수도 있다. 이들 실시예들에서, 섹션형 그리드들 상의 홀들 각각에 대한 모든 빔렛은 빔렛 에너지에 대해 개별적으로 규정 가능할 수도 있다. 이들 실시예들에서, 모든 섹션은 일 이온 추출 홀을 갖게 되고, 이로부터 발생하는 빔렛이 특정한 섹션의 전압을 규정함으로써 에너지가 개별적으로 규정될 수도 있다. 결과로서, 본 명세서에서 제시된 방법 및 시스템들은 개별적인 빔렛들에 이르기까지 빔 에너지 밀도 변화량을 제어하도록 인에이블된다.
동작 (1140) 에서, 불균일성을 갖는 기판은 동작 (1140) 의 이온 빔을 겪고, 이에 따라 이전의 단계들로부터 기판의 결정된 불균일성을 보상하거나 매칭한다. 따라서 도 11a에 도시된 방법은 발견되거나 계산된 불균일성에 기반하여 기판 불균일성을 달성하도록 인에이블된다.
도 11b는 미래 프로세싱 단계에 대해 기판 프로세싱에서 미래 불균일성을 예측하고, 미래 프로세싱 단계의 불균일성을 보상하거나 매칭시키기 위해 본 단계에 대한 이온 밀도 변화량을 예방적으로 조정할 수 있는 방법의 전반적인 흐름이다. 방법은 기판의 하나 이상의 미래 프로세싱 동작들과 연관된 기판 프로세싱 단계의 불균일성을 예측하기 위한 동작 (1150) 을 포함한다. 불균일로 예측된 기판 프로세싱 단계들은 증착, 건식 에칭 또는 습식 에칭, 밀링, 스퍼터링, 패터닝, 리소그래피 (lithography), 주입, 등에 대한 것일 수도 있다. 본 명세서에 제시된 방법들 및 시스템은 앞서 상기 언급된 임의의 프로세스들로부터 발생하는 불균일성들을 보상, 회피, 또는 매칭시키도록 인에이블된다. 예측은 이전 시퀀스들로부터 불균일성 데이터를 참조할 수도 있고, 또는 프로세싱 단계들의 시퀀스에 기반하여 발생하는 것으로 예측되는 불균일성의 타입 및 정도를 실시간으로 예측할 수도 있다. 다시, 본 명세서에서 고려된 방법들 및 시스템들은 기판 상의 피처들의 위치 바이어스 및/또는 방향 바이어스로부터 발생하는 불균일성들을 해결하기 위해 구상된다.
다음 단계에서, 동작 (1160) 은 예측된 불균일성을 보상, 매칭, 방해, 또는 회피하는 이온 빔 단면 에너지 변화량을 계산하고, 동작 (1170) 은 섹션형 그리드의 전압 섹션들 각각에 사용되는 전압 변화량 사양을 계산한다. 후속하는 동작, 동작 (1180) 은 전압 변화량을 생성하기 위해 명시된 전압을 동작 (1170) 으로부터 계산된 전압 변화량에 기반하여 섹션형 그리드의 전압 섹션들 각각에 공급한다. 이온 빔은 동작 (1160) 의 사양에 대응하는 단면에 걸쳐 에너지 밀도 변화량을 갖는 것이다. 동작 (1180) 은 이후 기판을 명시된 이온 빔을 겪게 한다. 이들 실시예들에서, 기판은 향후 불균일한 프로세스들을 회피하기 위해 의도적으로 불균일하게 이루어지는 이온 빔 에칭을 겪을 수도 있다. 결과로서, 본 명세서에서 고려된 방법들 및 시스템은 균일한 에칭을 제공하는 것에 더하여 과거 및/또는 향후 불균일한 프로세싱 단계들을 방해하기 위해 특정한 불균일한 에칭에 사용될 수도 있는 가변하는 에너지 밀도 변화량들을 사용하여 정밀하게 튜닝된 이온 빔들을 생성하도록 인에이블된다. 상기 언급된 바와 같이, 도 9a 내지 도 9d에 도시된 것들과 같은 단일 섹션형 그리드는 섹션형 그리드의 개별적으로 전압 제어된 섹션들 때문에 이들 다양한 불균일하고 균일한 에칭 단계들을 달성할 수 있다.
도 1a 및 도 1b에 도시된 것들과 같은 프로세스 시퀀스에서 반응물질의 전달, 플라즈마 프로세싱 및 다른 프로세스들을 제어하기 위한 컴퓨터 프로그램들은 임의의 종래의 컴퓨터 판독 가능 프로그래밍 언어로 작성될 수 있다: 예를 들어, 어셈블리 언어, C, C++, Pascal, Fortran 또는 다른 언어들. 컴파일링된 객체 코드 또는 스크립트는 프로그램에서 식별된 태스크들 (tasks) 을 수행하기 위해 프로세서에 의해 실행된다.
제어기 모듈 파라미터들은, 예를 들어 필터 압력 차들, 프로세스 가스 조성 및 플로우 레이트들, 온도, 압력, RF 전력 레벨들 및 저 주파수 RF 주파수와 같은 플라즈마 조건들, 냉각 가스 압력, 및 챔버 벽 온도와 같은 프로세스 조건들에 관한 것이다.
시스템 소프트웨어는 많은 상이한 방식들로 설계되거나 구성될 수도 있다. 예를 들어, 다양한 챔버 컴포넌트 서브루틴들 (subroutines) 또는 제어 객체들은 본 발명의 증착 프로세스들을 수행하기 위해 필요한 챔버 컴포넌트들의 동작을 제어하도록 작성될 수도 있다. 이러한 목적을 위한 프로그램들 또는 프로그램들의 섹션들의 예들은 기판 포지셔닝 코드, 프로세스 가스 제어 코드, 압력 제어 코드, 가열기 제어 코드, 및 플라즈마 제어 코드를 포함한다.
상기 실시예들을 염두에 두고, 실시예들이 컴퓨터 시스템들에 저장된 데이터를 수반하는 다양한 컴퓨터-구현된 동작들을 채용할 수 있다는 것이 이해되어야 한다. 이들 동작들은 물리적 양들의 물리적 조작들을 필요로 한다. 실시예들의 부분을 형성하는 본 명세서에 기술된 임의의 동작들은 유용한 머신 동작들이다. 실시예들은 또한 이들 동작들을 수행하기 위한 디바이스 또는 장치에 관한 것이다. 장치는 특수 목적 컴퓨터와 같이 요구된 목적을 위해 특수하게 구성될 수도 있다. 특수 목적 컴퓨터로서 규정될 때, 컴퓨터는 특수 목적의 일부가 아닌 다른 프로세싱, 프로그램 실행 또는 루틴들을 또한 수행할 수 있지만, 여전히 특수 목적을 위해 동작할 수 있다. 대안적으로, 동작들은 컴퓨터 메모리, 캐시 내에 저장되거나 네트워크를 통해 획득된 하나 이상의 컴퓨터 프로그램들에 의해 선택적으로 활성화되거나 구성된 범용 컴퓨터에 의해 프로세싱될 수도 있다. 네트워크를 통해 데이터가 획득될 때 데이터는 네트워크 상의 다른 컴퓨터들, 예를 들어 컴퓨팅 리소스들의 클라우드에 의해 프로세싱될 수도 있다.
하나 이상의 실시예들은 또한 컴퓨터 판독가능 매체 상의 컴퓨터 판독가능 코드로서 제조될 수 있다. 컴퓨터 판독가능 매체는 컴퓨터 시스템에 의해 이후 판독될 수 있는 데이터를 저장할 수 있는 임의의 데이터 저장 디바이스이다. 컴퓨터 판독가능 매체의 예들은 하드 드라이브들, NAS (Network Attached Storage), ROM (Read Only Memory), RAM (Random Access Memory), CD-ROM들, CD-R들, CD-RW들, 자기 테이프들 및 다른 광학 그리고 비광학 데이터 저장 디바이스들을 포함한다. 컴퓨터 판독가능 매체는 컴퓨터 판독가능 코드가 분산된 방식으로 저장되고 실행되도록 네트워크 커플링된 컴퓨터 시스템을 통해 분산된 컴퓨터 판독가능 유형 (tangible) 매체를 포함할 수 있다.
방법 동작들이 특정한 순서로 기술되었지만, 다른 하우스키핑 (housekeeping) 동작들이 동작들 간에 수행될 수도 있고, 또는 약간 상이한 시간에 발생하도록 동작들이 조정될 수도 있고, 또는 오버레이 동작들의 프로세싱이 목표된 방식으로 수행되는 한, 프로세싱과 연관된 다양한 인터벌들로 프로세싱 동작들의 발생을 허용하는 시스템에 분산될 수도 있다는 것이 이해되어야 한다.
전술한 실시예들이 이해의 명확성의 목적들을 위해 다소 상세하게 기술되었지만, 개시된 실시예들의 범위 내에서 특정한 변경들 및 수정들이 실시될 수도 있는 것이 명백할 것이다. 본 실시예들의 프로세스들, 시스템들 및 장치를 구현하는 많은 대안적인 방식들이 있다는 것에 주의해야 한다. 따라서, 본 실시예들은 예시적이고, 제한적이지 않은 것으로 간주될 것이며, 실시예들은 본 명세서에 주어진 세부사항들로 한정되지 않는다.

Claims (22)

  1. 기판을 프로세싱하기 위한 시스템에 있어서,
    챔버;
    상기 챔버 내에 배치된 척 어셈블리로서,
    기판 지지부,
    상기 기판 지지부를 틸팅하기 (tilting) 위한 틸트 어셈블리, 및
    상기 기판 지지부를 회전시키기 위한 회전 어셈블리를 포함하는, 상기 척 어셈블리;
    상기 챔버에 커플링되고 상기 척 어셈블리의 상기 기판 지지부를 향해 지향성으로 배향되는 이온 소스 (source) 로서, 상기 이온 소스는 플라즈마가 스트라이킹될 때 이온들을 생성하도록 구성되는, 상기 이온 소스; 및
    일 측면 상에서 상기 챔버와 인터페이싱하고 또 다른 측면 상에서 상기 이온 소스와 인터페이싱하는 그리드 (grid) 시스템으로서, 상기 그리드 시스템은 상기 이온 소스로부터 이온들을 추출하고 이온 빔을 형성하기 위한 홀들의 어레이 (array) 를 포함하고, 상기 그리드 시스템은 상기 이온 빔이 상기 기판 지지부를 향해 상기 챔버 내로 지향되도록 배향되고, 상기 그리드 시스템의 상기 홀들의 어레이는 y 축에 의해 수직으로 그리고 x 축에 의해 수평으로 규정되고, 상기 홀들의 어레이는 상기 이온 빔으로 하여금 상기 y 축에서 수직으로 규정되는 에너지 밀도 변화량 (gradient) 을 갖게 하도록, 상기 y 축에서 수직으로 가변하는 홀 사이즈들에 의해 규정되는, 상기 그리드 시스템을 포함하는, 기판을 프로세싱하기 위한 시스템.
  2. 제 1 항에 있어서,
    상기 홀들의 어레이는 상기 이온 빔이 수평으로 가변하지 않는 에너지 밀도에 의해 특징지어지도록, 상기 x 축에서 수평으로 가변하지 않는 홀 사이즈에 의해 더 규정되는, 기판을 프로세싱하기 위한 시스템.
  3. 제 1 항에 있어서,
    상기 홀들의 어레이의 상기 홀 사이즈는 상기 이온 빔이 y 좌표가 보다 큰 포지티브 (positive) 가 될 때 감소하는 에너지 밀도에 의해 특징지어지도록, 상기 y 좌표가 보다 큰 포지티브가 될 때 감소하도록 규정되는, 기판을 프로세싱하기 위한 시스템.
  4. 제 1 항에 있어서,
    상기 그리드 시스템은 상기 그리드 시스템의 폭에 걸쳐 수평으로 연장하는 복수의 섹션들에 의해 규정되고, 상기 복수의 섹션들은 상기 그리드 시스템을 수직으로 분할하며, 섹션 각각은 상기 섹션 각각 내의 복수의 홀들에 대한 홀 사이즈를 규정하는, 기판을 프로세싱하기 위한 시스템.
  5. 제 4 항에 있어서,
    상기 그리드 시스템은 제 1 섹션 및 제 2 섹션에 의해 규정되고, 상기 제 1 섹션은 상기 제 2 섹션보다 상기 y 축에서 보다 큰 포지티브이고, 상기 제 1 섹션은 제 1 복수의 홀들을 규정하며 상기 제 2 섹션은 제 2 복수의 홀들을 규정하고, 상기 제 2 복수의 홀들은 상기 제 1 복수의 홀들보다 사이즈가 크도록 규정되는, 기판을 프로세싱하기 위한 시스템.
  6. 제 4 항에 있어서,
    상기 복수의 섹션들은 보다 큰 포지티브가 되는 상기 복수의 섹션들 각각과 연관된 y 좌표가 감소하는 각각의 홀 사이즈들을 규정하는, 기판을 프로세싱하기 위한 시스템.
  7. 제 1 항에 있어서,
    상기 기판 지지부는 상기 기판 지지부의 제 1 영역이 상기 기판 지지부의 제 2 영역보다 상기 그리드 시스템에 보다 가깝도록 틸팅되고, 상기 기판 지지부의 상기 제 1 영역 및 상기 제 2 영역은 수직으로 가변하는 홀 사이즈들에 의해 규정된 상기 홀들의 어레이에 의해 적어도 부분적으로 유발된 상기 이온 빔의 유사한 에너지 밀도를 경험하는, 기판을 프로세싱하기 위한 시스템.
  8. 제 1 항에 있어서,
    상기 이온 소스는 상기 플라즈마가 네거티브 (negative) y 방향으로 보다 높은 전극 밀도, 및 포지티브 y 방향으로 보다 낮은 전극 밀도에 의해 특징지어지도록 자기장 변화량을 유도하는 섹션형 (sectional) 자성 시스템을 더 포함하는, 기판을 프로세싱하기 위한 시스템.
  9. 기판을 프로세싱하기 위한 시스템에 있어서,
    챔버;
    상기 챔버 내에 배치된 척 어셈블리로서,
    기판 지지부,
    상기 기판 지지부를 틸팅하기 위한 틸트 어셈블리, 및
    상기 기판 지지부를 회전시키기 위한 회전 어셈블리를 포함하는, 상기 척 어셈블리;
    상기 챔버에 커플링되고 상기 척 어셈블리의 상기 기판 지지부를 향해 지향성으로 배향되는 이온 소스로서, 상기 이온 소스는 플라즈마가 스트라이킹될 때 이온들을 생성하도록 구성되는, 상기 이온 소스; 및
    일 측면 상에서 상기 챔버와 인터페이싱하고 또 다른 측면 상에서 상기 이온 소스와 인터페이싱하는 그리드 시스템으로서, 상기 그리드 시스템은 상기 이온 소스로부터 이온들을 추출하고 이온 빔을 형성하기 위한 홀들의 어레이를 포함하고, 상기 그리드 시스템은 상기 이온 빔이 상기 기판 지지부를 향해 상기 챔버 내로 지향되도록 배향되고, 상기 그리드 시스템의 상기 홀들의 어레이는 y 축에 의해 수직으로 그리고 x 축에 의해 수평으로 규정되고, 상기 홀들의 어레이는 상기 이온 빔으로 하여금 상기 y 축에서 수직으로 규정되는 에너지 밀도 변화량을 갖게 하도록, 상기 y 축에서 수직으로 가변하는 홀 밀도들에 의해 규정되는, 상기 그리드 시스템을 포함하는, 기판을 프로세싱하기 위한 시스템.
  10. 제 9 항에 있어서,
    상기 홀들의 어레이는 상기 이온 빔이 수평으로 가변하지 않는 에너지 밀도에 의해 특징지어지도록, 상기 x 축에서 수평으로 가변하지 않는 홀 밀도에 의해 더 규정되는, 기판을 프로세싱하기 위한 시스템.
  11. 제 9 항에 있어서,
    상기 홀들의 어레이의 상기 홀 밀도는 상기 이온 빔이 y 좌표가 보다 큰 포지티브가 될 때 감소하는 에너지 밀도에 의해 특징지어지도록, 상기 y 좌표가 보다 큰 포지티브가 될 때 감소하도록 규정되는, 기판을 프로세싱하기 위한 시스템.
  12. 제 9 항에 있어서,
    상기 그리드 시스템은 상기 그리드 시스템의 폭에 걸쳐 수평으로 연장하는 복수의 섹션들에 의해 규정되고, 상기 복수의 섹션들은 상기 그리드 시스템을 수직으로 분할하며, 섹션 각각은 상기 섹션 각각 내의 복수의 홀들에 대한 홀 밀도를 규정하는, 기판을 프로세싱하기 위한 시스템.
  13. 제 12 항에 있어서,
    상기 그리드 시스템은 제 1 섹션 및 제 2 섹션에 의해 규정되고, 상기 제 1 섹션은 상기 제 2 섹션보다 상기 y 축에서 보다 큰 포지티브이고, 상기 제 1 섹션은 제 1 복수의 홀들을 규정하며 상기 제 2 섹션은 제 2 복수의 홀들을 규정하고, 상기 제 2 복수의 홀들은 상기 제 1 복수의 홀들보다 상기 그리드 시스템에서 보다 큰 밀도를 갖도록 규정되는, 기판을 프로세싱하기 위한 시스템.
  14. 제 12 항에 있어서,
    상기 복수의 섹션들은 보다 큰 포지티브가 되는 상기 복수의 섹션들 각각과 연관된 y 좌표가 감소하는 각각의 홀 밀도들을 규정하는, 기판을 프로세싱하기 위한 시스템.
  15. 제 9 항에 있어서,
    상기 기판 지지부는 상기 기판 지지부의 제 1 영역이 상기 기판 지지부의 제 2 영역보다 상기 그리드 시스템에 보다 가깝도록 틸팅되고, 상기 기판 지지부의 상기 제 1 영역 및 상기 제 2 영역은 수직으로 가변하는 홀 밀도들에 의해 규정된 상기 홀들의 어레이에 의해 적어도 부분적으로 유발된 상기 이온 빔의 유사한 에너지 밀도를 경험하는, 기판을 프로세싱하기 위한 시스템.
  16. 제 9 항에 있어서,
    상기 이온 소스는 상기 플라즈마가 네거티브 y 방향의 보다 높은 전극 밀도, 및 포지티브 y 방향의 보다 낮은 전극 밀도에 의해 특징지어지도록 자기장 변화량을 유도하는 섹션형 자성 시스템을 더 포함하는, 기판을 프로세싱하기 위한 시스템.
  17. 기판을 프로세싱하기 위한 시스템에 있어서,
    챔버;
    상기 챔버 내에 배치된 척 어셈블리로서,
    기판 지지부,
    상기 기판 지지부를 틸팅하기 위한 틸트 어셈블리, 및
    상기 기판 지지부를 회전시키기 위한 회전 어셈블리를 포함하는, 상기 척 어셈블리;
    상기 챔버에 커플링되고 상기 척 어셈블리의 상기 기판 지지부를 향해 지향성으로 배향되는 이온 소스로서, 상기 이온 소스는 플라즈마가 스트라이킹될 때 이온들을 생성하도록 구성되는, 상기 이온 소스; 및
    일 측면 상에서 상기 챔버와 인터페이싱하고 또 다른 측면 상에서 상기 이온 소스와 인터페이싱하는 그리드 시스템으로서, 상기 그리드 시스템은 상기 이온 소스로부터 이온들을 추출하고 이온 빔을 형성하기 위한 홀들의 어레이를 포함하고, 상기 그리드 시스템은 상기 이온 빔이 상기 기판 지지부를 향해 상기 챔버 내로 지향되도록 배향되고, 상기 그리드 시스템은 전압에 대해 개별적으로 제어되는 복수의 섹션들에 의해 규정되고, 상기 이온 빔의 에너지 밀도는 상기 그리드 시스템의 상기 복수의 섹션들의 각각의 전압들에 의해 규정되는, 기판을 프로세싱하기 위한 시스템.
  18. 제 17 항에 있어서,
    상기 그리드 시스템은 y 축에 의해 수직으로 그리고 x 축에 의해 수평으로 규정되며, 상기 복수의 섹션들의 상기 각각의 전압들은 전압 변화량이 상기 그리드 시스템에 대해 생성되도록 상기 섹션들 각각과 연관된 y 좌표가 증가함에 따라 보다 작은 포지티브가 되게 되고, 상기 그리드 시스템의 상기 전압 변화량은 또한 상기 y 좌표가 증가할 때 상기 이온 빔의 상기 에너지 밀도로 하여금 감소하게 하는, 기판을 프로세싱하기 위한 시스템.
  19. 제 18 항에 있어서,
    상기 기판 지지부는 상기 기판 지지부의 제 1 영역이 상기 기판 지지부의 제 2 영역보다 상기 그리드 시스템에 보다 가깝도록 틸팅되고, 상기 기판 지지부의 상기 제 1 영역 및 상기 제 2 영역은 상기 그리드 시스템의 전압 변화량에 의해 적어도 부분적으로 유발된 상기 이온 빔의 유사한 에너지 밀도를 경험하는, 기판을 프로세싱하기 위한 시스템.
  20. 제 17 항에 있어서,
    상기 복수의 섹션들 각각에 대한 상기 각각의 전압들은 실시간으로 개별적으로 제어되는, 기판을 프로세싱하기 위한 시스템.
  21. 제 17 항에 있어서,
    상기 그리드 시스템은 홀 각각이 전압에 대해 개별적으로 규정 가능하도록, 상기 홀들의 어레이의 홀 각각이 상기 복수의 섹션들의 개별 섹션과 연관되도록 구성되는, 기판을 프로세싱하기 위한 시스템.
  22. 제 21 항에 있어서,
    상기 홀 각각은 각각의 빔렛 (beamlet) 과 연관되고, 상기 각각의 빔렛 각각은 빔렛 에너지에 대해 개별적으로 규정 가능한, 기판을 프로세싱하기 위한 시스템.
KR1020207016294A 2017-11-10 2018-11-02 이방성 패턴 에칭 및 처리를 위한 방법 및 장치 KR102623685B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US15/809,957 2017-11-10
US15/809,957 US20190148109A1 (en) 2017-11-10 2017-11-10 Method and Apparatus for Anisotropic Pattern Etching and Treatment
PCT/US2018/059084 WO2019094304A1 (en) 2017-11-10 2018-11-02 Method and apparatus for anisotropic pattern etching and treatment

Publications (2)

Publication Number Publication Date
KR20200072556A true KR20200072556A (ko) 2020-06-22
KR102623685B1 KR102623685B1 (ko) 2024-01-10

Family

ID=66431343

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020207016294A KR102623685B1 (ko) 2017-11-10 2018-11-02 이방성 패턴 에칭 및 처리를 위한 방법 및 장치

Country Status (5)

Country Link
US (2) US20190148109A1 (ko)
JP (2) JP7254791B2 (ko)
KR (1) KR102623685B1 (ko)
CN (1) CN111566793A (ko)
WO (1) WO2019094304A1 (ko)

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102595297B1 (ko) * 2018-02-23 2023-10-31 삼성전자주식회사 미세 패턴 형성 방법
US11227741B2 (en) * 2018-05-03 2022-01-18 Plasma-Therm Nes Llc Scanning ion beam etch
GB2582242A (en) * 2018-11-30 2020-09-23 Oxford Instruments Nanotechnology Tools Ltd Charged particle beam source, surface processing apparatus and surface processing method
US11640909B2 (en) * 2018-12-14 2023-05-02 Applied Materials, Inc. Techniques and apparatus for unidirectional hole elongation using angled ion beams
KR20210064085A (ko) * 2019-11-25 2021-06-02 김동진 균일 두께 코팅을 위한 이온원을 포함하는 이온 빔 보조증착 시스템
CN111463107B (zh) * 2020-04-07 2023-04-28 北京晶亦精微科技股份有限公司 一种晶圆清洗设备
US11487058B2 (en) 2020-08-13 2022-11-01 Applied Materials, Inc. Method for manufacturing optical device structures
CN112435957A (zh) * 2020-11-19 2021-03-02 长江存储科技有限责任公司 半导体器件及其制作方法
US20230031722A1 (en) * 2021-07-23 2023-02-02 Taiwan Semiconductor Manufacturing Co., Ltd. Voltage Control for Etching Systems
CN115410893A (zh) * 2022-09-28 2022-11-29 北京金派尔电子技术开发有限公司 干法刻蚀装置和方法

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050001177A1 (en) * 2003-07-01 2005-01-06 International Business Machines Corporation Apparatus and method for forming alignment layers
JP2005116865A (ja) * 2003-10-09 2005-04-28 Canon Inc イオンミリング装置およびイオンミリング方法
KR20160113326A (ko) * 2012-11-02 2016-09-28 캐논 아네르바 가부시키가이샤 반도체 장치의 제조 방법, 이온빔 에칭 장치 및 제어 장치
KR20170036479A (ko) * 2015-09-24 2017-04-03 삼성전자주식회사 이온 빔 에칭 장치

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5248371A (en) * 1992-08-13 1993-09-28 General Signal Corporation Hollow-anode glow discharge apparatus
KR100484949B1 (ko) * 2002-12-27 2005-04-22 엘지.필립스 엘시디 주식회사 배향막을 형성하기 위한 이온빔 조사 장치
KR100454846B1 (ko) * 2002-12-27 2004-11-03 엘지.필립스 엘시디 주식회사 배향막을 형성하기 위한 이온 빔 조사 장치
US9206500B2 (en) * 2003-08-11 2015-12-08 Boris Druz Method and apparatus for surface processing of a substrate using an energetic particle beam
KR100868019B1 (ko) * 2007-01-30 2008-11-10 삼성전자주식회사 플라즈마 쉬쓰 제어기를 갖는 이온 빔 장치
US20170140953A1 (en) * 2008-10-02 2017-05-18 Plasma-Therm Nes Llc Systems and methods for ion beam etching
JP5233012B2 (ja) * 2008-10-03 2013-07-10 日新イオン機器株式会社 イオン注入装置
JP5487302B2 (ja) * 2010-11-30 2014-05-07 キヤノンアネルバ株式会社 プラズマ処理装置
US9142386B2 (en) * 2013-03-15 2015-09-22 Nissin Ion Equipment Co., Ltd. Ion beam line
TWI690968B (zh) * 2014-03-07 2020-04-11 美商應用材料股份有限公司 用於修改基板表面的掠射角電漿處理
KR20170028495A (ko) * 2015-09-03 2017-03-14 삼성전자주식회사 척의 틸팅이 가능한 척 어셈블리 및 이를 갖는 반도체 제조장치
GB2582242A (en) * 2018-11-30 2020-09-23 Oxford Instruments Nanotechnology Tools Ltd Charged particle beam source, surface processing apparatus and surface processing method

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050001177A1 (en) * 2003-07-01 2005-01-06 International Business Machines Corporation Apparatus and method for forming alignment layers
JP2005116865A (ja) * 2003-10-09 2005-04-28 Canon Inc イオンミリング装置およびイオンミリング方法
KR20160113326A (ko) * 2012-11-02 2016-09-28 캐논 아네르바 가부시키가이샤 반도체 장치의 제조 방법, 이온빔 에칭 장치 및 제어 장치
KR20170036479A (ko) * 2015-09-24 2017-04-03 삼성전자주식회사 이온 빔 에칭 장치

Also Published As

Publication number Publication date
US20210151290A1 (en) 2021-05-20
WO2019094304A1 (en) 2019-05-16
JP2023082089A (ja) 2023-06-13
US20190148109A1 (en) 2019-05-16
KR102623685B1 (ko) 2024-01-10
JP7254791B2 (ja) 2023-04-10
JP2021502674A (ja) 2021-01-28
CN111566793A (zh) 2020-08-21

Similar Documents

Publication Publication Date Title
KR102623685B1 (ko) 이방성 패턴 에칭 및 처리를 위한 방법 및 장치
KR100706809B1 (ko) 이온 빔 조절 장치 및 그 방법
US8664098B2 (en) Plasma processing apparatus
US20090084757A1 (en) Uniformity control for ion beam assisted etching
JP5172668B2 (ja) イオンビーム角度処理制御技術
KR102309941B1 (ko) 피처리체를 처리하는 방법
KR102311575B1 (ko) 피처리체를 처리하는 방법
KR20110134493A (ko) 플라즈마 처리 장치
US9136096B2 (en) Three dimensional metal deposition technique
EP3660882B1 (en) Charged particle beam source, surface processing apparatus and surface processing method
KR102455239B1 (ko) 플라즈마 처리 장치 및 그를 이용한 반도체 소자의 제조방법
US20080200015A1 (en) Multi-step plasma doping with improved dose control
WO2009045722A1 (en) Two-diemensional uniformity correction for ion beam assisted etching
US20160111254A1 (en) Workpiece Processing Method And Apparatus
US11361935B2 (en) Apparatus and system including high angle extraction optics
US8877654B2 (en) Pulsed plasma to affect conformal processing
US11257662B2 (en) Annular member, plasma processing apparatus and plasma etching method
US20240105432A1 (en) Profile twisting control in dielectric etch
US20230274911A1 (en) Adjustable geometry trim coil
KR102644783B1 (ko) 빔 프로세싱 시스템에서 빔 스캔 크기 및 빔 위치를 사용하여 높은 처리량을 위한 방법
US20180122670A1 (en) Removable substrate plane structure ring

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant