KR20200039827A - Selective in-situ cleaning of high-K films from processing chamber using reactive gas precursor - Google Patents

Selective in-situ cleaning of high-K films from processing chamber using reactive gas precursor Download PDF

Info

Publication number
KR20200039827A
KR20200039827A KR1020207010087A KR20207010087A KR20200039827A KR 20200039827 A KR20200039827 A KR 20200039827A KR 1020207010087 A KR1020207010087 A KR 1020207010087A KR 20207010087 A KR20207010087 A KR 20207010087A KR 20200039827 A KR20200039827 A KR 20200039827A
Authority
KR
South Korea
Prior art keywords
processing chamber
cleaning
reactive species
substrate
dielectric material
Prior art date
Application number
KR1020207010087A
Other languages
Korean (ko)
Inventor
유지아 자이
라이 차오
씨앙씬 루이
임동길
원태경
최수영
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US15/700,671 external-priority patent/US20180347037A1/en
Priority claimed from US16/007,876 external-priority patent/US20180345330A1/en
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Priority to KR1020227039605A priority Critical patent/KR20220156674A/en
Publication of KR20200039827A publication Critical patent/KR20200039827A/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/405Oxides of refractory metals or yttrium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02181Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing hafnium, e.g. HfO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02189Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing zirconium, e.g. ZrO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins

Abstract

일 구현에서, 프로세싱 챔버를 세정하기 위한 방법이 제공된다. 방법은, 프로세싱 챔버 안으로 반응성 종을 유입시키는 단계를 포함하고, 프로세싱 챔버는 프로세싱 챔버의 하나 이상의 내부 표면들 상에 형성된 잔류 하이-k 유전체 재료를 갖는다. 반응성 종은 할로겐-함유 가스 혼합물로부터 형성되며, 하나 이상의 내부 표면들은, 상부에 형성된 코팅 재료를 갖는 적어도 하나의 표면을 포함한다. 방법은, 휘발성 생성물을 형성하도록 반응성 종과 잔류 하이-k 유전체 재료를 반응시키는 단계를 더 포함한다. 방법은, 프로세싱 챔버로부터 휘발성 생성물을 제거하는 단계를 더 포함한다. 잔류 하이-k 유전체 재료의 제거 레이트는 코팅 재료의 제거 레이트를 초과한다. 하이-k 유전체 재료는 지르코늄 디옥사이드(ZrO2) 및 하프늄 디옥사이드(HfO2)로부터 선택된다. 코팅 재료는 알루미나(Al2O3), 이트륨-함유 화합물들 및 이들의 조합들로부터 선택되는 화합물을 포함한다.In one implementation, a method for cleaning a processing chamber is provided. The method includes introducing a reactive species into the processing chamber, the processing chamber having residual high-k dielectric material formed on one or more inner surfaces of the processing chamber. The reactive species is formed from a halogen-containing gas mixture, and the one or more inner surfaces include at least one surface with a coating material formed thereon. The method further includes reacting the reactive species with the residual high-k dielectric material to form a volatile product. The method further includes removing volatile products from the processing chamber. The removal rate of residual high-k dielectric material exceeds the removal rate of the coating material. The high-k dielectric material is selected from zirconium dioxide (ZrO 2 ) and hafnium dioxide (HfO 2 ). The coating material includes a compound selected from alumina (Al 2 O 3 ), yttrium-containing compounds and combinations thereof.

Description

반응성 가스 전구체를 사용한 프로세싱 챔버로부터의 하이-K 막들의 선택적 인-시튜 세정Selective in-situ cleaning of high-K films from processing chamber using reactive gas precursor

[0001] 본원에서 설명된 구현들은 일반적으로, 기판-프로세싱 챔버의 하나 이상의 내부 표면들로부터 원치 않는 증착 빌드업(buildup)의 인-시튜(in-situ) 제거를 위한 방법들 및 장치에 관한 것이다.[0001] The implementations described herein generally relate to methods and apparatus for in-situ removal of unwanted deposition buildups from one or more internal surfaces of a substrate-processing chamber.

[0002] 디스플레이 디바이스들은 다양한 전자 애플리케이션들, 이를테면, TV들, 모니터들, 모바일 폰들, MP3 플레이어들, e-북 리더들, PDA(personal digital assistant)들 등에 널리 사용되어왔다. 디스플레이 디바이스는 일반적으로, 2 개의 기판들(예컨대, 픽셀 전극 및 공통 전극) 사이의 갭을 충전하며 그리고 유전체 필드의 세기를 제어하는 이방성 유전 상수를 갖는 액정에 전기장을 적용함으로써, 이미지를 생성하도록 설계된다. 기판들을 통해 투과되는 광량을 조정함으로써, 광 및 이미지 세기, 품질 및 전력 소비가 효율적으로 제어될 수 있다.[0002] Display devices have been widely used in various electronic applications, such as TVs, monitors, mobile phones, MP3 players, e-book readers, personal digital assistants (PDAs), and the like. Display devices are generally designed to create an image by filling the gap between two substrates (eg, pixel electrode and common electrode) and applying an electric field to a liquid crystal having an anisotropic dielectric constant that controls the strength of the dielectric field. do. By adjusting the amount of light transmitted through the substrates, light and image intensity, quality and power consumption can be efficiently controlled.

[0003] 다양한 상이한 디스플레이 디바이스들, 이를테면, AMLCD(active matrix liquid crystal display) 또는 AMOLED(active matrix organic light emitting diode)들이 디스플레이용 광 소스들로서 이용될 수 있다. 디스플레이 디바이스들의 제조에서, 높은 전자 이동도, 낮은 누설 전류 및 높은 항복 전압을 갖는 전자 디바이스는 광 투과 및 회로 통합을 위해 더 넓은 픽셀 영역을 허용하여서, 더 밝은 디스플레이, 더 높은 전체 전기 효율, 더 빠른 응답 시간 및 더 높은 해상도의 디스플레이들을 야기할 것이다. 디바이스에 형성된 재료 층들, 이를테면, 불순물들 또는 낮은 막 밀도들을 갖는 유전체 층의 낮은 막 품질들은 종종, 디바이스들의 불량한 디바이스 전기 성능 및 짧은 서비스 수명을 야기한다. 따라서, TFT 및 OLED 디바이스들 내에 막 층들을 형성하여 통합하기 위한 안정되고 신뢰성 있는 방법이, 더 낮은 임계 전압 시프트 및 개선된 전체 성능을 갖는 전자 디바이스들을 제조할 때 사용하기 위해 낮은 막 누설 및 높은 항복 전압을 갖는 디바이스 구조를 제공하는 데 중요해진다.[0003] Various different display devices, such as active matrix liquid crystal display (AMLCD) or active matrix organic light emitting diode (AMOLED) can be used as light sources for the display. In the manufacture of display devices, electronic devices with high electron mobility, low leakage current and high breakdown voltage allow a wider pixel area for light transmission and circuit integration, resulting in a brighter display, higher overall electrical efficiency, faster It will result in response times and higher resolution displays. The low film qualities of the material layers formed in the device, such as impurities or dielectric layers with low film densities, often lead to poor device electrical performance and short service life of the devices. Thus, a stable and reliable method for forming and integrating film layers in TFT and OLED devices has low film leakage and high yield for use in manufacturing electronic devices with lower threshold voltage shifts and improved overall performance. It becomes important to provide a device structure with voltage.

[0004] 특히, 금속 전극 층과 인근 절연 재료들 사이의 계면 관리가 중요해지는데, 그 이유는 금속 전극 층과 인근 절연 재료 사이의 계면의 부적절한 재료 선택은 불리하게, 원치 않는 요소들이 인접 재료들 안으로 확산되게 할 수 있으며, 이는 궁극적으로 전류 쇼트(short), 전류 누설 또는 디바이스 장애(failure)로 이어질 수 있기 때문이다. 또한, 상이한 더 높은 유전 상수를 갖는 절연 재료들은 종종, 디바이스 구조들에 상이한 커패시턴스를 제공하는 것과 같이 상이한 전기 성능을 제공한다. 절연 재료들의 재료의 선택이 디바이스의 전기 성능에 영향을 줄 뿐만 아니라, 전극들에 대한 절연 재료들의 재료의 비호환성이 또한, 막 구조 필링(peeling), 불량한 계면 점착 또는 계면 재료 확산을 야기할 수 있으며, 이는 궁극적으로 디바이스 장애 및 낮은 제품 수율로 이어질 수 있다.[0004] In particular, interfacial management between the metal electrode layer and nearby insulating materials becomes important because improper material selection of the interface between the metal electrode layer and nearby insulating materials adversely causes unwanted elements to diffuse into adjacent materials. This is because it can ultimately lead to current shorts, current leakage or device failures. In addition, insulating materials with different higher dielectric constants often provide different electrical performance, such as providing different capacitances to device structures. Not only does the choice of materials of insulating materials affect the electrical performance of the device, but also the incompatibility of the materials of insulating materials to the electrodes can also cause film structure peeling, poor interfacial adhesion or interfacial material diffusion. Device, which can ultimately lead to device failures and low product yields.

[0005] 일부 디바이스들에서는, 디스플레이 디바이스들이 동작중일 때 전하들을 저장하도록 커패시터들(예컨대, 2 개의 전극들 사이에 유전체 층이 배치됨)이 종종 활용 및 형성된다. 형성된 커패시터는 디스플레이 디바이스들을 위한 높은 커패시턴스를 가질 필요가 있다. 커패시턴스는, 전극들 사이에 형성된 유전체 층의 유전체 재료 및 치수들, 및/또는 유전체 층의 두께를 변화시킴으로써 조정될 수 있다. 예컨대, 유전체 층이 더 높은 유전 상수를 갖는 재료(예컨대, 지르코늄 옥사이드)로 대체될 때, 커패시터의 커패시턴스도 또한 증가할 것이다.[0005] In some devices, capacitors (eg, a dielectric layer is disposed between two electrodes) are often utilized and formed to store charges when the display devices are in operation. The formed capacitor needs to have a high capacitance for display devices. The capacitance can be adjusted by changing the dielectric material and dimensions of the dielectric layer formed between the electrodes, and / or the thickness of the dielectric layer. For example, when the dielectric layer is replaced with a material having a higher dielectric constant (eg, zirconium oxide), the capacitance of the capacitor will also increase.

[0006] 디스플레이 디바이스들에 대한 해상도 요건이 점점 더 난제가 됨에 따라, 예컨대, 디스플레이 해상도가 2,000 PPI(pixels per inch)를 초과함에 따라, 디스플레이 디바이스들은 전기 성능을 증가시키기 위해 커패시터들을 형성하기 위한 제한된 영역을 갖는다. 따라서, 디스플레이 디바이스들에 형성된 커패시터를 비교적 작은 영역을 갖는 한정된 위치에 유지하는 것이 중요해졌다. 더 높은 높은 상수("하이-k") 유전체 재료들(예컨대, 지르코늄 옥사이드 및 하프늄 옥사이드)이 더 높은 해상도의 디스플레이 디바이스들을 가능하게 하는 것으로 밝혀졌다. 그러나, 하이-k 유전체 재료들의 증착은 기판으로 제한되지 않으며, 종종 프로세싱 챔버의 내부 전체에 걸쳐 잔류 막을 형성한다. 그러한 원치 않는 잔류 증착은 종종, 챔버 내에 입자들 및 박편(flake)들을 생성하여서, 프로세스 조건들의 드리프트를 야기하며, 이는 프로세스 재현성 및 균일성에 영향을 준다.[0006] As the resolution requirements for display devices become more and more challenging, for example, as display resolution exceeds 2,000 pixels per inch (PPI), display devices have a limited area to form capacitors to increase electrical performance. . Therefore, it has become important to keep the capacitors formed in the display devices in a limited position with a relatively small area. It has been found that higher high constant (“high-k”) dielectric materials (eg, zirconium oxide and hafnium oxide) enable higher resolution display devices. However, deposition of high-k dielectric materials is not limited to a substrate, and often forms a residual film throughout the interior of the processing chamber. Such unwanted residual deposition often creates particles and flakes in the chamber, causing drift of process conditions, which affects process reproducibility and uniformity.

[0007] 생산을 위한 소유 비용을 감소시키고 막 품질을 유지하면서 높은 챔버 이용가능성을 달성하기 위하여, 프로세스 키트들, 예컨대, 샤워헤드 등을 포함하여, 프로세싱 챔버의 내부 표면들로부터 잔류 막 잔류물을 제거하도록 챔버 세정이 수행된다. 유감스럽게도, 가장 잘 알려진 세정 기법들, 이를테면, 플루오린-함유 플라즈마들은 하이-k 유전체 재료들을 제거할 수 없거나, 또는 너무 가혹(harsh)해서 이들은 챔버 구성요소들을 손상시킨다. 따라서, 하이-k 유전체 재료들에 대한 실행가능한 인-시튜 세정 기법들은 현재 이용가능하지 않다. 현재, 지르코늄 옥사이드는 엑스-시튜(ex-situ) 세정 프로세스들을 사용하여 프로세싱 챔버들로부터 제거되고, 이러한 엑스-시튜 세정 프로세스들에서는, 생산이 정지되고, 프로세싱 챔버가 개방되며, 그리고 챔버 부품들이 세정을 위해 제거되고 습식-세정 프로세스들을 사용하여 세정된다.[0007] Chambers to remove residual film residues from the interior surfaces of the processing chamber, including process kits, eg showerheads, etc., to achieve high chamber availability while reducing cost of ownership for production and maintaining membrane quality Cleaning is performed. Unfortunately, the most well-known cleaning techniques, such as fluorine-containing plasmas, are unable to remove high-k dielectric materials, or are too harsh to damage chamber components. Thus, viable in-situ cleaning techniques for high-k dielectric materials are not currently available. Currently, zirconium oxide is removed from the processing chambers using ex-situ cleaning processes, in which the production is stopped, the processing chamber is opened, and the chamber parts are cleaned And removed using wet-cleaning processes.

[0008] 그러므로, 기판-프로세싱 챔버들로부터 원치 않는 하이-k 유전체 재료 증착물들의 인-시튜 제거를 위한 방법들에 대한 필요가 존재한다.[0008] Therefore, a need exists for methods for in-situ removal of unwanted high-k dielectric material deposits from substrate-processing chambers.

[0009] 본원에서 설명된 구현들은 일반적으로, 기판-프로세싱 챔버의 하나 이상의 내부 표면들로부터 원치 않는 증착 빌드업의 인-시튜 제거를 위한 방법들 및 장치에 관한 것이다. 일 구현에서, 프로세싱 챔버를 세정하기 위한 방법이 제공된다. 방법은, 프로세싱 챔버 안으로 반응성 종을 유입시키는 단계를 포함하고, 프로세싱 챔버는 프로세싱 챔버의 하나 이상의 내부 표면들 상에 형성된 잔류 하이-k 유전체 재료를 갖는다. 반응성 종은 할로겐-함유 가스 혼합물로부터 형성된다. 하나 이상의 내부 표면들은 적어도 하나의 스테인레스 스틸 표면을 포함한다. 방법은, 휘발성 생성물을 형성하도록 반응성 종과 잔류 하이-k 유전체 재료를 반응시키는 단계를 더 포함한다. 방법은, 프로세싱 챔버로부터 휘발성 생성물을 제거하는 단계를 더 포함한다. 잔류 하이-k 유전체 재료의 제거 레이트는 스테인레스 스틸의 제거 레이트를 초과한다. 하이-k 유전체 재료는 지르코늄 디옥사이드(ZrO2) 및 하프늄 디옥사이드(HfO2)로부터 선택된다.[0009] Implementations described herein generally relate to methods and apparatus for in-situ removal of unwanted deposition buildups from one or more internal surfaces of a substrate-processing chamber. In one implementation, a method for cleaning a processing chamber is provided. The method includes introducing a reactive species into the processing chamber, the processing chamber having residual high-k dielectric material formed on one or more inner surfaces of the processing chamber. Reactive species are formed from halogen-containing gas mixtures. The one or more inner surfaces include at least one stainless steel surface. The method further includes reacting the reactive species with the residual high-k dielectric material to form a volatile product. The method further includes removing volatile products from the processing chamber. The removal rate of residual high-k dielectric material exceeds that of stainless steel. The high-k dielectric material is selected from zirconium dioxide (ZrO 2 ) and hafnium dioxide (HfO 2 ).

[0010] 다른 구현에서, 프로세싱 챔버를 세정하기 위한 방법이 제공된다. 방법은, 프로세싱 챔버의 하나 이상의 내부 표면들 및 기판-프로세싱 챔버에 배치된 기판 상에 하이-k 유전체 재료를 증착하는 단계를 포함한다. 방법은, 기판-프로세싱 챔버의 밖으로 기판을 이송하는 단계를 더 포함한다. 방법은, 프로세싱 챔버 안으로 반응성 종을 유입시키는 단계를 더 포함하고, 프로세싱 챔버는 프로세싱 챔버의 하나 이상의 내부 표면들 상에 형성된 잔류 하이-k 유전체 재료를 갖는다. 반응성 종은 할로겐-함유 가스 혼합물로부터 형성되며, 하나 이상의 내부 표면들은, 상부에 형성된 코팅 재료를 갖는 적어도 하나의 표면 및 적어도 하나의 스테인레스 스틸 표면을 포함한다. 방법은, 휘발성 생성물을 형성하도록 반응성 종과 잔류 하이-k 유전체 재료를 반응시키는 단계를 더 포함한다. 방법은, 프로세싱 챔버로부터 휘발성 생성물을 제거하는 단계를 더 포함하며, 잔류 하이-k 유전체 재료의 제거 레이트는 코팅 재료의 제거 레이트 및 스테인레스 스틸의 제거 레이트를 초과한다. 하이-k 유전체 재료는 지르코늄 디옥사이드(ZrO2) 및 하프늄 디옥사이드(HfO2)로부터 선택된다. 코팅 재료는 알루미나(Al2O3), 이트륨-함유 화합물들 및 이들의 조합들로부터 선택되는 화합물을 포함한다.[0010] In another implementation, a method for cleaning a processing chamber is provided. The method includes depositing a high-k dielectric material on a substrate disposed in the substrate-processing chamber and one or more inner surfaces of the processing chamber. The method further includes transferring the substrate out of the substrate-processing chamber. The method further includes introducing a reactive species into the processing chamber, the processing chamber having a residual high-k dielectric material formed on one or more inner surfaces of the processing chamber. The reactive species is formed from a halogen-containing gas mixture, and the one or more inner surfaces include at least one surface and at least one stainless steel surface with a coating material formed thereon. The method further includes reacting the reactive species with the residual high-k dielectric material to form a volatile product. The method further includes removing volatile products from the processing chamber, wherein the removal rate of residual high-k dielectric material exceeds the removal rate of the coating material and the removal rate of stainless steel. The high-k dielectric material is selected from zirconium dioxide (ZrO 2 ) and hafnium dioxide (HfO 2 ). The coating material includes a compound selected from alumina (Al 2 O 3 ), yttrium-containing compounds and combinations thereof.

[0011] 또 다른 구현에서, 프로세싱 챔버를 세정하기 위한 방법이 제공된다. 방법은, 프로세싱 챔버와 유체적으로 커플링된 원격 플라즈마 소스 안으로 할로겐-함유 세정 가스 혼합물을 유동시키는 단계를 포함한다. 방법은, 할로겐-함유 세정 가스 혼합물로부터 반응성 종을 형성하는 단계를 더 포함한다. 방법은, 프로세싱 챔버 안으로 반응성 종을 운반하는 단계를 더 포함한다. 프로세싱 챔버는 프로세싱 챔버의 하나 이상의 내부 표면들 상에 형성된 잔류 하이-k 유전체 재료를 갖는다. 하나 이상의 내부 표면들은, 상부에 형성된 코팅 재료를 갖는 적어도 하나의 표면 및 적어도 하나의 스테인레스 스틸 표면을 포함한다. 방법은, 가스 상태의 생성물을 형성하도록 반응성 종이 잔류 하이-k 유전체 재료와 반응할 수 있게 하는 단계를 더 포함한다. 방법은, 프로세싱 챔버의 밖으로 가스 상태의 생성물을 퍼징하는 단계를 더 포함한다. 하이-k 유전체 재료는 지르코늄 디옥사이드(ZrO2) 및 하프늄 디옥사이드(HfO2)로부터 선택된다. 코팅 재료는 알루미나(Al2O3), 이트륨-함유 화합물들 및 이들의 조합들로부터 선택되는 화합물을 포함한다.[0011] In another implementation, a method for cleaning a processing chamber is provided. The method includes flowing a halogen-containing cleaning gas mixture into a remote plasma source fluidly coupled to the processing chamber. The method further includes forming reactive species from the halogen-containing cleaning gas mixture. The method further includes transporting the reactive species into the processing chamber. The processing chamber has a residual high-k dielectric material formed on one or more interior surfaces of the processing chamber. The one or more inner surfaces include at least one surface and at least one stainless steel surface having a coating material formed thereon. The method further includes allowing the reactive species to react with the residual high-k dielectric material to form a gaseous product. The method further includes purging the gaseous product out of the processing chamber. The high-k dielectric material is selected from zirconium dioxide (ZrO 2 ) and hafnium dioxide (HfO 2 ). The coating material includes a compound selected from alumina (Al 2 O 3 ), yttrium-containing compounds and combinations thereof.

[0012] 또 다른 구현에서, 프로세싱 챔버를 세정하기 위한 방법이 제공된다. 방법은, 프로세싱 챔버 안으로 반응성 종을 유입시키는 단계를 포함하고, 프로세싱 챔버는 프로세싱 챔버의 하나 이상의 내부 표면들 상에 형성된 잔류 ZrO2 함유 막을 갖는다. 반응성 종은 BCl3로 형성되고, 하나 이상의 내부 표면들은 적어도 하나의 노출된 Al2O3 표면을 포함한다. 방법은, 휘발성 생성물을 형성하도록 반응성 종과 잔류 ZrO2 함유 막을 반응시키는 단계를 더 포함한다. 방법은, 프로세싱 챔버로부터 휘발성 생성물을 제거하는 단계를 더 포함하며, 잔류 ZrO2 함유 막의 제거 레이트는 Al2O3의 제거 레이트를 초과한다.[0012] In another implementation, a method for cleaning a processing chamber is provided. The method includes introducing a reactive species into the processing chamber, the processing chamber having a residual ZrO 2 containing film formed on one or more inner surfaces of the processing chamber. The reactive species is formed of BCl 3 and the one or more inner surfaces include at least one exposed Al 2 O 3 surface. The method further includes reacting the reactive species with the residual ZrO 2 containing film to form a volatile product. The method further includes removing volatile products from the processing chamber, wherein the removal rate of the residual ZrO 2 containing film exceeds the removal rate of Al 2 O 3 .

[0013] 또 다른 구현에서, 프로세싱 챔버를 세정하기 위한 방법이 제공된다. 방법은, 프로세싱 챔버의 하나 이상의 내부 표면 및 기판-프로세싱 챔버에 배치된 기판 상에 ZrO2 함유 막을 증착하는 단계를 포함한다. 방법은, 기판-프로세싱 챔버의 밖으로 기판을 이송하는 단계를 더 포함한다. 방법은, 프로세싱 챔버 안으로 반응성 종을 유입시키는 단계를 더 포함하고, 프로세싱 챔버는 프로세싱 챔버의 하나 이상의 내부 표면들 상에 형성된 잔류 ZrO2 함유 막을 갖는다. 반응성 종은 BCl3로 형성되고, 하나 이상의 내부 표면들은 적어도 하나의 노출된 Al2O3 표면을 포함한다. 방법은, 휘발성 생성물을 형성하도록 반응성 종과 잔류 ZrO2 함유 막을 반응시키는 단계를 더 포함한다. 방법은, 프로세싱 챔버로부터 휘발성 생성물을 제거하는 단계를 더 포함하며, 잔류 ZrO2 함유 막의 제거 레이트는 Al2O3의 제거 레이트를 초과한다.[0013] In another implementation, a method for cleaning a processing chamber is provided. The method includes depositing a ZrO 2 containing film on one or more internal surfaces of the processing chamber and a substrate disposed in the substrate-processing chamber. The method further includes transferring the substrate out of the substrate-processing chamber. The method further includes introducing a reactive species into the processing chamber, the processing chamber having a residual ZrO 2 containing film formed on one or more inner surfaces of the processing chamber. The reactive species is formed of BCl 3 and the one or more inner surfaces include at least one exposed Al 2 O 3 surface. The method further includes reacting the reactive species with the residual ZrO 2 containing film to form a volatile product. The method further includes removing volatile products from the processing chamber, wherein the removal rate of the residual ZrO 2 containing film exceeds the removal rate of Al 2 O 3 .

[0014] 또 다른 구현에서, 프로세싱 챔버를 세정하기 위한 방법이 제공된다. 방법은, 프로세싱 챔버와 유체적으로 커플링된 원격 플라즈마 소스 안으로 보론 트리클로라이드(BCl3) 함유 세정 가스 혼합물을 유동시키는 단계를 포함한다. 방법은, BCl3 함유 세정 가스 혼합물로부터 반응성 종을 형성하는 단계를 더 포함한다. 방법은, 프로세싱 챔버 안으로 반응성 종을 운반하는 단계를 더 포함한다. 프로세싱 챔버는 프로세싱 챔버의 하나 이상의 내부 표면들 상에 형성된 잔류 ZrO2 함유 막을 갖고, 하나 이상의 내부 표면들은 적어도 하나의 노출된 Al2O3 표면을 포함한다. 방법은, 가스 상태의 지르코늄 클로라이드를 형성하도록 반응성 종이 잔류 ZrO2 함유 막과 반응할 수 있게 하는 단계를 더 포함한다. 방법은, 프로세싱 챔버의 밖으로 가스 상태의 지르코늄 클로라이드를 퍼징하는 단계를 더 포함한다.[0014] In another implementation, a method for cleaning a processing chamber is provided. The method includes flowing a boron trichloride (BCl 3 ) containing cleaning gas mixture into a remote plasma source fluidly coupled to the processing chamber. The method further includes forming reactive species from the BCl 3 containing cleaning gas mixture. The method further includes transporting the reactive species into the processing chamber. The processing chamber has a residual ZrO 2 containing film formed on one or more inner surfaces of the processing chamber, and the one or more inner surfaces include at least one exposed Al 2 O 3 surface. The method further includes allowing the reactive species to react with the residual ZrO 2 containing film to form gaseous zirconium chloride. The method further includes purging the gaseous zirconium chloride out of the processing chamber.

[0015] 또 다른 구현에서, 프로세싱 챔버를 세정하기 위한 방법이 제공된다. 방법은, 프로세싱 챔버 안으로 반응성 종을 유입시키는 단계를 포함하고, 프로세싱 챔버는 프로세싱 챔버의 하나 이상의 내부 표면들 상에 형성된 잔류 하이-k 유전체 재료를 갖는다. 반응성 종은 할로겐-함유 가스 혼합물로부터 형성되며, 하나 이상의 내부 표면들은, 상부에 형성된 코팅 재료를 갖는 적어도 하나의 표면을 포함한다. 방법은, 휘발성 생성물을 형성하도록 반응성 종과 잔류 하이-k 유전체 재료를 반응시키는 단계를 더 포함한다. 방법은, 프로세싱 챔버로부터 휘발성 생성물을 제거하는 단계를 더 포함한다. 잔류 하이-k 유전체 재료의 제거 레이트는 코팅 재료의 제거 레이트를 초과한다. 하이-k 유전체 재료는 지르코늄 디옥사이드(ZrO2) 및 하프늄 디옥사이드(HfO2)로부터 선택된다. 코팅 재료는 알루미나(Al2O3), 이트륨-함유 화합물들 및 이들의 조합들로부터 선택되는 화합물을 포함한다.[0015] In another implementation, a method for cleaning a processing chamber is provided. The method includes introducing a reactive species into the processing chamber, the processing chamber having residual high-k dielectric material formed on one or more inner surfaces of the processing chamber. The reactive species is formed from a halogen-containing gas mixture, and the one or more inner surfaces include at least one surface with a coating material formed thereon. The method further includes reacting the reactive species with the residual high-k dielectric material to form a volatile product. The method further includes removing volatile products from the processing chamber. The removal rate of residual high-k dielectric material exceeds the removal rate of the coating material. The high-k dielectric material is selected from zirconium dioxide (ZrO 2 ) and hafnium dioxide (HfO 2 ). The coating material includes a compound selected from alumina (Al 2 O 3 ), yttrium-containing compounds and combinations thereof.

[0016] 또 다른 구현에서, 프로세싱 챔버를 세정하기 위한 방법이 제공된다. 방법은, 프로세싱 챔버의 하나 이상의 내부 표면들 및 기판-프로세싱 챔버에 배치된 기판 상에 하이-k 유전체 재료를 증착하는 단계를 포함한다. 방법은, 기판-프로세싱 챔버의 밖으로 기판을 이송하는 단계를 더 포함한다. 방법은, 프로세싱 챔버 안으로 반응성 종을 유입시키는 단계를 더 포함하고, 프로세싱 챔버는 프로세싱 챔버의 하나 이상의 내부 표면들 상에 형성된 잔류 하이-k 유전체 재료를 갖는다. 반응성 종은 할로겐-함유 가스 혼합물로부터 형성되며, 하나 이상의 내부 표면들은, 상부에 형성된 코팅 재료를 갖는 적어도 하나의 표면을 포함한다. 방법은, 휘발성 생성물을 형성하도록 반응성 종과 잔류 하이-k 유전체 재료를 반응시키는 단계를 더 포함한다. 방법은, 프로세싱 챔버로부터 휘발성 생성물을 제거하는 단계를 더 포함한다. 잔류 하이-k 유전체 재료의 제거 레이트는 코팅 재료의 제거 레이트를 초과한다. 하이-k 유전체 재료는 지르코늄 디옥사이드(ZrO2) 및 하프늄 디옥사이드(HfO2)로부터 선택된다. 코팅 재료는 알루미나(Al2O3), 이트륨-함유 화합물들 및 이들의 조합들로부터 선택되는 화합물을 포함한다.[0016] In another implementation, a method for cleaning a processing chamber is provided. The method includes depositing a high-k dielectric material on a substrate disposed in the substrate-processing chamber and one or more inner surfaces of the processing chamber. The method further includes transferring the substrate out of the substrate-processing chamber. The method further includes introducing a reactive species into the processing chamber, the processing chamber having a residual high-k dielectric material formed on one or more inner surfaces of the processing chamber. The reactive species is formed from a halogen-containing gas mixture, and the one or more inner surfaces include at least one surface with a coating material formed thereon. The method further includes reacting the reactive species with the residual high-k dielectric material to form a volatile product. The method further includes removing volatile products from the processing chamber. The removal rate of residual high-k dielectric material exceeds the removal rate of the coating material. The high-k dielectric material is selected from zirconium dioxide (ZrO 2 ) and hafnium dioxide (HfO 2 ). The coating material includes a compound selected from alumina (Al 2 O 3 ), yttrium-containing compounds and combinations thereof.

[0017] 또 다른 구현에서, 프로세싱 챔버를 세정하기 위한 방법이 제공된다. 방법은, 프로세싱 챔버와 유체적으로 커플링된 원격 플라즈마 소스 안으로 할로겐-함유 세정 가스 혼합물을 유동시키는 단계를 포함한다. 방법은, 할로겐-함유 세정 가스 혼합물로부터 반응성 종을 형성하는 단계를 더 포함한다. 방법은, 프로세싱 챔버 안으로 반응성 종을 운반하는 단계를 더 포함한다. 프로세싱 챔버는 프로세싱 챔버의 하나 이상의 내부 표면들 상에 형성된 잔류 하이-k 유전체 재료를 갖는다. 하나 이상의 내부 표면들은, 상부에 형성된 코팅 재료를 갖는 적어도 하나의 표면을 포함한다. 방법은, 가스 상태의 생성물을 형성하도록 반응성 종이 잔류 하이-k 유전체 재료와 반응할 수 있게 하는 단계를 더 포함한다. 방법은, 프로세싱 챔버의 밖으로 가스 상태의 생성물을 퍼징하는 단계를 더 포함한다. 하이-k 유전체 재료는 지르코늄 디옥사이드(ZrO2) 및 하프늄 디옥사이드(HfO2)로부터 선택된다. 코팅 재료는 알루미나(Al2O3), 이트륨-함유 화합물들 및 이들의 조합들로부터 선택되는 화합물을 포함한다.[0017] In another implementation, a method for cleaning a processing chamber is provided. The method includes flowing a halogen-containing cleaning gas mixture into a remote plasma source fluidly coupled to the processing chamber. The method further includes forming reactive species from the halogen-containing cleaning gas mixture. The method further includes transporting the reactive species into the processing chamber. The processing chamber has a residual high-k dielectric material formed on one or more interior surfaces of the processing chamber. The one or more inner surfaces include at least one surface having a coating material formed thereon. The method further includes allowing the reactive species to react with the residual high-k dielectric material to form a gaseous product. The method further includes purging the gaseous product out of the processing chamber. The high-k dielectric material is selected from zirconium dioxide (ZrO 2 ) and hafnium dioxide (HfO 2 ). The coating material includes a compound selected from alumina (Al 2 O 3 ), yttrium-containing compounds and combinations thereof.

[0018] 본 개시내용의 위에서 언급된 특징들이 상세히 이해될 수 있는 방식으로, 위에서 간략히 요약된 구현들의 더욱 특정한 설명은 구현들을 참조함으로써 이루어질 수 있으며, 이러한 구현들 중 일부가 첨부된 도면들에서 예시된다. 그러나, 첨부된 도면들은 본 개시내용의 단지 통상적인 구현들을 예시하며 그러므로 본 개시내용의 범위를 제한하는 것으로 간주되지 않아야 한다는 것이 주목되어야 하는데, 이는 본 개시내용이 다른 균등하게 유효한 구현들을 허용할 수 있기 때문이다.
[0019] 도 1a는 본 개시내용의 하나 이상의 구현들에 따른, 세정 프로세스들로부터 이익을 얻을 수 있는 프로세싱 챔버의 단면도를 도시하고;
[0020] 도 1b는 본 개시내용의 하나 이상의 구현들을 사용하여 제거될 수 있는, 하나 이상의 내부 표면들 상에 형성된 잔류 하이-k 유전체 재료들을 갖는, 도 1a의 프로세싱 챔버의 단면도를 도시하고;
[0021] 도 2는 프로세싱 챔버로부터 하이-k 유전체 재료들을 제거하기 위해 사용될 수 있는 방법의 일 구현의 프로세스 흐름 다이어그램을 도시하며; 그리고
[0022] 도 3은 프로세싱 챔버로부터 하이-k 유전체 재료들을 제거하기 위해 사용될 수 있는 방법의 다른 구현의 프로세스 흐름 다이어그램을 도시한다.
[0023] 이해를 용이하게 하기 위해, 가능한 경우, 도면들에 공통인 동일한 요소들을 표기하기 위해 동일한 참조 부호들이 사용되었다. 하나의 구현의 요소들 및 특징들이 추가적인 언급 없이 다른 구현들에 유익하게 통합될 수 있다는 것이 고려된다.
In a manner in which the above-mentioned features of the present disclosure can be understood in detail, a more specific description of implementations summarized above may be made by reference to implementations, some of which are illustrated in the accompanying drawings. do. It should be noted, however, that the accompanying drawings illustrate only typical implementations of the present disclosure and therefore should not be regarded as limiting the scope of the present disclosure, which may allow other equally valid implementations. Because there is.
1A shows a cross-sectional view of a processing chamber that may benefit from cleaning processes, in accordance with one or more implementations of the present disclosure;
1B shows a cross-sectional view of the processing chamber of FIG. 1A, with residual high-k dielectric materials formed on one or more inner surfaces, which can be removed using one or more implementations of the present disclosure;
[0021] FIG. 2 shows a process flow diagram of one implementation of a method that can be used to remove high-k dielectric materials from a processing chamber; And
[0022] FIG. 3 shows a process flow diagram of another implementation of a method that can be used to remove high-k dielectric materials from a processing chamber.
To facilitate understanding, the same reference numerals have been used, where possible, to denote the same elements common to the figures. It is contemplated that elements and features of one implementation may be beneficially incorporated into other implementations without further recitation.

[0024] 다음의 개시내용은, 기판-프로세싱 챔버로부터 잔류 하이-k 유전체 재료들의 인-시튜 제거를 위한 기법들을 설명한다. 본 개시내용의 다양한 구현들에 대한 완전한 이해를 제공하기 위해 다음의 설명 및 도면들에서 특정 세부사항들이 제시된다. 플라즈마 세정과 종종 연관되는 잘 알려진 구조들 및 시스템들을 설명하는 다른 세부사항들은, 다양한 구현들의 설명을 불필요하게 모호하게 하는 것을 회피하기 위해 다음의 개시내용에서 제시되지 않는다.[0024] The following disclosure describes techniques for in-situ removal of residual high-k dielectric materials from a substrate-processing chamber. Specific details are set forth in the following description and drawings to provide a thorough understanding of various implementations of the present disclosure. Other details describing well-known structures and systems often associated with plasma cleaning are not presented in the following disclosure to avoid unnecessarily obscuring the description of various implementations.

[0025] 도면들에서 도시된 많은 세부사항들, 치수들, 각도들 및 다른 특징들은 단지 특정 구현들에 대해 예시적이다. 이에 따라서, 다른 구현들은 본 개시내용의 사상 또는 범위를 벗어나지 않고 다른 세부사항들, 구성요소들, 치수들, 각도들 및 특징들을 가질 수 있다. 부가하여, 본 개시내용의 추가적인 구현들은 아래에서 설명된 여러 세부사항들 없이 실시될 수 있다.[0025] Many of the details, dimensions, angles and other features shown in the figures are illustrative only for specific implementations. Accordingly, other implementations may have other details, components, dimensions, angles and features without departing from the spirit or scope of the present disclosure. In addition, additional implementations of the present disclosure may be practiced without the various details described below.

[0026] 본원에서 설명된 구현들은 임의의 적절한 박막 증착 시스템을 사용하여 수행될 수 있는 하이-k 유전체 증착 프로세스를 참조하여 아래에서 설명될 것이다. 그러한 시스템의 일 예는 캘리포니아주 산타 클라라의 Applied Materials, Inc.로부터 상업적으로 입수가능한, 기판 크기 3000 mm x 3000 mm 이상의 크기의 기판들에 적절한 AKT-90K PECVD 시스템이다. 그러한 시스템의 다른 예는 캘리포니아주 산타 클라라의 Applied Materials, Inc.로부터 상업적으로 입수가능한, 기판 크기 1850 mm x 1500 mm 이상의 크기의 기판들에 적절한 AKT-25K PECVD 시스템 또는 AKT-25K ALD 시스템이다. 하이-k 유전체 증착 프로세스들을 수행할 수 있는 다른 툴들이 또한, 본원에서 설명된 구현들로부터 이익을 얻도록 적응될 수 있다. 부가하여, 본원에서 설명된 하이-k 유전체 증착 프로세스들을 가능하게 하는 임의의 시스템이 유리하게 사용될 수 있다. 본원에서 설명된 장치는 예시적이며, 본원에서 설명된 구현들의 범위를 제한하는 것으로서 이해되거나 또는 해석되어서는 안된다.[0026] The implementations described herein will be described below with reference to a high-k dielectric deposition process that can be performed using any suitable thin film deposition system. One example of such a system is an AKT-90K PECVD system suitable for substrates of substrate size 3000 mm x 3000 mm or more commercially available from Applied Materials, Inc. of Santa Clara, California. Another example of such a system is the AKT-25K PECVD system or AKT-25K ALD system suitable for substrates of substrate size 1850 mm x 1500 mm or larger, commercially available from Applied Materials, Inc. of Santa Clara, California. Other tools that can perform high-k dielectric deposition processes can also be adapted to benefit from the implementations described herein. In addition, any system that enables the high-k dielectric deposition processes described herein can be advantageously used. The apparatus described herein is exemplary and should not be understood or interpreted as limiting the scope of the implementations described herein.

[0027] 본 개시내용의 구현들은 일반적으로, 프로세싱 챔버들로부터 하이-k 유전체 재료들, 이를테면, ZrO2 및 HfO2의 인-시튜 제거에 관한 것이다. 프로세싱 챔버들은, 고-해상도 디스플레이 백-플레인 TFT 회로들의 제작에 활용되는 PECVD, ALD 또는 다른 프로세싱 챔버들을 포함(그러나, 이에 제한되지는 않음)한다. ZrO2 및 HfO2는, 반도체 산업에서 그리고 잠재적으로 평판 디스플레이 산업에서 고-해상도 디스플레이 디바이스들, 이를테면, VR(Virtual Reality) 디바이스들을 가능하게 하기 위해 현재 사용되는 하이-k 유전체 재료들이다. ZrO2 및 HfO2와 같은 하이-k 재료들은 고-해상도 디스플레이 디바이스들(예컨대, "PPI(pixels per inch)" > 2000)을 가능하게 하는 데 중요하다. 현재, 해상도를 증가시키기 위해서는, 전체 픽셀 영역이 줆어듦에 따라, 픽셀 회로에서 저장 커패시터의 영역이 감소될 필요가 있다. 동일한 커패시턴스를 달성하기 위해, 저장 커패시터들에서 사용되는 현재의 유전체 층들(예컨대, SiN, 유전 상수(k) ~ 7)은 하이-k 유전체 재료들, 이를테면, k > 20을 갖는 ZrO2 및 k > 25를 갖는 HfO2로 대체되고 있다. 디스플레이 애플리케이션들에서 하이-k 유전체 재료들을 가능하게 하기 위한 하나의 인자는, 입자들을 감소시키고 수율을 개선시키기 위한, 프로세싱 챔버로부터의 잔류 하이-k 유전체 재료들의 효율적인 제거이다.[0027] Implementations of the present disclosure generally relate to in-situ removal of high-k dielectric materials, such as ZrO 2 and HfO 2 , from processing chambers. Processing chambers include, but are not limited to, PECVD, ALD or other processing chambers utilized in the fabrication of high-resolution display back-plane TFT circuits. ZrO 2 and HfO 2 are high-k dielectric materials currently used to enable high-resolution display devices, such as Virtual Reality (VR) devices, in the semiconductor industry and potentially in the flat panel display industry. High-k materials such as ZrO 2 and HfO 2 are important to enable high-resolution display devices (eg “pixels per inch (PPI)”> 2000). Currently, in order to increase the resolution, as the entire pixel area is subtracted, the area of the storage capacitor in the pixel circuit needs to be reduced. To achieve the same capacitance, current dielectric layers used in storage capacitors (e.g., SiN, dielectric constant (k) ~ 7) are high-k dielectric materials, such as ZrO 2 and k>k>20> It is being replaced by HfO 2 with 25. One factor for enabling high-k dielectric materials in display applications is efficient removal of residual high-k dielectric materials from the processing chamber to reduce particles and improve yield.

[0028] 통상적으로, 하이-k 유전체 재료들의 증착은 기판으로 제한되지 않으며, 챔버 전체에 걸쳐 잔류 막을 형성한다. 이 잔류 막이 입자 형성, 균일성 저하 및 가스 유입구 막힘을 유발하여서, 수율 손실 및 증가된 소유 비용으로 이어질 수 있다. 챔버 벽 또는 다른 챔버 구성요소들 상의 원치 않는 잔류 막을 제거하기 위한 하나의 방법은, 여러 증착 사이클들 후에 주기적으로 챔버를 분해하고 용액 또는 용매로 막들을 제거하는 것이다. 챔버를 분해하고 구성요소들을 세정하며 챔버를 재-조립하는 것은 상당한 시간이 걸리며, 툴의 가동 시간에 상당히 영향을 준다. 다른 접근법은 RF(radio frequency) 에너지의 적용에 의한 반응성 가스들의 여기 및/또는 해리를 촉진하기 위해 플라즈마를 적용하는 것이다. 플라즈마는, 원치 않는 잔류 재료와 반응하고 이러한 원치 않는 잔류 재료를 에칭하는, 고도로 반응성인 종을 포함한다. 예컨대, NF3 플라즈마는 디스플레이 산업에서 프로세싱 챔버들로부터 SiOx 및 SiNx 막들을 제거하기 위해 널리 사용된다. 그러나, NF3 플라즈마는 종종, 잔류 하이-k 유전체 재료들을 에칭할 수 없다.[0028] Typically, deposition of high-k dielectric materials is not limited to a substrate, but forms a residual film throughout the chamber. This residual film can lead to particle formation, reduced uniformity and clogging of the gas inlet, leading to yield loss and increased cost of ownership. One method for removing unwanted residual film on the chamber wall or other chamber components is to periodically disassemble the chamber after several deposition cycles and remove the films with solution or solvent. Disassembly of the chamber, cleaning of the components and re-assembly of the chamber take considerable time and significantly affect the uptime of the tool. Another approach is to apply plasma to promote excitation and / or dissociation of reactive gases by application of radio frequency (RF) energy. Plasma contains highly reactive species that react with unwanted residual materials and etch these unwanted residual materials. For example, NF 3 plasma is widely used in the display industry to remove SiO x and SiN x films from processing chambers. However, NF 3 plasma often cannot etch residual high-k dielectric materials.

[0029] 본 개시내용의 구현들은 챔버 세정 프로세스, 및 현재 하드웨어 재료들의 수정 둘 모두를 포함한다. 본 개시내용의 일부 구현들은, 할로겐-함유 가스 혼합물로부터 형성된 반응성 종을 프로세싱 챔버 안으로 유입시켜 잔류 하이-k 유전체 재료와 반응시킴으로써, 프로세싱 챔버로부터 잔류 하이-k 유전체 재료들을 효과적으로 제거한다. 반응성 종은 (예컨대, 프로세싱 챔버 내부에 형성되는) 인-시튜 플라즈마 또는 (예컨대, 원격 플라즈마 소스를 통해 형성되는) 엑스-시튜 플라즈마로서 생성될 수 있다. 플라즈마의 생성은 유도-결합 플라즈마(ICP; inductive-coupled plasma), 용량-결합 플라즈마(CCP; capacitive-coupled plasma), 원격 플라즈마 소스(RPS; remote plasma source) 또는 마이크로파 플라즈마(그러나, 이에 제한되지는 않음)일 수 있다.[0029] Implementations of the present disclosure include both a chamber cleaning process and a modification of current hardware materials. Some implementations of the present disclosure effectively remove residual high-k dielectric materials from the processing chamber by introducing reactive species formed from a halogen-containing gas mixture into the processing chamber and reacting with the residual high-k dielectric material. The reactive species can be generated as an in-situ plasma (eg, formed inside a processing chamber) or an ex-situ plasma (eg, formed through a remote plasma source). The generation of plasma may include, but is not limited to, inductive-coupled plasma (ICP), capacitive-coupled plasma (CCP), remote plasma source (RPS) or microwave plasma. Not).

[0030] 본 개시내용의 일부 구현들에서, 할로겐-함유 가스 혼합물을 프로세싱 챔버 안으로 유동시키고, 그런 다음, 프로세싱 챔버에 플라즈마를 형성하도록 할로겐-함유 가스 혼합물을 여기 및/또는 해리시킴으로써, 잔류 하이-k 유전체 재료들이 제거된다. 할로겐-함유 가스 혼합물로부터의 여기된 자유 라디칼들은 챔버 바디로부터 잔류 하이-k 유전체 재료들을 에칭한다. 할로겐-함유 가스 혼합물의 플라즈마는 하이-k 유전체 재료 및 알루미늄을 에칭하지만, 통상적으로는, 부가적인 바이어스가 적용되지 않으면, 코팅 재료(예컨대, Al2O3)를 에칭하지 않거나 또는 최소로 에칭한다. 따라서, 본 개시내용의 일부 구현들에서, 얇은 코팅 재료가 세정 프로세스 동안 알루미늄 챔버 구성요소들을 보호한다. 코팅 재료는 임의의 적절한 프로세스를 사용하여 적용될 수 있다. 일부 구현들에서, 코팅 재료는 표면 양극처리(anodization) 프로세스, 플라즈마 스프레이 코팅 프로세스 또는 열 스프레이 코팅 프로세스에 의해 적용된다. 코팅 재료를 제거할 필요가 있으면, 코팅 재료의 에칭을 가능하게 하기 위해, 프로세스 동안 할로겐-함유 가스 혼합물의 플라즈마에 부가적인 바이어스가 적용될 수 있다. 따라서, 할로겐-함유 가스 혼합물은, 플라즈마 조건들에 따라, 코팅 재료에 대해 하이-k 유전체 재료를 선택적으로 제거하거나 또는 하이-k 유전체 재료 및 코팅 재료 둘 모두를 제거하기 위해 사용될 수 있다.[0030] In some implementations of the present disclosure, residual hi- is generated by flowing a halogen-containing gas mixture into a processing chamber, and then exciting and / or dissociating the halogen-containing gas mixture to form a plasma in the processing chamber. k Dielectric materials are removed. The excited free radicals from the halogen-containing gas mixture etch residual high-k dielectric materials from the chamber body. The plasma of the halogen-containing gas mixture etches the high-k dielectric material and aluminum, but typically does not etch or minimally etch the coating material (eg, Al 2 O 3 ), unless additional bias is applied. . Thus, in some implementations of the present disclosure, a thin coating material protects the aluminum chamber components during the cleaning process. The coating material can be applied using any suitable process. In some implementations, the coating material is applied by a surface anodization process, plasma spray coating process or thermal spray coating process. If it is necessary to remove the coating material, an additional bias can be applied to the plasma of the halogen-containing gas mixture during the process to enable etching of the coating material. Thus, a halogen-containing gas mixture can be used to selectively remove the high-k dielectric material for the coating material or both the high-k dielectric material and the coating material, depending on the plasma conditions.

[0031] 도 1a는 본 개시내용의 하나 이상의 구현들에 따른, 세정 프로세스들로부터 이익을 얻을 수 있는 기판-프로세싱 챔버(100)의 단면도를 도시한다. 도 1b는 본 개시내용의 하나 이상의 구현들을 사용하여 제거될 수 있는, 하나 이상의 내부 표면들 상에 형성된 잔류 막을 갖는, 도 1a의 기판-프로세싱 챔버(100)의 단면도를 도시한다. 기판-프로세싱 챔버(100)는 CVD, PE-CVD(plasma enhanced-CVD), 펄스식-CVD, ALD, PE-ALD, MOCVD(metal-organic chemical vapor deposition) 또는 이들의 조합들을 수행하기 위해 사용될 수 있다. 일부 구현들에서, 기판-프로세싱 챔버는 하이-k 유전체 층, 이를테면, ZrO2 또는 HfO2를 증착하도록 구성될 수 있다. 일부 구현들에서, 기판-프로세싱 챔버(100)는, LCD(liquid crystal display)들, 평판 디스플레이들, OLED(organic light emitting diode)들 또는 태양 전지 어레이들을 위한 광전지들의 제작에 사용하기 위해 대면적 기판(102)(이하, 기판(102)) 상에 구조들 및 디바이스들을 형성할 때 플라즈마를 사용하여 이러한 기판(102)을 프로세싱하도록 구성된다.1A shows a cross-sectional view of a substrate-processing chamber 100 that may benefit from cleaning processes, in accordance with one or more implementations of the present disclosure. 1B shows a cross-sectional view of the substrate-processing chamber 100 of FIG. 1A with a residual film formed on one or more internal surfaces, which can be removed using one or more implementations of the present disclosure. The substrate-processing chamber 100 can be used to perform CVD, plasma enhanced-CVD (PE-CVD), pulsed-CVD, ALD, PE-ALD, metal-organic chemical vapor deposition (MOCVD), or combinations thereof. have. In some implementations, the substrate-processing chamber can be configured to deposit a high-k dielectric layer, such as ZrO 2 or HfO 2 . In some implementations, the substrate-processing chamber 100 is a large area substrate for use in the fabrication of photovoltaic cells for liquid crystal displays (LCDs), flat panel displays, organic light emitting diodes (OLEDs) or solar cell arrays. It is configured to process the substrate 102 using plasma when forming structures and devices on the substrate 102 (hereinafter, the substrate 102).

[0032] 기판-프로세싱 챔버(100)는 일반적으로, 프로세스 볼륨(106)을 정의하는, 측벽들(142), 바닥 벽(104) 및 리드(lid) 조립체(112)를 포함한다. 일 구현에서, 리드 조립체(112)는 일반적으로, 알루미늄으로 구성된다. 리드 조립체(112)는 리드 조립체(112)의 표면 상에 Al2O3의 층을 형성하도록 양극처리될 수 있다. 다른 구현에서, 리드 조립체(112)는 스테인레스 스틸, 니켈-철 합금들(예컨대, 64FeNi로서 알려진 니켈-철 합금인 인바(Invar)) 또는 플라즈마 프로세싱과 호환되는 다른 재료들로 제작된다. 측벽들(142) 및 바닥 벽(104)은 단일 덩어리의 알루미늄, 스테인레스 스틸, 니켈-철 합금들(예컨대, 64FeNi로서 알려진 니켈-철 합금인 인바) 또는 플라즈마 프로세싱과 호환되는 다른 재료들로 제작될 수 있다. 측벽들(142) 및 바닥 벽(104)은 리드 조립체(112)의 표면 상에 코팅 재료를 형성하도록 양극처리될 수 있다. 일부 구현들에서, 코팅 재료가 존재하는 경우, 코팅 재료는 양극처리 프로세스, 플라즈마 스프레이 프로세스 또는 열 스프레이 프로세스에 의해 형성될 수 있다. 코팅 재료는 알루미나(Al2O3), 이트륨-함유 화합물들 및 이들의 조합들로부터 선택되는 화합물을 포함할 수 있다. 측벽들(142) 및 바닥 벽(104)은 전기적으로 접지될 수 있다.The substrate-processing chamber 100 generally includes sidewalls 142, a bottom wall 104 and a lid assembly 112, which defines a process volume 106. In one implementation, the lead assembly 112 is generally made of aluminum. The lead assembly 112 can be anodized to form a layer of Al 2 O 3 on the surface of the lead assembly 112. In another implementation, the lead assembly 112 is made of stainless steel, nickel-iron alloys (eg, Invar, a nickel-iron alloy known as 64FeNi), or other materials compatible with plasma processing. Sidewalls 142 and bottom wall 104 may be fabricated from a single mass of aluminum, stainless steel, nickel-iron alloys (eg, Invar, a nickel-iron alloy known as 64FeNi) or other materials compatible with plasma processing. You can. Side walls 142 and bottom wall 104 may be anodized to form a coating material on the surface of lead assembly 112. In some implementations, if a coating material is present, the coating material can be formed by an anodizing process, plasma spray process, or thermal spray process. The coating material may include a compound selected from alumina (Al 2 O 3 ), yttrium-containing compounds, and combinations thereof. The side walls 142 and the bottom wall 104 may be electrically grounded.

[0033] 가스 분배 플레이트(110) 및 기판 지지 조립체(130)가 프로세스 볼륨(106) 내에 배치된다. 가스 분배 플레이트(110) 및/또는 기판 지지 조립체(130) 각각은, 알루미늄, 스테인레스 스틸, 니켈-철 합금들(예컨대, 64FeNi로서 알려진 니켈-철 합금인 인바) 또는 플라즈마 프로세싱과 호환되는 다른 재료들로 독립적으로 제작될 수 있다. 일 구현에서, 기판 지지 조립체는 스테인레스 스틸을 포함한다. 일 구현에서, 가스 분배 플레이트(110)는 스테인레스 스틸을 포함하고, 기판 지지 조립체는 알루미나(Al2O3), 이트륨-함유 화합물들 또는 이들의 조합들을 포함한다. 기판(102)이 기판-프로세싱 챔버(100) 안팎으로 이송될 수 있도록, 프로세스 볼륨(106)은 측벽들(142)을 통해 형성된 슬릿 밸브 개구(108)를 통해 액세스된다.The gas distribution plate 110 and the substrate support assembly 130 are disposed within the process volume 106. Each of the gas distribution plate 110 and / or substrate support assembly 130 is made of aluminum, stainless steel, nickel-iron alloys (eg, Invar, a nickel-iron alloy known as 64FeNi) or other materials compatible with plasma processing. Can be manufactured independently. In one implementation, the substrate support assembly comprises stainless steel. In one implementation, the gas distribution plate 110 comprises stainless steel, and the substrate support assembly comprises alumina (Al 2 O 3 ), yttrium-containing compounds, or combinations thereof. The process volume 106 is accessed through a slit valve opening 108 formed through the side walls 142 so that the substrate 102 can be transferred into and out of the substrate-processing chamber 100.

[0034] 기판 지지 조립체(130)는, 상부에 기판(102)을 지지하기 위한 기판-수용 표면(132)을 포함한다. 기판 지지 조립체(130)는 일반적으로, 바닥 벽(104)을 통해 연장되는 스템(stem)(134)에 의해 지지되는 전기 전도성 바디를 포함한다. 스템(134)은 기판 지지 조립체(130)를 리프트 시스템(136)에 커플링하고, 이러한 리프트 시스템(136)은 기판 이송 포지션과 기판 프로세싱 포지션 사이에서 기판 지지 조립체(130)를 상승 및 하강시킨다. 기판(102)의 에지 상의 증착을 방지하기 위해 프로세싱 동안 기판(102)의 주변부 위에 섀도 프레임(133)이 배치될 수 있다. 리프트 핀들(138)은 기판 지지 조립체(130)를 통해 이동가능하게 배치되고, 기판-수용 표면(132)으로부터 기판(102)을 이격시키도록 적응된다. 기판 지지 조립체(130)는 또한, 기판 지지 조립체(130)를 선정된 온도로 유지하기 위해 활용되는 가열 및/또는 냉각 요소들(139)을 포함할 수 있다. 기판 지지 조립체(130)는 또한, 기판 지지 조립체(130)의 주변부 주위에 RF 리턴 경로를 제공하기 위한 접지 스트랩들(131)을 포함할 수 있다. 일 구현에서, 기판 지지 조립체(130)는, 상부에 배치된 코팅을 갖는다.[0034] The substrate support assembly 130 includes a substrate-receiving surface 132 for supporting the substrate 102 on top. The substrate support assembly 130 generally includes an electrically conductive body supported by a stem 134 extending through the bottom wall 104. The stem 134 couples the substrate support assembly 130 to the lift system 136, which lifts and lowers the substrate support assembly 130 between the substrate transfer position and the substrate processing position. A shadow frame 133 may be disposed over the periphery of the substrate 102 during processing to prevent deposition on the edge of the substrate 102. The lift pins 138 are movably disposed through the substrate support assembly 130 and are adapted to space the substrate 102 from the substrate-receiving surface 132. Substrate support assembly 130 may also include heating and / or cooling elements 139 utilized to maintain substrate support assembly 130 at a predetermined temperature. Substrate support assembly 130 may also include ground straps 131 for providing an RF return path around the periphery of substrate support assembly 130. In one implementation, the substrate support assembly 130 has a coating disposed thereon.

[0035] 가스 분배 플레이트(110)는, 자신의 주변부에서, 서스펜션(114)에 의해 기판-프로세싱 챔버(100)의 리드 조립체(112) 또는 측벽들(142)에 커플링된다. 특정 일 구현에서, 가스 분배 플레이트(110)는 알루미늄으로 제작된다. 가스 분배 플레이트(110)의 표면은 가스 분배 플레이트(110)의 표면 상에 코팅 재료(예컨대, Al2O3)를 형성하도록 양극처리될 수 있다. 일 구현에서, 가스 분배 플레이트(110)의 표면은, 상부에 배치된 이트륨-함유 코팅(Y2O3)을 갖는다. 코팅 재료는 양극처리, 플라즈마 스프레이 프로세스 또는 열 스프레이 프로세스에 의해 가스 분배 플레이트(110)의 표면 상에 형성될 수 있다. 가스 분배 플레이트(110)는 또한, 가스 분배 플레이트(110)의 직진도/곡률을 제어하고 그리고/또는 새그(sag)를 방지하는 것을 돕기 위해 하나 이상의 중심 지지부들(116)에 의해 리드 조립체(112)에 커플링될 수 있다. 가스 분배 플레이트(110)는 상이한 치수들을 갖는 상이한 구성들을 가질 수 있다. 예시적인 구현에서, 가스 분배 플레이트(110)는 사변형 평면 형상을 갖는다. 가스 분배 플레이트(110)는 하류 표면(150)을 갖고, 이러한 하류 표면(150)은 가스 분배 플레이트(110)를 통해 형성된 복수의 애퍼처들(111)을 가지며, 기판 지지 조립체(130) 상에 배치된 기판(102)의 상부 표면(118)을 향한다. 애퍼처들(111)은 가스 분배 플레이트(110)에 걸쳐 상이한 형상들, 수, 밀도들, 치수들 및 분포들을 가질 수 있다. 일 구현에서, 애퍼처들(111)의 직경은 약 0.01 인치 내지 약 1 인치에서 선택될 수 있다.The gas distribution plate 110, at its periphery, is coupled to the lid assembly 112 or sidewalls 142 of the substrate-processing chamber 100 by the suspension 114. In one particular implementation, the gas distribution plate 110 is made of aluminum. The surface of the gas distribution plate 110 may be anodized to form a coating material (eg, Al 2 O 3 ) on the surface of the gas distribution plate 110. In one implementation, the surface of the gas distribution plate 110 has a yttrium-containing coating (Y 2 O 3 ) disposed thereon. The coating material may be formed on the surface of the gas distribution plate 110 by anodizing, plasma spraying process or thermal spraying process. The gas distribution plate 110 can also control the straightness / curvature of the gas distribution plate 110 and / or lead assembly 112 by one or more center supports 116 to help prevent sag. ). The gas distribution plate 110 can have different configurations with different dimensions. In an exemplary implementation, gas distribution plate 110 has a quadrilateral planar shape. The gas distribution plate 110 has a downstream surface 150, which downstream surface 150 has a plurality of apertures 111 formed through the gas distribution plate 110, on the substrate support assembly 130 It faces the upper surface 118 of the placed substrate 102. The apertures 111 can have different shapes, numbers, densities, dimensions and distributions across the gas distribution plate 110. In one implementation, the diameter of the apertures 111 can be selected from about 0.01 inches to about 1 inch.

[0036] 리드 조립체(112)를 통해, 그리고 그런 다음, 가스 분배 플레이트(110)에 형성된 애퍼처들(111)을 통해 프로세스 볼륨(106)에 가스를 제공하도록 가스 소스(120)가 리드 조립체(112)에 커플링된다. 프로세스 볼륨(106) 내의 가스를 선정된 압력으로 유지하기 위해 진공 펌프(109)가 기판-프로세싱 챔버(100)에 커플링된다.[0036] Gas source 120 is applied to lead assembly 112 to provide gas to process volume 106 through lead assembly 112 and then through apertures 111 formed in gas distribution plate 110. It is coupled. A vacuum pump 109 is coupled to the substrate-processing chamber 100 to maintain the gas in the process volume 106 at a predetermined pressure.

[0037] 리드 조립체(112) 및/또는 가스 분배 플레이트(110)에 제1 전력 소스(122)가 커플링된다. 제1 전력 소스(122)는, 가스 분배 플레이트(110)와 기판 지지 조립체(130) 사이에 존재하는 가스들로부터 플라즈마가 생성될 수 있도록, 가스 분배 플레이트(110)와 기판 지지 조립체(130) 사이에 전기장을 생성하는 전력을 제공한다. 리드 조립체(112) 및/또는 가스 분배 플레이트(110) 전극은 선택적인 필터를 통해 제1 전력 소스(122)에 커플링될 수 있고, 이러한 선택적인 필터는 임피던스 매칭 회로일 수 있다. 제1 전력 소스(122)는 DC 전력, 펄스식 DC 전력, RF 바이어스 전력, 펄스식 RF 소스 또는 바이어스 전력, 또는 이들의 조합일 수 있다. 일 구현에서, 제1 전력 소스(122)는 RF 바이어스 전력이다.[0037] The first power source 122 is coupled to the lead assembly 112 and / or gas distribution plate 110. The first power source 122 is between the gas distribution plate 110 and the substrate support assembly 130 so that plasma can be generated from gases present between the gas distribution plate 110 and the substrate support assembly 130. Provides power to generate an electric field. The lead assembly 112 and / or gas distribution plate 110 electrode can be coupled to the first power source 122 through an optional filter, which can be an impedance matching circuit. The first power source 122 may be DC power, pulsed DC power, RF bias power, pulsed RF source or bias power, or a combination thereof. In one implementation, the first power source 122 is RF bias power.

[0038] 일 구현에서, 제1 전력 소스(122)는 RF 전력 소스이다. 일 구현에서, 제1 전력 소스(122)는 0.3 MHz 내지 약 14 MHz, 이를테면, 약 13.56 MHz의 주파수에서 RF 전력을 제공하도록 동작될 수 있다. 제1 전력 소스(122)는 약 10 와트 내지 약 20,000 와트(예컨대, 약 10 와트 내지 약 5000 와트; 약 300 와트 내지 약 1500 와트; 또는 약 500 와트 내지 약 1000 와트)의 RF 전력을 생성할 수 있다.[0038] In one implementation, the first power source 122 is an RF power source. In one implementation, the first power source 122 can be operated to provide RF power at a frequency of 0.3 MHz to about 14 MHz, such as about 13.56 MHz. The first power source 122 can generate RF power from about 10 watts to about 20,000 watts (eg, from about 10 watts to about 5000 watts; from about 300 watts to about 1500 watts; or from about 500 watts to about 1000 watts). have.

[0039] 제1 전력 소스(122)에 의해 가스 분배 플레이트(110)에 공급되는 RF 전력이 기판 지지 조립체(130)와 가스 분배 플레이트(110) 사이의 프로세스 볼륨(106)에 배치된 가스들을 여기시킬 수 있도록, 기판 지지 조립체(130)는 접지될 수 있다. 기판 지지 조립체(130)는 금속들 또는 다른 유사한 전기 전도성 재료들로 제작될 수 있다. 일 구현에서, 기판 지지 조립체(130)의 적어도 일부는 전기 절연성 코팅으로 덮일 수 있다. 코팅은 다른 것들 중에서 유전체 재료, 이를테면, 옥사이드들, 실리콘 나이트라이드, 실리콘 디옥사이드, 알루미늄 옥사이드, 알루미늄 디옥사이드, 탄탈럼 펜톡사이드, 실리콘 카바이드, 폴리이미드 및 이트륨-함유 화합물들일 수 있다. 대안적으로, 기판 지지 조립체(130)의 기판-수용 표면(132)은 코팅 또는 양극처리가 없을 수 있다.[0039] RF power supplied to the gas distribution plate 110 by the first power source 122 can excite gases disposed in the process volume 106 between the substrate support assembly 130 and the gas distribution plate 110. , The substrate support assembly 130 may be grounded. The substrate support assembly 130 can be made of metals or other similar electrically conductive materials. In one implementation, at least a portion of the substrate support assembly 130 may be covered with an electrically insulating coating. The coating may be a dielectric material, such as oxides, silicon nitride, silicon dioxide, aluminum oxide, aluminum dioxide, tantalum pentoxide, silicon carbide, polyimide and yttrium-containing compounds, among others. Alternatively, the substrate-receiving surface 132 of the substrate support assembly 130 may be free of coating or anodization.

[0040] 바이어스 전극 및/또는 정전기 척킹 전극일 수 있는 전극(미도시)이 기판 지지 조립체(130)에 커플링될 수 있다. 일 구현에서, 전극은 기판 지지 조립체(130)의 바디에 포지셔닝된다. 전극은 선택적인 필터를 통해 제2 전력 소스(160)에 커플링될 수 있고, 이러한 선택적인 필터는 임피던스 매칭 회로일 수 있다. 제2 전력 소스(160)는 플라즈마로부터 기판(102)으로의 부가적인 전위를 설정함으로써 부가적인 바이어스를 설정하기 위해 사용될 수 있다. 제2 전력 소스(160)가 없더라도 플라즈마로부터 기판(102)으로의 빌트-인 전위가 이미 있지만, 제2 전력 소스(160)는 에칭/세정 효과를 향상시키기 위해 더 많은 이온 충격을 제공하도록 바이어스를 증가시키는 것으로 여겨진다. 제2 전력 소스(160)는 DC 전력, 펄스식 DC 전력, RF 바이어스 전력, 펄스식 RF 소스 또는 바이어스 전력, 또는 이들의 조합일 수 있다.[0040] Electrodes (not shown), which may be bias electrodes and / or electrostatic chucking electrodes, may be coupled to the substrate support assembly 130. In one implementation, the electrode is positioned on the body of the substrate support assembly 130. The electrode can be coupled to the second power source 160 through an optional filter, which can be an impedance matching circuit. The second power source 160 can be used to set additional bias by setting an additional potential from the plasma to the substrate 102. Although there is already a built-in potential from the plasma to the substrate 102 even without the second power source 160, the second power source 160 is biased to provide more ion bombardment to enhance the etch / clean effect. It is believed to increase. The second power source 160 may be DC power, pulsed DC power, RF bias power, pulsed RF source or bias power, or a combination thereof.

[0041] 일 구현에서, 제2 전력 소스(160)는 DC 바이어스 소스이다. DC 바이어스 전력은 300 kHz의 주파수에서 약 10 와트 내지 약 3000 와트(예컨대, 약 10 와트 내지 약 1000 와트; 또는 약 10 와트 내지 약 100 와트)로 공급될 수 있다. 일 구현에서, DC 바이어스 전력은 약 500 Hz 내지 약 10 kHz의 RF 주파수에서 약 10% 내지 약 95%의 듀티 사이클로 펄싱될 수 있다. 이론에 얽매이지는 않지만, DC 바이어스는 플라즈마와 기판 지지부 사이에 바이어스를 설정하여서, 플라즈마 내의 이온들이 기판 지지부에 충격을 가하여 에칭 효과를 향상시키는 것으로 여겨진다.[0041] In one implementation, the second power source 160 is a DC bias source. DC bias power can be supplied at a frequency of 300 kHz from about 10 watts to about 3000 watts (eg, about 10 watts to about 1000 watts; or about 10 watts to about 100 watts). In one implementation, the DC bias power can be pulsed with a duty cycle of about 10% to about 95% at an RF frequency of about 500 Hz to about 10 kHz. Without being bound by theory, it is believed that DC bias establishes a bias between the plasma and the substrate support, so that ions in the plasma impact the substrate support to improve the etching effect.

[0042] 일 구현에서, 제2 전력 소스(160)는 RF 바이어스 전력이다. RF 바이어스 전력은 300 kHz의 주파수에서 약 0 와트 내지 약 1000 와트(예컨대, 약 10 와트 내지 약 100 와트)로 공급될 수 있다. 일 구현에서, RF 바이어스 전력은 약 500 Hz 내지 약 10 kHz의 RF 주파수에서 약 10% 내지 약 95%의 듀티 사이클로 펄싱될 수 있다.[0042] In one implementation, the second power source 160 is RF bias power. RF bias power can be supplied at a frequency of 300 kHz from about 0 watts to about 1000 watts (eg, about 10 watts to about 100 watts). In one implementation, the RF bias power can be pulsed with a duty cycle of about 10% to about 95% at an RF frequency of about 500 Hz to about 10 kHz.

[0043] 일 구현에서, 기판-수용 표면(132) 및 가스 분배 플레이트(110)의 에지와 코너들 사이에 그리고 결과적으로 기판(102)의 상부 표면(118)과 가스 분배 플레이트(110) 사이에 간격 구배가 정의되도록, 가스 분배 플레이트(110)의 하류 표면(150)의 에지들은 만곡될 수 있다. 하류 표면(150)의 형상은 특정 프로세스 요건들을 충족시키도록 선택될 수 있다. 예컨대, 하류 표면(150)의 형상은 볼록, 평면, 오목 또는 다른 적절한 형상일 수 있다. 그러므로, 에지 대 코너 간격 구배는, 기판의 에지에 걸친 막 특성 균일성을 튜닝하여서 기판의 코너에 배치된 막들의 특성 불-균일성을 정정하기 위해 활용될 수 있다. 부가적으로, 에지 대 중심 간격이 또한 제어될 수 있어서, 막 특성 분포 균일성이 기판의 중심과 에지 사이에서 제어될 수 있다. 일 구현에서, 가스 분배 플레이트(110)의 에지의 중심 부분이 가스 분배 플레이트(110)의 코너들보다 기판(102)의 상부 표면(118)으로부터 더 멀리 이격되도록, 가스 분배 플레이트(110)의 만곡된 오목 에지가 사용될 수 있다. 다른 구현에서, 가스 분배 플레이트(110)의 코너들이 가스 분배 플레이트(110)의 에지들보다 기판(102)의 상부 표면(118)으로부터 더 멀리 이격되도록, 가스 분배 플레이트(110)의 만곡된 볼록 에지가 사용될 수 있다.[0043] In one implementation, a spacing gradient between the substrate-receiving surface 132 and the edges and corners of the gas distribution plate 110 and consequently between the gas distribution plate 110 and the top surface 118 of the substrate 102. As defined, the edges of the downstream surface 150 of the gas distribution plate 110 can be curved. The shape of the downstream surface 150 can be selected to meet specific process requirements. For example, the shape of the downstream surface 150 can be convex, planar, concave, or other suitable shape. Therefore, the edge-to-corner spacing gradient can be utilized to tune the film property uniformity across the edge of the substrate to correct the property non-uniformity of the films disposed at the corners of the substrate. Additionally, edge-to-center spacing can also be controlled, so that film property distribution uniformity can be controlled between the center and edge of the substrate. In one implementation, the curvature of the gas distribution plate 110 is such that the central portion of the edge of the gas distribution plate 110 is farther away from the upper surface 118 of the substrate 102 than the corners of the gas distribution plate 110. Concave edges can be used. In another implementation, the curved convex edge of the gas distribution plate 110 is such that the corners of the gas distribution plate 110 are spaced further away from the upper surface 118 of the substrate 102 than the edges of the gas distribution plate 110. Can be used.

[0044] 원격 플라즈마 소스(124), 이를테면, 유도 결합 원격 플라즈마 소스가 또한, 가스 소스와 가스 분배 플레이트(110) 사이에 커플링될 수 있다. 기판들을 프로세싱하는 사이에, 챔버 구성요소들을 세정하기 위해 활용되는 플라즈마를 원격으로 제공하기 위해 원격 플라즈마 소스(124)에서 할로겐-함유 세정 가스 혼합물이 에너지를 공급받을 수 있다. 프로세스 볼륨(106)에 들어가는 할로겐-함유 세정 가스 혼합물은, 제1 전력 소스(122)에 의해 가스 분배 플레이트(110)에 제공되는 RF 전력에 의해 추가로 여기될 수 있다. 가스 소스(120)가 원격 플라즈마 소스(124)를 통해 리드 조립체(112)에 커플링되지만, 일부 구현들에서, 가스 소스(120)는 리드 조립체에 직접적으로 커플링된다는 것이 이해되어야 한다.[0044] A remote plasma source 124, such as an inductively coupled remote plasma source, can also be coupled between the gas source and the gas distribution plate 110. Between processing the substrates, a halogen-containing cleaning gas mixture can be energized at a remote plasma source 124 to remotely provide a plasma utilized to clean chamber components. The halogen-containing cleaning gas mixture entering the process volume 106 can be further excited by the RF power provided to the gas distribution plate 110 by the first power source 122. While gas source 120 is coupled to lead assembly 112 through remote plasma source 124, it should be understood that in some implementations, gas source 120 is coupled directly to lead assembly.

[0045] 일 구현에서, 기판-프로세싱 챔버(100)에서 프로세싱될 수 있는 기판(102)은 10,000 ㎠ 이상, 이를테면, 25,000 ㎠ 이상, 예컨대, 약 55,000 ㎠ 이상의 표면적을 가질 수 있다. 프로세싱 후에, 기판은 더 작은 다른 디바이스들을 형성하도록 절단될 수 있다는 것이 이해된다.[0045] In one implementation, the substrate 102 that can be processed in the substrate-processing chamber 100 can have a surface area of 10,000 cm 2 or more, such as 25,000 cm 2 or more, such as about 55,000 cm 2 or more. It is understood that after processing, the substrate can be cut to form other smaller devices.

[0046] 일 구현에서, 가열 및/또는 냉각 요소들(139)은 세정 동안 약 600 ℃ 이하(약 10 ℃ 내지 약 300 ℃; 약 200 ℃ 내지 약 300 ℃; 약 10 ℃ 내지 약 50 ℃; 또는 약 10 ℃ 내지 30 ℃)의 기판 지지 조립체 온도를 제공하도록 세팅될 수 있다.[0046] In one implementation, the heating and / or cooling elements 139 are less than or equal to about 600 ° C during cleaning (about 10 ° C to about 300 ° C; about 200 ° C to about 300 ° C; about 10 ° C to about 50 ° C; or about 10 ° C) To 30 ° C.).

[0047] 세정 동안, 기판-수용 표면(132) 상에 배치된 기판(102)의 상부 표면(118)과 가스 분배 플레이트(110) 사이의 공칭 간격은 일반적으로, 400 mil 내지 약 1,200 mil, 이를테면, 400 mil 내지 약 800 mil, 또는 수요가 많은 증착 결과들을 획득하기 위한 다른 거리까지 변할 수 있다. 일 구현에서, 가스 분배 플레이트(110)가 오목 하류 표면을 갖는 경우, 기판-수용 표면(132)과 가스 분배 플레이트(110)의 에지의 중심 부분 사이의 간격은 약 400 mil 내지 약 1,400 mil이며, 기판-수용 표면(132)과 가스 분배 플레이트(110)의 코너들 사이의 간격은 약 300 mil 내지 약 1,200 mil이다.[0047] During cleaning, the nominal spacing between the gas distribution plate 110 and the top surface 118 of the substrate 102 disposed on the substrate-receiving surface 132 is generally 400 mils to about 1,200 mils, such as 400 mils To about 800 mils, or other distances to obtain demanding deposition results. In one implementation, if the gas distribution plate 110 has a concave downstream surface, the spacing between the substrate-receiving surface 132 and the central portion of the edge of the gas distribution plate 110 is about 400 mils to about 1,400 mils, The spacing between the substrate-receiving surface 132 and the corners of the gas distribution plate 110 is about 300 mils to about 1,200 mils.

[0048] 도 1b는 기판(102)이 제거된, 도 1a의 기판-프로세싱 챔버(100)의 단면도를 도시한다. 도 1b는 내부 에너지 소스, 이를테면, 인-시튜 플라즈마, 또는 외부 에너지 소스를 각각 사용하여 챔버 세정을 수행하기에 적절한 기판-프로세싱 챔버(100)의 예시를 제공한다. 도 1b에서, 세정 프로세스 동안 제거될 잔류 막(180)(예컨대, 하이-k 유전체 재료, 이를테면, ZrO2, Y2O3 또는 HfO2)을 갖는 프로세스 볼륨(106) 안으로 할로겐-함유 가스 혼합물(170)(도 1b에서, 실선 화살표들로서 도시됨)이 유입된다. 도 1b에서 도시된 바와 같이, 잔류 막(180)은 기판-프로세싱 챔버(100) 내의 노출된 표면의 적어도 일부 상에, 특히, 가스 분배 플레이트(110), 기판 지지 조립체(130), 섀도 프레임(133) 등에 증착된다. 할로겐-함유 가스 혼합물(170)은 반응성 종(190), 이를테면, 염소 라디칼들, 플루오린 라디칼들, 브로민 라디칼들, 수소 라디칼들 및 이들의 조합들을 생성하는 에너지 소스, 이를테면, 제1 전력 소스(122), 제2 전력 소스(160) 또는 원격 플라즈마 소스(124)에 노출된다. 반응성 종(190)은 잔류 막(180)과 반응하여 휘발성 생성물을 형성한다. 휘발성 생성물은 기판-프로세싱 챔버(100)로부터 제거된다. 기판-프로세싱 챔버(100)의 하나 이상의 내부 표면들(예컨대, 가스 분배 플레이트(110), 기판 지지 조립체(130), 섀도 프레임(133), 측벽들(142) 등)은, 상부에 형성된 적어도 하나의 코팅 재료(예컨대, 노출된 Al2O3 막 또는 노출된 이트륨-함유 막들)를 갖는다. 하나 이상의 내부 표면들은 알루미늄, 스테인레스 스틸, 니켈-철 합금들(예컨대, 인바 또는 64FeNi), 또는 플라즈마 프로세싱과 호환되는 다른 재료들을 포함할 수 있다. 구현들에서, 반응성 종들(190)이 (예컨대, 원격 플라즈마를 통해) 엑스-시튜로 형성되는 경우, 반응성 종은 프로세스 볼륨(106) 안으로 전달될 수 있다.1B shows a cross-sectional view of the substrate-processing chamber 100 of FIG. 1A with the substrate 102 removed. 1B provides an example of a substrate-processing chamber 100 suitable for performing chamber cleaning using an internal energy source, such as an in-situ plasma, or an external energy source, respectively. In FIG. 1B, a halogen-containing gas mixture () into the process volume 106 with a residual film 180 to be removed during the cleaning process (eg, a high-k dielectric material, such as ZrO 2 , Y 2 O 3 or HfO 2 ) 170) (shown as solid arrows in FIG. 1B). As shown in FIG. 1B, the residual film 180 is applied on at least a portion of the exposed surface in the substrate-processing chamber 100, in particular, the gas distribution plate 110, the substrate support assembly 130, and the shadow frame ( 133). The halogen-containing gas mixture 170 is an energy source that generates reactive species 190, such as chlorine radicals, fluorine radicals, bromine radicals, hydrogen radicals and combinations thereof, such as a first power source (122), a second power source 160 or a remote plasma source 124. Reactive species 190 react with residual film 180 to form volatile products. Volatile products are removed from the substrate-processing chamber 100. At least one inner surface of the substrate-processing chamber 100 (eg, gas distribution plate 110, substrate support assembly 130, shadow frame 133, sidewalls 142, etc.) is formed on at least one. Of coating material (eg, exposed Al 2 O 3 film or exposed yttrium-containing films). The one or more interior surfaces can include aluminum, stainless steel, nickel-iron alloys (eg, Invar or 64FeNi), or other materials compatible with plasma processing. In implementations, when reactive species 190 are formed ex-situ (eg, via remote plasma), reactive species can be delivered into process volume 106.

[0049] 도 2는 기판-프로세싱 챔버로부터 하이-k 유전체 재료들을 제거하기 위해 사용될 수 있는 방법(200)의 일 구현의 프로세스 흐름 다이어그램을 도시한다. 기판-프로세싱 챔버는 도 1a 및 도 1b에서 도시된 기판-프로세싱 챔버(100)와 유사할 수 있다. 동작(210)에서, 기판-프로세싱 챔버에 배치된 기판 위에 하이-k 유전체 재료가 증착된다. 기판 위의 하이-k 유전체 재료의 증착 동안, 하이-k 유전체 재료는, 기판-프로세싱 챔버의 챔버 구성요소들(예컨대, 가스 분배 플레이트, 기판 지지 조립체, 섀도 프레임, 측벽들 등)을 포함하여, 내부 표면들 위에 증착될 수 있다. 내부 표면들은 알루미늄, 스테인레스 스틸, 니켈-철 합금들(예컨대, 인바 또는 64FeNi), 또는 플라즈마 프로세싱과 호환되는 다른 재료들을 포함할 수 있다. 임의의 적절한 하이-k 유전체 재료가 기판-프로세싱 챔버에 증착될 수 있다. 일 구현에서, 하이-k 유전체 재료는 지르코늄 옥사이드(ZrO2), 하프늄 옥사이드(HfO2), 알루미늄 옥사이드(Al2O3) 및 이들의 조합들로부터 선택된다. 일 구현에서, 하이-k 유전체 재료가 도핑된다. 일 구현에서, 도핑된 하이-k 유전체 재료는 알루미늄-도핑된 지르코늄 옥사이드 함유 재료이다.[0049] FIG. 2 shows a process flow diagram of one implementation of a method 200 that can be used to remove high-k dielectric materials from a substrate-processing chamber. The substrate-processing chamber may be similar to the substrate-processing chamber 100 shown in FIGS. 1A and 1B. In operation 210, a high-k dielectric material is deposited over the substrate disposed in the substrate-processing chamber. During the deposition of the high-k dielectric material over the substrate, the high-k dielectric material includes chamber components of the substrate-processing chamber (eg, gas distribution plate, substrate support assembly, shadow frame, side walls, etc.), It can be deposited on the inner surfaces. The interior surfaces can include aluminum, stainless steel, nickel-iron alloys (eg, Invar or 64FeNi), or other materials compatible with plasma processing. Any suitable high-k dielectric material can be deposited in the substrate-processing chamber. In one implementation, the high-k dielectric material is selected from zirconium oxide (ZrO 2 ), hafnium oxide (HfO 2 ), aluminum oxide (Al 2 O 3 ), and combinations thereof. In one implementation, a high-k dielectric material is doped. In one implementation, the doped high-k dielectric material is an aluminum-doped zirconium oxide containing material.

[0050] 하이-k 유전체 재료는, 예컨대, CVD(chemical vapor deposition) 프로세스, PECVD(plasma-enhanced chemical vapor deposition) 프로세스, ALD(atomic layer deposition) 프로세스, MOCVD(metal-organic chemical vapor deposition) 프로세스 및 PVD(physical vapor deposition) 프로세스를 사용하여 증착될 수 있다. 일부 구현들에서, 챔버 구성요소들의 적어도 일부분들은 알루미늄으로 구성된다. 일부 구현들에서, 챔버 구성요소들의 적어도 일부분들은, 상부에 배치된 코팅을 갖는다. 일부 구현들에서, 코팅은 알루미나(Al2O3), 이트륨-함유 화합물들 및 이들의 조합들로부터 선택되는 화합물을 포함한다. 일 구현에서, 이트륨-함유 화합물은 이트륨 옥사이드(Y2O3), 이트륨 옥사이드 플루오라이드(YOF), 이트륨 클로레이트(Y(ClO3)3), 이트륨(Ⅲ) 플루오라이드(YF3), 이트륨(Ⅲ) 클로라이드(YCl3), 이트리아-안정화된 지르코니아(YSZ) 및 이들의 조합들로부터 선택된다. 일부 구현들에서, 챔버 구성요소들은, 상부에 배치된 코팅을 갖지 않으며 이에 따라 "코팅-프리"이다.High-k dielectric materials include, for example, chemical vapor deposition (CVD) processes, plasma-enhanced chemical vapor deposition (PECVD) processes, atomic layer deposition (ALD) processes, metal-organic chemical vapor deposition (MOCVD) processes, and It can be deposited using a physical vapor deposition (PVD) process. In some implementations, at least some of the chamber components are made of aluminum. In some implementations, at least some of the chamber components have a coating disposed thereon. In some implementations, the coating comprises a compound selected from alumina (Al 2 O 3 ), yttrium-containing compounds, and combinations thereof. In one embodiment, the yttrium-containing compound is yttrium oxide (Y 2 O 3 ), yttrium oxide fluoride (YOF), yttrium chlorate (Y (ClO 3 ) 3 ), yttrium (III) fluoride (YF 3 ), yttrium (III) chloride (YCl 3 ), yttria-stabilized zirconia (YSZ) and combinations thereof. In some implementations, the chamber components have no coating disposed thereon and are thus “coating-free”.

[0051] 동작(220)에서, 기판은 기판-프로세싱 챔버의 밖으로 이송된다. 일부 구현들에서, 기판은 세정 프로세스 동안 기판-프로세싱 챔버에 계속 유지된다.[0051] In operation 220, the substrate is transferred out of the substrate-processing chamber. In some implementations, the substrate remains in the substrate-processing chamber during the cleaning process.

[0052] 동작(230)에서, 기판-프로세싱 챔버 안으로 반응성 종이 유입된다. 반응성 종은, 플라즈마를 활용하여 생성될 수 있다. 플라즈마는 인-시튜로 생성될 수 있거나, 또는 플라즈마는 엑스-시튜로(예컨대, 원격으로) 생성될 수 있다. 적절한 플라즈마 생성 기법들 및 소스들, 이를테면, ICP(inductive-coupled plasma), CCP(capacitive-coupled plasma), RPS(remote plasma source) 또는 마이크로파 플라즈마 생성 기법들이 반응성 종을 형성하기 위해 활용될 수 있다. 일부 구현들에서, 반응성 종들은 인-시튜 플라즈마 프로세스를 통해 인-시튜로 형성된다. 일부 구현들에서, 반응성 종들은 원격 플라즈마 소스를 통해 엑스-시튜로 형성되고, 기판-프로세싱 챔버 안으로 유입된다.[0052] In operation 230, reactive species are introduced into the substrate-processing chamber. Reactive species can be generated utilizing plasma. The plasma can be generated in-situ, or the plasma can be generated in-situ (eg, remotely). Suitable plasma generation techniques and sources, such as inductive-coupled plasma (ICP), capacitive-coupled plasma (CCP), remote plasma source (RPS) or microwave plasma generation techniques can be utilized to form reactive species. In some implementations, reactive species are formed in-situ through an in-situ plasma process. In some implementations, reactive species are formed ex-situ through a remote plasma source and enter the substrate-processing chamber.

[0053] 일 구현에서, 반응성 종은, 프로세스 볼륨(106) 안으로 할로겐-함유 세정 가스 혼합물을 유동시킴으로써 생성될 수 있다. 일 구현에서, 할로겐-함유 세정 가스 혼합물은 할로겐-함유 가스를 포함한다. 일 구현에서, 할로겐-함유 가스는 염소-함유 가스, 수소 브로마이드(HBr) 가스 및 이들의 조합들로부터 선택된다. 일 구현에서, 염소-함유 가스는 BCl3 및 Cl2로부터 선택된다. 일 구현에서, 할로겐-함유 가스는 BCl3, Cl2, HBr, NF3 및 이들의 조합들로부터 선택된다. 일 구현에서, 할로겐-함유 세정 가스 혼합물은 BCl3 및 NF3를 포함한다. 일 구현에서, 할로겐-함유 세정 가스 혼합물은 BCl3 및 Cl2를 포함한다. 일 구현에서, 할로겐-함유 가스 혼합물은 탄소-함유 가스를 더 포함한다. 일 구현에서, 탄소-함유 가스는 CO2, CH4, CHF3, CH2F2, CH3F, CF4 및 이들의 조합들로부터 선택된다. 일 구현에서, 할로겐-함유 가스 혼합물은 희석 가스를 더 포함한다. 희석 가스는 헬륨, 아르곤 및 이들의 조합들로부터 선택될 수 있다. 일부 구현들에서, 할로겐-함유 가스 및 탄소-함유 가스는 프로세스 볼륨(106) 안으로 개별적으로 유입된다.In one implementation, reactive species can be produced by flowing a halogen-containing cleaning gas mixture into process volume 106. In one implementation, the halogen-containing cleaning gas mixture comprises a halogen-containing gas. In one implementation, the halogen-containing gas is selected from chlorine-containing gas, hydrogen bromide (HBr) gas, and combinations thereof. In one implementation, the chlorine-containing gas is selected from BCl 3 and Cl 2 . In one embodiment, the halogen-containing gas is selected from BCl 3 , Cl 2 , HBr, NF 3 and combinations thereof. In one embodiment, the halogen-containing cleaning gas mixture comprises BCl 3 and NF 3 . In one implementation, the halogen-containing cleaning gas mixture comprises BCl 3 and Cl 2 . In one implementation, the halogen-containing gas mixture further comprises a carbon-containing gas. In one embodiment, the carbon-containing gas is selected from CO 2 , CH 4 , CHF 3 , CH 2 F 2 , CH 3 F, CF 4 and combinations thereof. In one implementation, the halogen-containing gas mixture further comprises a diluent gas. The diluent gas can be selected from helium, argon and combinations thereof. In some implementations, halogen-containing gas and carbon-containing gas are separately introduced into process volume 106.

[0054] 일 구현에서, 할로겐-함유 세정 가스 혼합물은 CO2, CH4, CHF3, CH2F2, CH3F, CF4 및 이들의 조합들 중 적어도 하나, 그리고 BCl3를 포함한다. 다른 구현에서, 할로겐-함유 세정 가스 혼합물은 CO2, CH4, CHF3, CH2F2, CH3F, CF4 및 이들의 조합들 중 적어도 하나, 그리고 Cl2를 포함한다. 또 다른 구현에서, 할로겐-함유 세정 가스 혼합물은 CO2, CH4, CHF3, CH2F2, CH3F 및 이들의 조합들 중 적어도 하나, 그리고 HBr을 포함한다. 또 다른 구현에서, 할로겐-함유 세정 가스 혼합물은 CO2, CH4, CHF3, CH2F2, CH3F, CF4 및 이들의 조합들 중 적어도 하나, 그리고 NF3를 포함한다. 또 다른 구현에서, 할로겐-함유 세정 가스 혼합물은 CO2, CH4, CHF3, CH2F2, CH3F, CF4 및 이들의 조합들 중 적어도 하나, 그리고 BCl3, NF3를 포함한다. 또 다른 구현에서, 할로겐-함유 세정 가스 혼합물은 CO2, CH4, CHF3, CH2F2, CH3F, CF4 및 이들의 조합들 중 적어도 하나, 그리고 BCl3, Cl2를 포함한다.In one implementation, the halogen-containing cleaning gas mixture comprises at least one of CO 2 , CH 4 , CHF 3 , CH 2 F 2 , CH 3 F, CF 4 and combinations thereof, and BCl 3 . In another embodiment, the halogen-containing cleaning gas mixture comprises at least one of CO 2 , CH 4 , CHF 3 , CH 2 F 2 , CH 3 F, CF 4 and combinations thereof, and Cl 2 . In another embodiment, the halogen-containing cleaning gas mixture comprises at least one of CO 2 , CH 4 , CHF 3 , CH 2 F 2 , CH 3 F and combinations thereof, and HBr. In another embodiment, the halogen-containing cleaning gas mixture comprises at least one of CO 2 , CH 4, CHF 3 , CH 2 F 2 , CH 3 F, CF 4 and combinations thereof, and NF 3 . In another embodiment, the halogen-containing cleaning gas mixture comprises at least one of CO 2 , CH 4 , CHF 3 , CH 2 F 2 , CH 3 F, CF 4 and combinations thereof, and BCl 3 , NF 3 . In another embodiment, the halogen-containing cleaning gas mixture comprises at least one of CO 2 , CH 4 , CHF 3 , CH 2 F 2 , CH 3 F, CF 4 and combinations thereof, and BCl 3 , Cl 2 .

[0055] 일 구현에서, 할로겐-함유 세정 가스 혼합물은 RF 소스 및/또는 바이어스 전력에 노출된다. RF 소스 및/또는 바이어스 전력은 플라즈마가 유지될 수 있도록 프로세스 볼륨(106) 내의 할로겐-함유 세정 가스 혼합물에 에너지를 공급한다. 일 구현에서, 제1 전력 소스(122)는 0.3 MHz 내지 약 14 MHz, 이를테면, 약 13.56 MHz의 주파수에서 RF 전력을 제공하도록 동작될 수 있다. 제1 전력 소스(122)는 약 10 와트 내지 약 5000 와트(예컨대, 약 300 와트 내지 약 1500 와트; 약 500 와트 내지 약 1000 와트)의 RF 전력을 생성할 수 있다.[0055] In one implementation, the halogen-containing cleaning gas mixture is exposed to an RF source and / or bias power. The RF source and / or bias power energizes the halogen-containing cleaning gas mixture in process volume 106 so that the plasma can be maintained. In one implementation, the first power source 122 can be operated to provide RF power at a frequency of 0.3 MHz to about 14 MHz, such as about 13.56 MHz. The first power source 122 can generate RF power from about 10 watts to about 5000 watts (eg, about 300 watts to about 1500 watts; about 500 watts to about 1000 watts).

[0056] 일부 구현들에서, RF 소스 전력에 부가하여, RF 바이어스 전력은 또한, 세정 가스 혼합물을 해리시켜서 플라즈마를 형성하는 것을 보조하기 위해 세정 프로세스 동안 활용될 수 있다. RF 바이어스는 제2 전력 소스(160)에 의해 제공될 수 있다. 일 구현에서, 제1 전력 소스(122)는 0.3 MHz 내지 약 14 MHz, 이를테면, 약 13.56 MHz의 주파수에서 RF 전력을 제공하도록 동작될 수 있다. RF 바이어스 전력은 300 kHz의 주파수에서 약 0 와트 내지 약 1000 와트(예컨대, 약 10 와트 내지 약 100 와트)로 공급될 수 있다. 일 구현에서, RF 바이어스 전력은 약 500 Hz 내지 약 10 kHz의 RF 주파수에서 약 10% 내지 약 95%의 듀티 사이클로 펄싱될 수 있다. 일부 구현들에서, 이러한 부가적인 바이어스가 적용되는 경우, 코팅 재료(예컨대, Al2O3)는 잔류 하이-k 유전체 재료와 함께 제거된다. 이론에 얽매이지는 않지만, DC 바이어스는 에칭을 향상시키기 위해 플라즈마와 기판 사이에 전위차를 설정하는 것으로 여겨진다.[0056] In some implementations, in addition to the RF source power, RF bias power can also be utilized during the cleaning process to assist in dissociating the cleaning gas mixture to form a plasma. RF bias can be provided by the second power source 160. In one implementation, the first power source 122 can be operated to provide RF power at a frequency of 0.3 MHz to about 14 MHz, such as about 13.56 MHz. The RF bias power can be supplied at a frequency of 300 kHz from about 0 watts to about 1000 watts (eg, about 10 watts to about 100 watts). In one implementation, the RF bias power can be pulsed with a duty cycle of about 10% to about 95% at an RF frequency of about 500 Hz to about 10 kHz. In some implementations, when this additional bias is applied, the coating material (eg, Al 2 O 3 ) is removed along with the residual high-k dielectric material. Without being bound by theory, DC bias is believed to set a potential difference between the plasma and the substrate to improve etching.

[0057] 일부 구현들에서, 플라즈마는, 용량성 또는 유도성 수단에 의해 형성될 수 있으며, RF 전력을 할로겐-함유 세정 가스 혼합물에 커플링함으로써 에너지를 공급받을 수 있다. RF 전력은 고주파수 성분 및 저주파수 성분을 갖는 이중-주파수 RF 전력일 수 있다. RF 전력은 통상적으로, 예컨대 약 13.56 MHz의 주파수에서 전부 고-주파수 RF 전력일 수 있는, 약 50 W 내지 약 2,500 W의 전력 레벨로 적용되거나, 또는 예컨대 약 300 kHz의 주파수에서 고-주파수 전력과 저주파수 전력의 혼합일 수 있다.[0057] In some implementations, the plasma can be formed by capacitive or inductive means, and can be energized by coupling RF power to a halogen-containing cleaning gas mixture. The RF power can be dual-frequency RF power with high and low frequency components. RF power is typically applied at a power level of about 50 W to about 2,500 W, which can be all high-frequency RF power, for example at a frequency of about 13.56 MHz, or with high-frequency power, for example at a frequency of about 300 kHz. It can be a mix of low frequency power.

[0058] 일부 구현들에서, 반응성 종들이 엑스-시튜로 형성되는 경우, 할로겐-함유 세정 가스 혼합물은 기판-프로세싱 챔버와 유체적으로 커플링된 원격 플라즈마 소스 안으로 유동된다. 할로겐-함유 세정 가스 혼합물은 할로겐-함유 가스, 선택적으로, 탄소-함유 가스, 및 선택적으로, 희석 가스를 포함한다. 일부 구현들에서, 선택적인 희석 가스는 캐리어 가스로서 기능할 수 있다. 일부 구현들에서, 선택적인 희석 가스는 라디칼 종의 수명을 연장시키고 밀도를 증가시킬 수 있다. 일부 구현들에서, 할로겐-함유 가스는 원격 플라즈마 소스 안으로 유동되고, 다른 프로세스 가스들(예컨대, 탄소-함유 가스들)은 챔버에 개별적으로 전달된다.[0058] In some implementations, when reactive species are formed ex-situ, the halogen-containing cleaning gas mixture flows into a remote plasma source fluidly coupled to the substrate-processing chamber. The halogen-containing cleaning gas mixture comprises a halogen-containing gas, optionally, a carbon-containing gas, and, optionally, a dilution gas. In some implementations, the optional dilution gas can function as a carrier gas. In some implementations, an optional diluent gas can extend the life of the radical species and increase the density. In some implementations, the halogen-containing gas flows into a remote plasma source, and other process gases (eg, carbon-containing gases) are delivered individually to the chamber.

[0059] 원격 플라즈마 소스는 유도 결합 플라즈마 소스일 수 있다. 원격 플라즈마 소스는 할로겐-함유 세정 가스 혼합물을 수용하고, 할로겐-함유 세정 가스 혼합물에서 플라즈마를 형성하며, 이는 할로겐-함유 세정 가스 혼합물의 해리가 반응성 종을 형성하게 한다. 반응성 종은 염소 라디칼들, 브로민 라디칼들, 플루오린 라디칼들 및 이들의 조합들을 포함할 수 있다. 원격 플라즈마 소스는 할로겐-함유 세정 가스 혼합물의 고효율 해리를 제공한다.[0059] The remote plasma source can be an inductively coupled plasma source. The remote plasma source receives the halogen-containing cleaning gas mixture and forms plasma in the halogen-containing cleaning gas mixture, which causes dissociation of the halogen-containing cleaning gas mixture to form reactive species. Reactive species can include chlorine radicals, bromine radicals, fluorine radicals and combinations thereof. The remote plasma source provides high efficiency dissociation of the halogen-containing cleaning gas mixture.

[0060] 일부 구현들에서, 원격 플라즈마는, 할로겐-함유 세정 가스 혼합물을 원격 플라즈마 챔버 안으로 유입시키기 전에, 아르곤 또는 유사한 불활성 가스의 초기 유동으로 개시된다.[0060] In some implementations, the remote plasma is initiated with an initial flow of argon or similar inert gas before introducing the halogen-containing cleaning gas mixture into the remote plasma chamber.

[0061] 할로겐-함유 세정 가스 혼합물은 약 100 sccm 내지 약 20,000 sccm의 유량으로 기판-프로세싱 챔버 안으로 유동될 수 있다. 일부 구현들에서, 할로겐-함유 세정 가스 혼합물은 약 500 sccm 내지 약 4,000 sccm의 유량으로 기판-프로세싱 챔버 안으로 유동된다. 일부 구현들에서, 할로겐-함유 세정 가스 혼합물은 약 1,000 sccm의 유량으로 기판-프로세싱 챔버 안으로 유동된다.[0061] The halogen-containing cleaning gas mixture can be flowed into the substrate-processing chamber at a flow rate of about 100 sccm to about 20,000 sccm. In some implementations, the halogen-containing cleaning gas mixture flows into the substrate-processing chamber at a flow rate between about 500 sccm and about 4,000 sccm. In some implementations, the halogen-containing cleaning gas mixture flows into the substrate-processing chamber at a flow rate of about 1,000 sccm.

[0062] 일 구현에서, 기판-프로세싱 챔버 내의 압력은 약 10 mTorr 내지 약 300 Torr이다. 일 구현에서, 기판-프로세싱 챔버 내의 압력은 약 10 mTorr 내지 약 5 Torr, 예컨대, 약 20 mTorr이다.[0062] In one implementation, the pressure in the substrate-processing chamber is between about 10 mTorr and about 300 Torr. In one implementation, the pressure in the substrate-processing chamber is from about 10 mTorr to about 5 Torr, such as about 20 mTorr.

[0063] 일부 구현들에서, 원격 플라즈마는, 할로겐-함유 가스 혼합물을 원격 플라즈마 소스 안으로 유입시키기 전에, 아르곤 또는 유사한 불활성 가스의 초기 유동으로 개시된다. 그런 다음, 할로겐-함유 가스 혼합물이 원격 플라즈마 챔버 안으로 유입됨에 따라, 아르곤의 유량은 감소된다. 예로서, 원격 플라즈마는 3,000 sccm의 아르곤의 유동으로 개시될 수 있으며, 이는, 할로겐-함유 가스 혼합물이 1,000 sccm의 초기 유량으로 원격 플라즈마 챔버 안으로 유입되고 그런 다음 1,500 sccm의 유동으로 증가됨에 따라, 1,000 sccm으로 그리고 그런 다음 500 sccm으로 점진적으로 감소된다.[0063] In some implementations, the remote plasma is initiated with an initial flow of argon or similar inert gas, before introducing the halogen-containing gas mixture into the remote plasma source. Then, as the halogen-containing gas mixture is introduced into the remote plasma chamber, the flow rate of argon is reduced. By way of example, the remote plasma can be initiated with a flow of 3,000 sccm of argon, which is 1,000 as the halogen-containing gas mixture enters the remote plasma chamber at an initial flow rate of 1,000 sccm and then increases to a flow of 1,500 sccm. It is gradually reduced to sccm and then to 500 sccm.

[0064] 일부 구현들에서, 세정 프로세스는 실온에서 수행된다. 일부 구현들에서, 기판 지지 페데스털은 약 600 ℃ 이하, 예컨대, 약 10 ℃ 내지 약 200 ℃, 또는 약 10 ℃ 내지 약 50 ℃, 이를테면, 약 10 ℃ 내지 30 ℃의 온도로 가열된다. 온도를 제어하는 것은, 하이-k 유전체 재료 함유 증착물들의 제거/에칭 레이트를 제어하기 위해 사용될 수 있다. 챔버 온도가 증가함에 따라, 제거 레이트가 증가할 수 있다.[0064] In some implementations, the cleaning process is performed at room temperature. In some implementations, the substrate support pedestal is heated to a temperature of about 600 ° C or less, such as about 10 ° C to about 200 ° C, or about 10 ° C to about 50 ° C, such as about 10 ° C to 30 ° C. Controlling the temperature can be used to control the removal / etching rate of deposits containing high-k dielectric materials. As the chamber temperature increases, the removal rate can increase.

[0065] 할로겐-함유 세정 가스 혼합물로부터 형성된 반응성 종들은 기판-프로세싱 챔버에 운반된다. 일 구현에서, 반응성 종들은 할로겐 라디칼들을 포함한다. 일 구현에서, 반응성 종들은 염소 라디칼들을 포함한다. 일 구현에서, 반응성 종들은 염소 라디칼들 및 플루오린 라디칼들을 포함한다. 일 구현에서, 반응성 종들은 브로민 라디칼들을 포함한다. 일 구현에서, 반응성 종들은 브로민 라디칼들 및 수소 라디칼들을 포함한다.[0065] Reactive species formed from the halogen-containing cleaning gas mixture are carried to a substrate-processing chamber. In one embodiment, reactive species include halogen radicals. In one embodiment, reactive species include chlorine radicals. In one embodiment, reactive species include chlorine radicals and fluorine radicals. In one embodiment, reactive species include bromine radicals. In one embodiment, reactive species include bromine radicals and hydrogen radicals.

[0066] 동작(240)에서, 반응성 종들이 하이-k 유전체 재료 함유 증착물들과 반응하여, 가스 상태의 휘발성 생성물이 형성된다. 일부 구현들에서, 잔류 하이-k 유전체 재료 함유 증착물들의 제거 레이트는 챔버 구성요소들의 적어도 일부를 코팅하는 코팅 재료의 제거 레이트를 초과한다. 일부 구현들에서, 잔류 하이-k 유전체 함유 증착물들의 제거 레이트는 200 Å/분을 초과한다(예컨대, 약 200 Å/분 내지 약 400 Å/분; 약 220 Å/분 내지 약 300 Å/분; 또는 약 240 Å/분 내지 약 300 Å/분). 일부 구현들에서, 휘발성 생성물을 형성하도록 반응성 종과 잔류 하이-k 유전체 함유 증착물들을 반응시키는 것은 바이어스-프리 프로세스이다. 부가적인 바이어스가 적용되지 않는 일부 구현들에서, 코팅 재료의 제거 레이트는 50 Å/분 미만이다(예컨대, 약 0 Å/분 내지 약 50 Å/분; 약 0 Å/분 내지 약 10 Å/분, 또는 0 Å/분). 부가적인 바이어스가 적용되지 않는 일부 구현들에서, 코팅 재료의 제거 레이트는 최소이거나 또는 매우 느린 제거 레이트이다(예컨대, 50 Å/분 미만; 40 Å/분 미만; 30 Å/분 미만; 20 Å/분 미만; 20 Å/분 미만; 10 Å/분 미만; 또는 5 Å/분 미만).[0066] In operation 240, reactive species react with deposits containing high-k dielectric material to form a gaseous volatile product. In some implementations, the removal rate of deposits containing residual high-k dielectric material exceeds the removal rate of coating material coating at least some of the chamber components. In some implementations, the removal rate of residual high-k dielectric containing deposits exceeds 200 kPa / min (eg, from about 200 kPa / min to about 400 kPa / min; from about 220 kPa / min to about 300 kPa / min; Or about 240 kPa / min to about 300 kPa / min). In some implementations, reacting reactive species and residual high-k dielectric containing deposits to form a volatile product is a bias-free process. In some implementations where no additional bias is applied, the removal rate of the coating material is less than 50 kPa / min (eg, from about 0 kPa / min to about 50 kPa / min; from about 0 kPa / min to about 10 kPa / min) , Or 0 km / min). In some implementations where no additional bias is applied, the removal rate of the coating material is minimal or very slow removal rate (eg, less than 50 kPa / min; less than 40 kPa / min; less than 30 kPa / min; 20 kPa / Less than minutes; less than 20 kPa / min; less than 10 kPa / min; or less than 5 kPa / min).

[0067] 선택적으로, 동작(250)에서, 가스 상태인 휘발성 생성물은, 기판-프로세싱 챔버의 밖으로 퍼징된다. 퍼지 가스를 기판-프로세싱 챔버 안으로 유동시킴으로써, 기판-프로세싱 챔버는 능동적으로 퍼징될 수 있다. 퍼지 가스를 유입시키는 것에 부가하여 또는 대안적으로, 기판-프로세싱 챔버로부터 임의의 부산물들 뿐만 아니라 임의의 잔류 세정 가스를 제거하기 위하여, 기판-프로세싱 챔버는 감압될 수 있다. 기판-프로세싱 챔버를 진공배기함으로써, 기판-프로세싱 챔버는 퍼징될 수 있다. 퍼지 프로세스의 시간-기간은 일반적으로, 기판-프로세싱 챔버로부터 휘발성 생성물들을 제거하기에 충분히 길어야 한다. 퍼지 가스 유동의 시간-기간은 일반적으로, 챔버 구성요소들을 포함하여, 챔버의 내부 표면들로부터 휘발성 생성물들을 제거하기에 충분히 길어야 한다.[0067] Optionally, in operation 250, the gaseous volatile product is purged out of the substrate-processing chamber. By flowing the purge gas into the substrate-processing chamber, the substrate-processing chamber can be actively purged. In addition or alternatively to introducing a purge gas, the substrate-processing chamber can be depressurized to remove any residual cleaning gas as well as any byproducts from the substrate-processing chamber. By evacuating the substrate-processing chamber, the substrate-processing chamber can be purged. The time-duration of the purge process should generally be long enough to remove volatile products from the substrate-processing chamber. The time-period of purge gas flow should generally be long enough to remove volatile products from the interior surfaces of the chamber, including chamber components.

[0068] 동작(260)에서, 선정된 세정 종점이 달성될 때까지, 동작(230), 동작(240) 및 동작(250) 중 적어도 하나가 반복된다. 여러 세정 사이클들은, 이러한 세정 사이클들 사이에 선택적인 퍼지 프로세스가 수행되는 상태로, 적용될 수 있다는 것이 이해되어야 한다.[0068] In operation 260, at least one of operation 230, operation 240, and operation 250 is repeated until the selected cleaning end point is achieved. It should be understood that several cleaning cycles can be applied with an optional purge process performed between these cleaning cycles.

[0069] 일부 구현들에서, 방법(200)은, 기판-프로세싱 챔버로부터 (존재한다면) 코팅 재료를 제거하는 단계를 더 포함한다. 반응성 종을 형성하면서, 그리고/또는 제2 휘발성 생성물을 형성하도록 반응성 종과 코팅 재료를 반응시키면서, 부가적인 바이어스를 적용함으로써, 코팅 재료는 제거된다. 제2 휘발성 생성물은 기판-프로세싱 챔버로부터 제거될 수 있다.[0069] In some implementations, the method 200 further includes removing the coating material (if present) from the substrate-processing chamber. The coating material is removed by applying additional bias while forming the reactive species and / or reacting the coating material with the reactive species to form a second volatile product. The second volatile product can be removed from the substrate-processing chamber.

[0070] 도 3은 기판-프로세싱 챔버로부터 하이-k 재료들을 제거하기 위해 사용될 수 있는 방법(200)의 일 구현의 프로세스 흐름 다이어그램을 도시한다. 기판-프로세싱 챔버는 도 1a 및 도 1b에서 도시된 기판-프로세싱 챔버(100)와 유사할 수 있다. 동작(310)에서, 기판-프로세싱 챔버에 배치된 기판 위에 지르코늄 옥사이드(ZrO2) 함유 층이 증착된다. 기판 위의 지르코늄 옥사이드 함유 층의 증착 동안, 지르코늄 옥사이드 및/또는 지르코늄 옥사이드 함유 화합물들은, 기판-프로세싱 챔버의 챔버 구성요소들(예컨대, 가스 분배 플레이트, 기판 지지 조립체, 섀도 프레임, 측벽들 등)을 포함하여, 내부 표면들 위에 증착될 수 있다. 지르코늄 옥사이드 함유 층은 알루미늄-도핑된 지르코늄 옥사이드 함유 층일 수 있다. 지르코늄 옥사이드 함유 층은, 예컨대, CVD(chemical vapor deposition) 프로세스, PECVD(plasma-enhanced chemical vapor deposition) 프로세스, 챔버, ALD(atomic layer deposition) 프로세스, MOCVD(metal-organic chemical vapor deposition) 및 PVD(physical vapor deposition) 프로세스를 사용하여 증착될 수 있다. 하나 이상의 내부 표면들/챔버 구성요소들은 알루미늄, 스테인레스 스틸, 니켈-철 합금들(예컨대, 인바 또는 64FeNi), 또는 플라즈마 프로세싱과 호환되는 다른 재료들을 포함할 수 있다. 일부 구현들에서, 챔버 구성요소들의 적어도 일부분들은 알루미늄으로 구성된다. 일부 구현들에서, 챔버 구성요소들의 적어도 일부분들은, 상부에 배치된 알루미나(Al2O3) 층을 갖는다. 일부 구현들에서, 챔버 구성요소들의 적어도 일부분들은 스테인레스 스틸로 구성된다.3 shows a process flow diagram of one implementation of a method 200 that can be used to remove high-k materials from a substrate-processing chamber. The substrate-processing chamber may be similar to the substrate-processing chamber 100 shown in FIGS. 1A and 1B. In operation 310, a layer containing zirconium oxide (ZrO 2 ) is deposited over the substrate disposed in the substrate-processing chamber. During the deposition of the zirconium oxide containing layer on the substrate, zirconium oxide and / or zirconium oxide containing compounds are used to remove the chamber components of the substrate-processing chamber (eg, gas distribution plate, substrate support assembly, shadow frame, side walls, etc.). Including, it can be deposited on the inner surfaces. The zirconium oxide containing layer can be an aluminum-doped zirconium oxide containing layer. Zirconium oxide containing layers include, for example, chemical vapor deposition (CVD) processes, plasma-enhanced chemical vapor deposition (PECVD) processes, chambers, atomic layer deposition (ALD) processes, metal-organic chemical vapor deposition (MOCVD) and physical (PVD) vapor deposition) process. The one or more interior surfaces / chamber components may include aluminum, stainless steel, nickel-iron alloys (eg, Invar or 64FeNi), or other materials compatible with plasma processing. In some implementations, at least some of the chamber components are made of aluminum. In some implementations, at least some of the chamber components have a layer of alumina (Al 2 O 3 ) disposed thereon. In some implementations, at least some of the chamber components are made of stainless steel.

[0071] 동작(320)에서, 기판은 기판-프로세싱 챔버의 밖으로 이송된다. 일부 구현들에서, 기판은 세정 프로세스 동안 기판-프로세싱 챔버에 계속 유지된다.[0071] In operation 320, the substrate is transferred out of the substrate-processing chamber. In some implementations, the substrate remains in the substrate-processing chamber during the cleaning process.

[0072] 동작(330)에서, 기판-프로세싱 챔버 안으로 반응성 종이 유입된다. 반응성 종은, 인-시튜로 생성된 플라즈마를 활용하여 생성될 수 있거나, 또는 플라즈마는 엑스-시튜로(예컨대, 원격으로) 생성될 수 있다. 적절한 플라즈마 생성 기법들, 이를테면, ICP(inductive-coupled plasma), CCP(capacitive-coupled plasma), RPS(remote plasma source) 또는 마이크로파 플라즈마 생성 기법들이 반응성 종을 형성하기 위해 활용될 수 있다. 일부 구현들에서, 반응성 종들은 인-시튜 플라즈마 프로세스를 통해 인-시튜로 형성된다. 일부 구현들에서, 반응성 종들은 원격 플라즈마 소스를 통해 엑스-시튜로 형성된다.[0072] In operation 330, reactive species are introduced into the substrate-processing chamber. Reactive species can be generated utilizing plasma generated in-situ, or plasma can be generated ex-situ (eg, remotely). Suitable plasma generation techniques, such as inductive-coupled plasma (ICP), capacitive-coupled plasma (CCP), remote plasma source (RPS) or microwave plasma generation techniques can be utilized to form reactive species. In some implementations, reactive species are formed in-situ through an in-situ plasma process. In some implementations, reactive species are formed ex-situ through a remote plasma source.

[0073] 일 구현에서, 반응성 종은, 프로세스 볼륨(106) 안으로 세정 가스 혼합물을 유동시킴으로써 생성될 수 있다. 일 구현에서, 세정 가스 혼합물은 BCl3, 그리고 선택적으로 희석 가스를 포함한다. 희석 가스는 헬륨, 아르곤 또는 이들의 조합들로부터 선택되는 불활성 가스일 수 있다. 세정 가스 혼합물은 RF 소스 및/또는 바이어스 전력에 노출된다. RF 소스 및/또는 바이어스 전력은 플라즈마가 유지될 수 있도록 프로세스 볼륨(106) 내의 세정 가스 혼합물에 에너지를 공급한다. 일 구현에서, 제1 전력 소스(122)는 0.3 MHz 내지 약 14 MHz, 이를테면, 약 13.56 MHz의 주파수에서 RF 전력을 제공하도록 동작될 수 있다. 제1 전력 소스(122)는 약 10 와트 내지 약 5000 와트(예컨대, 약 300 와트 내지 약 1500 와트; 약 500 와트 내지 약 1000 와트)의 RF 전력을 생성할 수 있다.In one implementation, reactive species can be produced by flowing a cleaning gas mixture into process volume 106. In one implementation, the cleaning gas mixture comprises BCl 3 , and optionally a dilution gas. The dilution gas can be an inert gas selected from helium, argon or combinations thereof. The cleaning gas mixture is exposed to the RF source and / or bias power. The RF source and / or bias power energizes the cleaning gas mixture in process volume 106 so that the plasma can be maintained. In one implementation, the first power source 122 can be operated to provide RF power at a frequency of 0.3 MHz to about 14 MHz, such as about 13.56 MHz. The first power source 122 can generate RF power from about 10 watts to about 5000 watts (eg, about 300 watts to about 1500 watts; about 500 watts to about 1000 watts).

[0074] 일부 구현들에서, RF 소스 전력에 부가하여, RF 바이어스 전력은 또한, 세정 가스 혼합물을 해리시켜서 플라즈마를 형성하는 것을 보조하기 위해 세정 프로세스 동안 활용될 수 있다. RF 바이어스는 제2 전력 소스(160)에 의해 제공될 수 있다. 일 구현에서, 제1 전력 소스(122)는 0.3 MHz 내지 약 14 MHz, 이를테면, 약 13.56 MHz의 주파수에서 RF 전력을 제공하도록 동작될 수 있다. RF 바이어스 전력은 300 kHz의 주파수에서 약 0 와트 내지 약 1000 와트(예컨대, 약 10 와트 내지 약 100 와트)로 공급될 수 있다. 일 구현에서, RF 바이어스 전력은 약 500 Hz 내지 약 10 kHz의 RF 주파수에서 약 10% 내지 약 95%의 듀티 사이클로 펄싱될 수 있다. 일부 구현들에서, 이러한 부가적인 바이어스가 적용되는 경우, Al2O3는 잔류 ZrO2 함유 막과 함께 제거된다.[0074] In some implementations, in addition to the RF source power, RF bias power can also be utilized during the cleaning process to assist in dissociating the cleaning gas mixture to form a plasma. RF bias can be provided by the second power source 160. In one implementation, the first power source 122 can be operated to provide RF power at a frequency of 0.3 MHz to about 14 MHz, such as about 13.56 MHz. The RF bias power can be supplied at a frequency of 300 kHz from about 0 watts to about 1000 watts (eg, about 10 watts to about 100 watts). In one implementation, the RF bias power can be pulsed with a duty cycle of about 10% to about 95% at an RF frequency of about 500 Hz to about 10 kHz. In some implementations, when this additional bias is applied, Al 2 O 3 is removed along with the residual ZrO 2 containing film.

[0075] 일부 구현들에서, RF 소스 전력에 부가하여, DC 바이어스 전력이 또한, 세정 가스 혼합물을 해리시켜서 플라즈마를 형성하는 것을 보조하기 위해 세정 프로세스 동안 활용될 수 있다. DC 바이어스는 제2 전력 소스(160)에 의해 제공될 수 있다. 일 구현에서, 제1 전력 소스(122)는 0.3 MHz 내지 약 14 MHz, 이를테면, 약 13.56 MHz의 주파수에서 RF 전력을 제공하도록 동작될 수 있다. 제2 전력 소스(160)는, 300 kHz의 주파수에서 약 10 와트 내지 약 3000 와트(예컨대, 약 10 와트 내지 약 1000 와트; 또는 약 10 와트 내지 약 100 와트)로 DC 바이어스 전력을 제공하도록 동작될 수 있다. 일 구현에서, DC 바이어스 전력은 약 500 Hz 내지 약 10 kHz의 주파수에서 약 10% 내지 약 95%의 듀티 사이클로 펄싱될 수 있다. 이론에 얽매이지는 않지만, DC 바이어스는 에칭을 향상시키기 위해 플라즈마와 기판 사이에 전위차를 설정하는 것으로 여겨진다.[0075] In some implementations, in addition to RF source power, DC bias power can also be utilized during the cleaning process to help dissociate the cleaning gas mixture to form a plasma. DC bias can be provided by the second power source 160. In one implementation, the first power source 122 can be operated to provide RF power at a frequency of 0.3 MHz to about 14 MHz, such as about 13.56 MHz. The second power source 160 is operated to provide DC bias power at a frequency of 300 kHz from about 10 watts to about 3000 watts (eg, about 10 watts to about 1000 watts; or about 10 watts to about 100 watts). You can. In one implementation, the DC bias power can be pulsed with a duty cycle of about 10% to about 95% at a frequency of about 500 Hz to about 10 kHz. Without being bound by theory, DC bias is believed to set a potential difference between the plasma and the substrate to improve etching.

[0076] 일부 구현들에서, 플라즈마는, 용량성 또는 유도성 수단에 의해 형성될 수 있으며, RF 전력을 세정 가스 혼합물에 커플링함으로써 에너지를 공급받을 수 있다. RF 전력은 고주파수 성분 및 저주파수 성분을 갖는 이중-주파수 RF 전력일 수 있다. RF 전력은 통상적으로, 예컨대 약 13.56 MHz의 주파수에서 전부 고-주파수 RF 전력일 수 있는, 약 50 W 내지 약 2,500 W의 전력 레벨로 적용되거나, 또는 예컨대 약 300 kHz의 주파수에서 고-주파수 전력과 저주파수 전력의 혼합일 수 있다.[0076] In some implementations, the plasma can be formed by capacitive or inductive means, and can be energized by coupling RF power to the cleaning gas mixture. The RF power can be dual-frequency RF power with high and low frequency components. RF power is typically applied at a power level of about 50 W to about 2,500 W, which can be all high-frequency RF power, for example, at a frequency of about 13.56 MHz, or with high-frequency power, for example, at a frequency of about 300 kHz. It can be a mix of low frequency power.

[0077] 일부 구현들에서, 반응성 종들이 엑스-시튜로 형성되는 경우, BCl3 함유 가스 혼합물은 기판-프로세싱 챔버와 유체적으로 커플링된 원격 플라즈마 소스 안으로 유동된다. BCl3 함유 가스 혼합물은 BCl3, 그리고 선택적으로 불활성 가스를 포함한다. 일부 구현들에서, 선택적인 불활성 가스는 캐리어 가스로서 기능할 수 있다. 일부 구현들에서, 선택적인 불활성 가스는 라디칼 종의 수명을 연장시키고 밀도를 증가시킬 수 있다. 일부 구현들에서, BCl3 함유 가스 혼합물은 원격 플라즈마 소스 안으로 유동되고, 다른 프로세스 가스들은 챔버에 개별적으로 전달된다. 선택적인 불활성 가스는 헬륨, 아르곤 또는 이들의 조합들로 구성된 그룹으로부터 선택될 수 있다.In some implementations, when reactive species are formed ex-situ, the BCl 3 containing gas mixture flows into a remote plasma source fluidly coupled to the substrate-processing chamber. The gas mixture containing BCl 3 comprises BCl 3 , and optionally an inert gas. In some implementations, the optional inert gas can function as a carrier gas. In some implementations, an optional inert gas can extend the life of the radical species and increase the density. In some implementations, the BCl 3 containing gas mixture flows into a remote plasma source and other process gases are delivered individually to the chamber. The optional inert gas can be selected from the group consisting of helium, argon or combinations thereof.

[0078] 원격 플라즈마 소스는 유도 결합 플라즈마 소스일 수 있다. 원격 플라즈마 소스는 BCl3 함유 가스 혼합물을 수용하고 BCl3 함유 가스 혼합물에서 플라즈마를 형성하며, 이는 BCl3 함유 가스 혼합물의 해리가 반응성 종을 형성하게 한다. 반응성 종은 염소 라디칼들을 포함할 수 있다. 원격 플라즈마 소스는 BCl3 함유 가스 혼합물의 고효율 해리를 제공한다.[0078] The remote plasma source may be an inductively coupled plasma source. A remote plasma source, and receives a gas mixture containing BCl 3, and forming a plasma from a gas mixture containing BCl 3, which causes the dissociation of BCl 3 containing gas mixture to form a reactive species. Reactive species can include chlorine radicals. Remote plasma sources provide high efficiency dissociation of gas mixtures containing BCl 3 .

[0079] 일부 구현들에서, 원격 플라즈마는, BCl3 함유 가스 혼합물을 원격 플라즈마 챔버 안으로 유입시키기 전에, 아르곤 또는 유사한 불활성 가스의 초기 유동으로 개시된다.[0079] In some implementations, the remote plasma is initiated with an initial flow of argon or similar inert gas, prior to introducing the BCl 3 containing gas mixture into the remote plasma chamber.

[0080] BCl3 함유 가스 혼합물은 약 100 sccm 내지 약 10,000 sccm의 유량으로 기판-프로세싱 챔버 안으로 유동될 수 있다. 일부 구현들에서, BCl3 함유 가스 혼합물은 약 500 sccm 내지 약 4,000 sccm의 유량으로 기판-프로세싱 챔버 안으로 유동된다. 일부 구현들에서, BCl3 함유 가스 혼합물은 약 1,000 sccm의 유량으로 기판-프로세싱 챔버 안으로 유동된다.The gas mixture containing BCl 3 can be flowed into the substrate-processing chamber at a flow rate of about 100 sccm to about 10,000 sccm. In some implementations, the BCl 3 containing gas mixture flows into the substrate-processing chamber at a flow rate between about 500 sccm and about 4,000 sccm. In some implementations, the BCl 3 containing gas mixture flows into the substrate-processing chamber at a flow rate of about 1,000 sccm.

[0081] 기판-프로세싱 챔버 내의 압력은 약 10 mTorr 내지 약 300 Torr일 수 있다. 기판-프로세싱 챔버 내의 압력은 10 mTorr 내지 약 5 Torr, 예컨대, 약 20 mTorr일 수 있다.[0081] The pressure in the substrate-processing chamber can be from about 10 mTorr to about 300 Torr. The pressure in the substrate-processing chamber can be from 10 mTorr to about 5 Torr, such as about 20 mTorr.

[0082] 일부 구현들에서, 원격 플라즈마는, BCl3를 원격 플라즈마 소스 안으로 유입시키기 전에, 아르곤 또는 유사한 불활성 가스의 초기 유동으로 개시된다. 그런 다음, BCl3가 원격 플라즈마 챔버 안으로 유입됨에 따라, 아르곤의 유량은 감소된다. 예로서, 원격 플라즈마는 3,000 sccm의 아르곤의 유동으로 개시될 수 있으며, 이는, BCl3가 1,000 sccm의 초기 유량으로 원격 플라즈마 챔버 안으로 유입되고 그런 다음 1,500 sccm의 유동으로 증가됨에 따라, 1,000 sccm으로 그리고 그런 다음 500 sccm으로 점진적으로 감소된다.[0082] In some implementations, the remote plasma is initiated with an initial flow of argon or similar inert gas, prior to introducing BCl 3 into the remote plasma source. Then, as BCl 3 flows into the remote plasma chamber, the flow rate of argon is reduced. As an example, the remote plasma can be initiated with a flow of 3,000 sccm of argon, which increases to 1,000 sccm, as BCl 3 enters the remote plasma chamber at an initial flow rate of 1,000 sccm and then increases to a flow of 1,500 sccm. Then it is gradually reduced to 500 sccm.

[0083] 일부 구현들에서, 세정 프로세스는 실온에서 수행된다. 일부 구현들에서, 기판 지지 페데스털은 약 600 ℃ 이하, 예컨대, 약 10 ℃ 내지 약 200 ℃, 또는 약 10 ℃ 내지 약 50 ℃, 이를테면, 약 10 ℃ 내지 30 ℃의 온도로 가열된다. 온도를 제어하는 것은, 하이-k 유전체 재료 증착물들의 제거/에칭 레이트를 제어하기 위해 사용될 수 있다. 챔버 온도가 증가함에 따라, 제거 레이트가 증가할 수 있다.[0083] In some implementations, the cleaning process is performed at room temperature. In some implementations, the substrate support pedestal is heated to a temperature of about 600 ° C or less, such as about 10 ° C to about 200 ° C, or about 10 ° C to about 50 ° C, such as about 10 ° C to 30 ° C. Controlling the temperature can be used to control the removal / etching rate of high-k dielectric material deposits. As the chamber temperature increases, the removal rate can increase.

[0084] BCl3 가스 혼합물로부터 형성된 반응성 종들은 기판-프로세싱 챔버에 운반된다. 반응성 종들은 염소 라디칼들을 포함한다.Reactive species formed from the BCl 3 gas mixture are carried to a substrate-processing chamber. Reactive species include chlorine radicals.

[0085] 동작(340)에서, 반응성 종들이 지르코늄 옥사이드 함유 증착물들과 반응하여, 가스 상태의 휘발성 생성물이 형성된다. 휘발성 생성물은 지르코늄 테트라클로라이드(ZrCl4)를 포함한다. 일부 구현들에서, 잔류 ZrO2 함유 막의 제거 레이트는, 알루미늄 챔버 구성요소들의 적어도 일부를 코팅하는 Al2O3의 제거 레이트를 초과한다. 일부 구현들에서, 잔류 ZrO2 함유 막의 제거 레이트는 200 Å/분을 초과한다(예컨대, 약 200 Å/분 내지 약 400 Å/분; 약 220 Å/분 내지 약 300 Å/분; 또는 약 240 Å/분 내지 약 300 Å/분). 일부 구현들에서, 휘발성 생성물을 형성하도록 반응성 종과 잔류 ZrO2 함유 막을 반응시키는 것은 바이어스-프리 프로세스이다. 부가적인 바이어스가 적용되지 않는 일부 구현들에서, Al2O3 제거 레이트는 50 Å/분 미만이다(예컨대, 약 0 Å/분 내지 약 50 Å/분; 약 0 Å/분 내지 약 10 Å/분, 또는 0 Å/분).[0085] In operation 340, reactive species react with the zirconium oxide containing deposits to form a gaseous volatile product. Volatile products include zirconium tetrachloride (ZrCl 4 ). In some implementations, the removal rate of the residual ZrO 2 containing film exceeds the removal rate of Al 2 O 3 coating at least some of the aluminum chamber components. In some implementations, the removal rate of the residual ZrO 2 containing film exceeds 200 Pa / min (eg, from about 200 Pa / min to about 400 Pa / min; from about 220 Pa / min to about 300 Pa / min; or about 240 Å / min to about 300 Å / min). In some implementations, reacting the reactive species with a residual ZrO 2 containing film to form a volatile product is a bias-free process. In some implementations where no additional bias is applied, the Al 2 O 3 removal rate is less than 50 kV / min (eg, from about 0 kV / min to about 50 kV / min; from about 0 kV / min to about 10 kV / min). Minute, or 0 km / min).

[0086] 선택적으로, 동작(350)에서, 가스 상태인 휘발성 생성물은, 기판-프로세싱 챔버의 밖으로 퍼징된다. 퍼지 가스를 기판-프로세싱 챔버 안으로 유동시킴으로써, 기판-프로세싱 챔버는 능동적으로 퍼징될 수 있다. 퍼지 가스를 유입시키는 것에 부가하여 또는 대안적으로, 기판-프로세싱 챔버로부터 임의의 부산물들 뿐만 아니라 임의의 잔류 세정 가스를 제거하기 위하여, 기판-프로세싱 챔버는 감압될 수 있다. 기판-프로세싱 챔버를 진공배기함으로써, 기판-프로세싱 챔버는 퍼징될 수 있다. 퍼지 프로세스의 시간-기간은 일반적으로, 기판-프로세싱 챔버로부터 휘발성 생성물들을 제거하기에 충분히 길어야 한다. 퍼지 가스 유동의 시간-기간은 일반적으로, 챔버 구성요소들을 포함하여, 챔버의 내부 표면들로부터 휘발성 생성물들을 제거하기에 충분히 길어야 한다.[0086] Optionally, in operation 350, the gaseous volatile product is purged out of the substrate-processing chamber. By flowing the purge gas into the substrate-processing chamber, the substrate-processing chamber can be actively purged. In addition or alternatively to introducing a purge gas, the substrate-processing chamber can be depressurized to remove any residual cleaning gas as well as any byproducts from the substrate-processing chamber. By evacuating the substrate-processing chamber, the substrate-processing chamber can be purged. The time-duration of the purge process should generally be long enough to remove volatile products from the substrate-processing chamber. The time-period of purge gas flow should generally be long enough to remove volatile products from the interior surfaces of the chamber, including chamber components.

[0087] 동작(360)에서, 선정된 세정 종점이 달성될 때까지, 동작(330), 동작(340) 및 동작(350) 중 적어도 하나가 반복된다. 여러 세정 사이클들은, 이러한 세정 사이클들 사이에 선택적인 퍼지 프로세스가 수행되는 상태로, 적용될 수 있다는 것이 이해되어야 한다.[0087] In operation 360, at least one of operation 330, operation 340, and operation 350 is repeated until the selected cleaning end point is achieved. It should be understood that several cleaning cycles can be applied with an optional purge process performed between these cleaning cycles.

[0088] 일부 구현들에서, 방법(300)은, 기판-프로세싱 챔버로부터 (존재한다면) Al2O3 함유 막을 제거하는 단계를 더 포함한다. 반응성 종을 형성하면서, 그리고/또는 제2 휘발성 생성물을 형성하도록 반응성 종과 Al2O3 함유 막을 반응시키면서, 부가적인 바이어스를 적용함으로써, Al2O3가 제거된다. 제2 휘발성 생성물은 기판-프로세싱 챔버로부터 제거될 수 있다.In some implementations, the method 300 further includes removing the Al 2 O 3 containing film (if present) from the substrate-processing chamber. Al 2 O 3 is removed by applying additional bias while forming the reactive species and / or reacting the Al 2 O 3 containing film with the reactive species to form a second volatile product. The second volatile product can be removed from the substrate-processing chamber.

[0089] 예들: [0089] Examples:

[0090] 다음의 비-제한적인 예들은 본원에서 설명된 구현들을 추가로 예시하기 위해 제공된다. 그러나, 예들은 모든 것을 포함하는 것으로 의도되지 않으며, 본원에서 설명된 구현들의 범위를 제한하는 것으로 의도되지 않는다. 표 1은 본 개시내용의 일 구현에 따라 수행되는 세정 프로세스에 대한 결과들을 도시한다. 표 1에서 도시된 바와 같이, BCl3을 이용하여 그리고 DC 바이어스 없이 수행되는 유도 결합 플라즈마 프로세스는, Al2O3에 비해 ZrO2, 알루미늄-도핑된 ZrO2 및 알루미늄에 대해 더 높은 제거 레이트를 갖는다. 표 1에서 추가로 도시된 바와 같이, DC 바이어스가 적용될 때, 프로세스는 또한, Al2O3를 제거한다.The following non-limiting examples are provided to further illustrate the implementations described herein. However, the examples are not intended to be all-inclusive, and are not intended to limit the scope of the implementations described herein. Table 1 shows the results for a cleaning process performed according to one implementation of the present disclosure. As shown in Table 1, the inductively coupled plasma process performed with BCl 3 and without DC bias, has a higher removal rate for ZrO 2 , aluminum-doped ZrO 2 and aluminum compared to Al 2 O 3 . . As further shown in Table 1, when DC bias is applied, the process also removes Al 2 O 3 .

Figure pct00001
Figure pct00001

[0091] 표 2는 본 개시내용의 일 구현에 따라 수행되는 세정 프로세스에 대한 결과들을 도시한다. 표 2에서 도시된 바와 같이, BCl3을 이용하여 그리고 DC 바이어스 없이 수행되는 용량 결합 플라즈마 프로세스는, Al2O3, 스테인레스 스틸, 인바 및 이트륨 코팅에 비해 ZrO2, 알루미늄-도핑된 ZrO2 및 알루미늄에 대해 더 높은 제거 레이트를 갖는다.Table 2 shows the results for a cleaning process performed according to one implementation of the present disclosure. As shown in Table 2, the capacitively coupled plasma process performed with BCl 3 and without DC bias is ZrO 2 , aluminum-doped ZrO 2 and aluminum compared to Al 2 O 3 , stainless steel, Invar and Yttrium coatings. Has a higher removal rate.

Figure pct00002
Figure pct00002

[0092] 요약하면, 본 개시내용의 일부 이점들은, 챔버 코팅 재료들(예컨대, Al2O3 및/또는 이트륨-함유 화합물들) 및/또는 챔버 재료들(예컨대, 스테인레스 스틸 및/또는 니켈-철 합금들)의 에칭이 없거나 또는 최소의 에칭으로, 잔류 하이-k 유전체 막들(예컨대, ZrO2 및 HfO2)을 선택성 에칭(selectivity etch)하는 능력을 포함한다. 이 선택성은 알루미늄 챔버 구성요소들을 보호하기 위해 사용될 수 있다. 알루미늄 챔버 구성요소들은 통상적으로, 플라즈마 세정 프로세스들 동안 에칭된다. 본 발명자들은, 챔버 내의 알루미늄 구성요소들을 보호하기 위해 Al2O3 양극처리 또는 다른 챔버 코팅 재료들을 사용하는 것이, 알루미늄 구성요소들을 손상시키지 않고, 잔류 하이-k 유전체 막들의 우선적인 제거를 가능하게 하고, 이것이 하드웨어 부품들의 신뢰성 및 수명을 보장한다는 것을 발견했다. 선택성은 인-시튜 세정 능력을 가능하게 하는 데 중심이 된다. 따라서, 세정 동안, 잔류 막들은 세정제(예컨대, BCl3, Cl2, HBr 또는 NF3)에 의해 제거될 수 있지만, 챔버 내부의 알루미늄 측벽들 및 다른 알루미늄 하드웨어 구성요소들은 계속 온전한 상태로 유지된다. 위에서 언급된 바와 같이, 본 개시내용의 구현들은, 할로겐-함유 가스 혼합물로부터의 반응성 플라즈마 종을 사용하여 잔류 하이-k 유전체 막들을 세정하고, 챔버 내부의 알루미늄 하드웨어 부품들 상의 코팅 재료들을 사용하여 알루미늄 하드웨어 부품들을 보호하는 것을 포함한다. 반응성 플라즈마 종은 하이-k 유전체 재료들 및 알루미늄을 효과적으로 에칭할 수 있지만, 부가적인 바이어스가 적용되지 않으면, 코팅 재료를 에칭하지는 않는다. 따라서, 알루미늄이 코팅 재료(예컨대, Al2O3 및/또는 이트륨-함유 화합물들)로 코팅되는 한, 하드웨어 부품들의 재료로서 알루미늄이 사용될 수 있다. 부가적인 바이어스가 적용될 때, 반응성 플라즈마 종은 또한, Al2O3를 에칭할 수 있다. 이들 특징들은 반응성 플라즈마 종이, 증착 챔버들로부터 하이-k 재료들의 인-시튜 세정을 위한 이상적인 세정제가 되게 한다.In summary, some advantages of the present disclosure include chamber coating materials (eg, Al 2 O 3 and / or yttrium-containing compounds) and / or chamber materials (eg, stainless steel and / or nickel- And the ability to selectively etch residual high-k dielectric films (eg, ZrO 2 and HfO 2 ) with no or minimal etching of iron alloys). This selectivity can be used to protect aluminum chamber components. Aluminum chamber components are typically etched during plasma cleaning processes. We use Al 2 O 3 anodizing or other chamber coating materials to protect the aluminum components in the chamber, enabling preferential removal of residual high-k dielectric films without damaging the aluminum components. And discovered that this ensures the reliability and lifespan of the hardware components. Selectivity is central to enabling in-situ cleaning capability. Thus, during cleaning, residual films can be removed by a cleaning agent (eg, BCl 3 , Cl 2 , HBr or NF 3 ), but the aluminum sidewalls inside the chamber and other aluminum hardware components remain intact. As mentioned above, implementations of the present disclosure clean residual high-k dielectric films using reactive plasma species from a halogen-containing gas mixture, and use aluminum coating materials on aluminum hardware components inside the chamber. And protecting hardware components. The reactive plasma species can effectively etch high-k dielectric materials and aluminum, but do not etch the coating material unless additional bias is applied. Thus, as long as aluminum is coated with a coating material (eg, Al 2 O 3 and / or yttrium-containing compounds), aluminum can be used as a material for hardware parts. The reactive plasma species can also etch Al 2 O 3 when additional bias is applied. These features make reactive plasma paper, an ideal cleaner for in-situ cleaning of high-k materials from deposition chambers.

[0093] 본 개시내용 또는 본 개시내용의 예시적인 양상들 또는 구현(들)의 요소들을 도입할 때, 단수형의 표현, "이러한" 그리고 "상기"는 요소들 중 하나 이상이 있다는 것을 의미하는 것으로 의도된다.[0093] When introducing elements of the present disclosure or exemplary aspects or implementation (s) of the present disclosure, the singular expression, “such” and “above” is intended to mean that there is one or more of the elements.

[0094] "포함하는(comprising)", "포함하는(including)" 및 "갖는"이라는 용어들은 포괄적인 것으로, 그리고 열거된 요소들 이외의 부가적인 요소들이 있을 수 있다는 것을 의미하는 것으로 의도된다.[0094] The terms "comprising", "including" and "having" are intended to be inclusive and mean that there may be additional elements other than those listed.

[0095] 전술된 내용이 본 개시내용의 구현들에 관한 것이지만, 본 개시내용의 기본적인 범위를 벗어나지 않고, 본 개시내용의 다른 그리고 추가적인 구현들이 고안될 수 있으며, 본 개시내용의 범위는 다음의 청구항들에 의해 결정된다.[0095] Although the foregoing is directed to implementations of the present disclosure, other and additional implementations of the present disclosure can be devised without departing from the basic scope of the present disclosure, and the scope of the present disclosure is defined by the following claims. Is decided.

Claims (15)

프로세싱 챔버를 세정하기 위한 방법으로서,
프로세싱 챔버 안으로 반응성 종(species)을 유입시키는 단계 ―상기 프로세싱 챔버의 하나 이상의 내부 표면들 상에는 잔류 하이-k 유전체 재료가 형성되고, 상기 하나 이상의 내부 표면들은 스테인레스 스틸, 니켈-철 합금 또는 이들의 조합들로부터 선택되는 재료를 포함함―;
휘발성 생성물을 형성하도록 상기 반응성 종과 상기 잔류 하이-k 유전체 재료를 반응시키는 단계; 및
상기 프로세싱 챔버로부터 상기 휘발성 생성물을 제거하는 단계
를 포함하며,
상기 잔류 하이-k 유전체 재료의 제거 레이트는 상기 하나 이상의 내부 표면들의 상기 재료의 제거 레이트를 초과하고,
상기 반응성 종은 할로겐-함유 가스 혼합물로부터 형성되며, 그리고
상기 잔류 하이-k 유전체 재료는 지르코늄 디옥사이드(ZrO2) 및 하프늄 디옥사이드(HfO2)로부터 선택되는,
프로세싱 챔버를 세정하기 위한 방법.
A method for cleaning a processing chamber,
Introducing reactive species into the processing chamber—residual high-k dielectric material is formed on one or more inner surfaces of the processing chamber, the one or more inner surfaces being stainless steel, nickel-iron alloy, or combinations thereof Materials selected from the group;
Reacting the reactive species with the residual high-k dielectric material to form a volatile product; And
Removing the volatile product from the processing chamber
It includes,
The removal rate of the residual high-k dielectric material exceeds the removal rate of the material of the one or more inner surfaces,
The reactive species is formed from a halogen-containing gas mixture, and
The residual high-k dielectric material is selected from zirconium dioxide (ZrO 2 ) and hafnium dioxide (HfO 2 ),
Method for cleaning a processing chamber.
제1 항에 있어서,
상기 하나 이상의 내부 표면들은, 상부에 코팅 재료가 형성된 적어도 하나의 표면을 더 포함하고, 상기 코팅 재료는 알루미나(Al2O3), 이트륨-함유 화합물들 또는 이들의 조합들로부터 선택되는 화합물을 포함하는,
프로세싱 챔버를 세정하기 위한 방법.
According to claim 1,
The one or more inner surfaces further include at least one surface on which a coating material is formed, and the coating material includes a compound selected from alumina (Al 2 O 3 ), yttrium-containing compounds, or combinations thereof. doing,
Method for cleaning a processing chamber.
제2 항에 있어서,
상기 이트륨-함유 화합물은 이트륨 옥사이드(Y2O3), 이트륨 옥사이드 플루오라이드(YOF), 이트륨 클로레이트(Y(ClO3)3), 이트륨(Ⅲ) 플루오라이드(YF3), 이트륨(Ⅲ) 클로라이드(YCl3), 이트리아-안정화된 지르코니아(YSZ) 또는 이들의 조합들로부터 선택되는,
프로세싱 챔버를 세정하기 위한 방법.
According to claim 2,
The yttrium-containing compound is yttrium oxide (Y 2 O 3 ), yttrium oxide fluoride (YOF), yttrium chlorate (Y (ClO 3 ) 3 ), yttrium (III) fluoride (YF 3 ), yttrium (III) Selected from chloride (YCl 3 ), yttria-stabilized zirconia (YSZ), or combinations thereof,
Method for cleaning a processing chamber.
제1 항에 있어서,
상기 할로겐-함유 가스 혼합물은 BCl3, Cl2, HBr, NF3 또는 이들의 조합들로부터 선택되는 할로겐-함유 가스를 포함하는,
프로세싱 챔버를 세정하기 위한 방법.
According to claim 1,
The halogen-containing gas mixture comprises a halogen-containing gas selected from BCl 3 , Cl 2 , HBr, NF 3 or combinations thereof,
Method for cleaning a processing chamber.
제4 항에 있어서,
상기 할로겐-함유 가스 혼합물은 탄소-함유 가스를 더 포함하는,
프로세싱 챔버를 세정하기 위한 방법.
According to claim 4,
The halogen-containing gas mixture further comprises a carbon-containing gas,
Method for cleaning a processing chamber.
제5 항에 있어서,
상기 탄소-함유 가스는 CO2, CH4, CHF3, CH2F2, CH3F, CF4 또는 이들의 조합들로부터 선택되는,
프로세싱 챔버를 세정하기 위한 방법.
The method of claim 5,
The carbon-containing gas is selected from CO 2 , CH 4 , CHF 3 , CH 2 F 2 , CH 3 F, CF 4 or combinations thereof,
Method for cleaning a processing chamber.
제5 항에 있어서,
상기 할로겐-함유 가스 혼합물은 헬륨, 아르곤 또는 이들의 조합들로부터 선택되는 희석 가스를 더 포함하는,
프로세싱 챔버를 세정하기 위한 방법.
The method of claim 5,
The halogen-containing gas mixture further comprises a dilution gas selected from helium, argon or combinations thereof,
Method for cleaning a processing chamber.
제1 항에 있어서,
상기 할로겐-함유 가스 혼합물은 BCl3 및 NF3를 포함하는,
프로세싱 챔버를 세정하기 위한 방법.
According to claim 1,
The halogen-containing gas mixture comprises BCl 3 and NF 3 ,
Method for cleaning a processing chamber.
제1 항에 있어서,
상기 반응성 종과 상기 잔류 하이-k 유전체 재료를 반응시켜 상기 휘발성 생성물을 형성하기에 충분한 하나 이상의 에너지 소스들에 상기 반응성 종을 노출시키는 단계
를 더 포함하는,
프로세싱 챔버를 세정하기 위한 방법.
According to claim 1,
Exposing the reactive species to one or more energy sources sufficient to react the reactive species and the residual high-k dielectric material to form the volatile product.
Further comprising,
Method for cleaning a processing chamber.
제9 항에 있어서,
상기 하나 이상의 에너지 소스들은 용량-결합 플라즈마 소스, 유도-결합 플라즈마 소스, 마이크로파 플라즈마 소스 및 원격 플라즈마 소스로부터 선택되는,
프로세싱 챔버를 세정하기 위한 방법.
The method of claim 9,
The one or more energy sources are selected from capacitively-coupled plasma sources, inductively-coupled plasma sources, microwave plasma sources and remote plasma sources,
Method for cleaning a processing chamber.
제1 항에 있어서,
상기 휘발성 생성물을 형성하도록 상기 반응성 종과 상기 잔류 하이-k 유전체 재료를 반응시키는 압력은 적어도 약 10 mTorr 내지 약 5 Torr인,
프로세싱 챔버를 세정하기 위한 방법.
According to claim 1,
The pressure to react the reactive species with the residual high-k dielectric material to form the volatile product is at least about 10 mTorr to about 5 Torr,
Method for cleaning a processing chamber.
제1 항에 있어서,
상기 프로세싱 챔버는 PECVD(plasma-enhanced chemical vapor deposition) 챔버, ALD(atomic layer deposition) 챔버, MOCVD(metal-organic chemical vapor deposition) 및 PVD(physical vapor deposition) 챔버인,
프로세싱 챔버를 세정하기 위한 방법.
According to claim 1,
The processing chamber is a plasma-enhanced chemical vapor deposition (PECVD) chamber, an atomic layer deposition (ALD) chamber, a metal-organic chemical vapor deposition (MOCVD) and a physical vapor deposition (PVD) chamber,
Method for cleaning a processing chamber.
프로세싱 챔버를 세정하기 위한 방법으로서,
프로세싱 챔버의 하나 이상의 내부 표면들 및 상기 프로세싱 챔버에 배치된 기판 상에 하이-k 유전체 재료를 증착하는 단계;
상기 프로세싱 챔버의 밖으로 상기 기판을 이송하는 단계;
상기 프로세싱 챔버 안으로 반응성 종을 유입시키는 단계 ―상기 프로세싱 챔버의 하나 이상의 내부 표면들 상에는 잔류 하이-k 유전체 재료가 형성되고, 상기 하나 이상의 내부 표면들은 알루미늄, 스테인레스 스틸, 니켈-철 합금 또는 이들의 조합들로부터 선택되는 재료를 포함하며, 상기 하나 이상의 내부 표면들은, 상부에 코팅 재료가 형성된 적어도 하나의 표면을 포함함―;
휘발성 생성물을 형성하도록 상기 반응성 종과 상기 잔류 하이-k 유전체 재료를 반응시키는 단계; 및
상기 프로세싱 챔버로부터 상기 휘발성 생성물을 제거하는 단계
를 포함하며,
상기 잔류 하이-k 유전체 재료의 제거 레이트는 상기 코팅 재료의 제거 레이트 및 상기 하나 이상의 내부 표면들의 상기 재료의 제거 레이트를 초과하고,
상기 하이-k 유전체 재료는 지르코늄 디옥사이드(ZrO2) 및 하프늄 디옥사이드(HfO2)로부터 선택되고,
상기 반응성 종은 할로겐-함유 가스 혼합물로부터 형성되며, 그리고
상기 코팅 재료는 알루미나(Al2O3), 이트륨-함유 화합물들 또는 이들의 조합들로부터 선택되는 화합물을 포함하는,
프로세싱 챔버를 세정하기 위한 방법.
A method for cleaning a processing chamber,
Depositing a high-k dielectric material on one or more inner surfaces of a processing chamber and a substrate disposed in the processing chamber;
Transferring the substrate out of the processing chamber;
Introducing reactive species into the processing chamber—residual high-k dielectric material is formed on one or more inner surfaces of the processing chamber, the one or more inner surfaces being aluminum, stainless steel, nickel-iron alloy, or combinations thereof A material selected from the fields, wherein the one or more inner surfaces include at least one surface on which a coating material is formed;
Reacting the reactive species with the residual high-k dielectric material to form a volatile product; And
Removing the volatile product from the processing chamber
It includes,
The removal rate of the residual high-k dielectric material exceeds the removal rate of the coating material and the removal rate of the material of the one or more inner surfaces,
The high-k dielectric material is selected from zirconium dioxide (ZrO 2 ) and hafnium dioxide (HfO 2 ),
The reactive species is formed from a halogen-containing gas mixture, and
The coating material comprises a compound selected from alumina (Al 2 O 3 ), yttrium-containing compounds or combinations thereof,
Method for cleaning a processing chamber.
제13 항에 있어서,
상기 코팅 재료의 제거 레이트는 50 Å/분 미만인,
프로세싱 챔버를 세정하기 위한 방법.
The method of claim 13,
The removal rate of the coating material is less than 50 kPa / min,
Method for cleaning a processing chamber.
제13 항에 있어서,
상기 휘발성 생성물을 형성하도록 상기 반응성 종과 상기 잔류 하이-k 유전체 재료를 반응시키는 단계는, 상기 반응성 종과 상기 잔류 하이-k 유전체 재료를 반응시켜 상기 휘발성 생성물을 형성하기에 충분한 하나 이상의 에너지 소스들에 상기 반응성 종을 노출시키는 단계를 더 포함하는,
프로세싱 챔버를 세정하기 위한 방법.
The method of claim 13,
Reacting the reactive species with the residual high-k dielectric material to form the volatile product comprises reacting the reactive species and the residual high-k dielectric material with one or more energy sources sufficient to form the volatile product. Further comprising exposing the reactive species to,
Method for cleaning a processing chamber.
KR1020207010087A 2017-09-11 2018-09-10 Selective in-situ cleaning of high-K films from processing chamber using reactive gas precursor KR20200039827A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020227039605A KR20220156674A (en) 2017-09-11 2018-09-10 Selective in-situ cleaning of high-k films from processing chamber using reactive gas precursor

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US15/700,671 2017-09-11
US15/700,671 US20180347037A1 (en) 2017-06-05 2017-09-11 Selective in-situ cleaning of high-k films from processing chamber using reactive gas precursor
US16/007,876 US20180345330A1 (en) 2017-06-05 2018-06-13 Selective in-situ cleaning of high-k films from processing chamber using reactive gas precursor
US16/007,876 2018-06-13
PCT/US2018/050186 WO2019051364A1 (en) 2017-09-11 2018-09-10 Selective in-situ cleaning of high-k films from processing chamber using reactive gas precursor

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020227039605A Division KR20220156674A (en) 2017-09-11 2018-09-10 Selective in-situ cleaning of high-k films from processing chamber using reactive gas precursor

Publications (1)

Publication Number Publication Date
KR20200039827A true KR20200039827A (en) 2020-04-16

Family

ID=65635214

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020227039605A KR20220156674A (en) 2017-09-11 2018-09-10 Selective in-situ cleaning of high-k films from processing chamber using reactive gas precursor
KR1020207010087A KR20200039827A (en) 2017-09-11 2018-09-10 Selective in-situ cleaning of high-K films from processing chamber using reactive gas precursor

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020227039605A KR20220156674A (en) 2017-09-11 2018-09-10 Selective in-situ cleaning of high-k films from processing chamber using reactive gas precursor

Country Status (3)

Country Link
KR (2) KR20220156674A (en)
CN (1) CN111066121B (en)
WO (1) WO2019051364A1 (en)

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06136544A (en) * 1992-10-29 1994-05-17 Sumitomo Metal Ind Ltd Plasma treatment device
TW514996B (en) * 1999-12-10 2002-12-21 Tokyo Electron Ltd Processing apparatus with a chamber having therein a high-corrosion-resistant sprayed film
US6660660B2 (en) * 2000-10-10 2003-12-09 Asm International, Nv. Methods for making a dielectric stack in an integrated circuit
US7357138B2 (en) * 2002-07-18 2008-04-15 Air Products And Chemicals, Inc. Method for etching high dielectric constant materials and for cleaning deposition chambers for high dielectric constant materials
US20040014327A1 (en) * 2002-07-18 2004-01-22 Bing Ji Method for etching high dielectric constant materials and for cleaning deposition chambers for high dielectric constant materials
TW200427858A (en) * 2002-07-19 2004-12-16 Asml Us Inc Atomic layer deposition of high k dielectric films
US7055263B2 (en) * 2003-11-25 2006-06-06 Air Products And Chemicals, Inc. Method for cleaning deposition chambers for high dielectric constant materials
JPWO2005098922A1 (en) * 2004-03-31 2008-03-06 株式会社日立国際電気 Manufacturing method of semiconductor device
JP4836112B2 (en) * 2004-12-24 2011-12-14 国立大学法人京都大学 Semiconductor processing apparatus cleaning method and silicon substrate etching method
JP4796464B2 (en) * 2005-11-17 2011-10-19 株式会社神戸製鋼所 Aluminum alloy member with excellent corrosion resistance
JP2009076590A (en) * 2007-09-19 2009-04-09 Hitachi Kokusai Electric Inc Cleaning method
WO2011031521A2 (en) * 2009-08-27 2011-03-17 Applied Materials, Inc. Method of decontamination of process chamber after in-situ chamber clean
US9343289B2 (en) * 2012-07-27 2016-05-17 Applied Materials, Inc. Chemistry compatible coating material for advanced device on-wafer particle performance
US9887121B2 (en) * 2013-04-26 2018-02-06 Applied Materials, Inc. Protective cover for electrostatic chuck
WO2015200432A1 (en) * 2014-06-27 2015-12-30 Meacham Kirby G B Variable compression connecting rod
US10266943B2 (en) * 2014-06-27 2019-04-23 Applied Materials, Inc. Plasma corrosion resistive heater for high temperature processing

Also Published As

Publication number Publication date
CN111066121A (en) 2020-04-24
CN111066121B (en) 2024-03-19
KR20220156674A (en) 2022-11-25
WO2019051364A1 (en) 2019-03-14

Similar Documents

Publication Publication Date Title
US20110207332A1 (en) Thin film coated process kits for semiconductor manufacturing tools
US6514378B1 (en) Method for improving uniformity and reducing etch rate variation of etching polysilicon
JP2019029652A (en) Method for depositing film by peald by using negative bias
US8394231B2 (en) Plasma process device and plasma process method
US20080230008A1 (en) Plasma species and uniformity control through pulsed vhf operation
US20060021704A1 (en) Method and apparatus for etching Si
KR20140051282A (en) Plasma etching method
US20180347037A1 (en) Selective in-situ cleaning of high-k films from processing chamber using reactive gas precursor
US20110011534A1 (en) Apparatus for adjusting an edge ring potential during substrate processing
US9960049B2 (en) Two-step fluorine radical etch of hafnium oxide
KR20090129417A (en) Edge electrodes with dielectric covers
CN107622945B (en) Plasma etching method, plasma etching apparatus, and substrate mounting table
KR20170067717A (en) Plasma processing method and plasma processing apparatus
US20110162674A1 (en) In-situ process chamber clean to remove titanium nitride etch by-products
US20180345330A1 (en) Selective in-situ cleaning of high-k films from processing chamber using reactive gas precursor
US20160042918A1 (en) Etching method of multilayered film
KR20140068090A (en) Plasma processing apparatus and plasma processing method
TWI658508B (en) Plasma treatment method
US20200058539A1 (en) Coating material for processing chambers
CN107731681B (en) Plasma etching method and plasma etching system
US9583317B2 (en) Plasma processing method and plasma processing apparatus
CN111066121B (en) Selective in situ cleaning of high dielectric constant films from process chambers using reactive gas precursors
US20180350571A1 (en) Selective in-situ cleaning of high-k films from processing chamber using reactive gas precursor
US20070221332A1 (en) Plasma processing apparatus
KR20160003624A (en) Etching method

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
AMND Amendment
X601 Decision of rejection after re-examination
J201 Request for trial against refusal decision
J301 Trial decision

Free format text: TRIAL NUMBER: 2022101002071; TRIAL DECISION FOR APPEAL AGAINST DECISION TO DECLINE REFUSAL REQUESTED 20221111

Effective date: 20230330