KR20200037860A - Lithography method - Google Patents

Lithography method Download PDF

Info

Publication number
KR20200037860A
KR20200037860A KR1020207007668A KR20207007668A KR20200037860A KR 20200037860 A KR20200037860 A KR 20200037860A KR 1020207007668 A KR1020207007668 A KR 1020207007668A KR 20207007668 A KR20207007668 A KR 20207007668A KR 20200037860 A KR20200037860 A KR 20200037860A
Authority
KR
South Korea
Prior art keywords
substrate
measurement
parameter
parameters
determining
Prior art date
Application number
KR1020207007668A
Other languages
Korean (ko)
Other versions
KR102378617B1 (en
Inventor
패트리시우스 알로이시우스 제이코부스 티네만스
에도 마리아 훌세보스
헨리쿠스 요하네스 람베르투스 메겐스
아흐메트 코라이 에다마르
로엑 요하네스 페트루스 베르히스
빌럼 센 크리스티안 롤로프스
데 벤 웬디 요한나 마르티나 판
하디 야구비자데
하키 에르건 세클리
랄프 브링크호프
트란 탄 투이 부
마이켈 로버트 구센
티 베스트에인더 마이케 판
웨이티안 쿠
마눅 리프스트라
마티스 콕스
프란시스코 고데프리두스 캐스퍼 비이엔
Original Assignee
에이에스엠엘 네델란즈 비.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠엘 네델란즈 비.브이. filed Critical 에이에스엠엘 네델란즈 비.브이.
Priority to KR1020227009386A priority Critical patent/KR102445282B1/en
Priority claimed from PCT/EP2018/073663 external-priority patent/WO2019063245A1/en
Publication of KR20200037860A publication Critical patent/KR20200037860A/en
Application granted granted Critical
Publication of KR102378617B1 publication Critical patent/KR102378617B1/en

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F9/00Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
    • G03F9/70Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically for microlithography
    • G03F9/7003Alignment type or strategy, e.g. leveling, global alignment
    • G03F9/7019Calibration
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70633Overlay, i.e. relative alignment between patterns printed by separate exposures in different layers, or in the same layer in multiple exposures or stitching
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F9/00Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
    • G03F9/70Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically for microlithography
    • G03F9/7003Alignment type or strategy, e.g. leveling, global alignment
    • G03F9/7023Aligning or positioning in direction perpendicular to substrate surface
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F9/00Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
    • G03F9/70Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically for microlithography
    • G03F9/7003Alignment type or strategy, e.g. leveling, global alignment
    • G03F9/7046Strategy, e.g. mark, sensor or wavelength selection

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Length Measuring Devices By Optical Means (AREA)
  • Crystals, And After-Treatments Of Crystals (AREA)

Abstract

기판의 특성을 측정하도록 구성된 센서 시스템의 동작 파라미터의 하나 이상의 최적화된 값을 결정하기 위한 방법이 개시되며, 이러한 방법은: 복수의 기판에 대한 품질 파라미터를 결정하는 단계; 동작 파라미터의 복수의 값에 대해, 센서 시스템을 사용하여 획득된 복수의 기판에 대한 측정 파라미터를 결정하는 단계; 품질 파라미터의 기판-대-기판 변동과 측정 파라미터의 맵핑의 기판-대-기판 변동을 비교하는 단계; 및 비교에 기초하여 동작 파라미터의 하나 이상의 최적화된 값을 결정하는 단계를 포함한다.A method for determining one or more optimized values of operating parameters of a sensor system configured to measure properties of a substrate is disclosed, the method comprising: determining quality parameters for a plurality of substrates; For a plurality of values of operating parameters, determining measurement parameters for a plurality of substrates obtained using a sensor system; Comparing the substrate-to-substrate variation of the quality parameter and the substrate-to-substrate variation of the mapping of measurement parameters; And determining one or more optimized values of operating parameters based on the comparison.

Description

리소그래피 방법Lithography method

관련 출원에 대한 상호 참조Cross reference to related applications

본 출원은 2017년 9월 28일에 출원된 EP 출원 제17193637.0호에 대해 우선권을 주장한다.This application claims priority to EP application No. 17193637.0, filed on September 28, 2017.

기술 분야Technical field

본 발명은 디바이스를 제조하기 위한 리소그래피 방법에 관한 것이다. 보다 구체적으로 본 발명은 리소그래피 방법에서 기판의 정렬을 위한 측정 방법에 관한 것이다.The present invention relates to a lithographic method for manufacturing a device. More specifically, the present invention relates to a measurement method for alignment of a substrate in a lithography method.

리소그래피 방법은 기판 상에, 일반적으로 기판의 타겟부 상에 원하는 패턴을 적용하기 위해 사용된다. 리소그래피는, 예를 들어 집적 회로(IC)의 제조에 사용될 수 있다. 그러한 경우, 마스크 또는 레티클이라고도 칭하는 패터닝 디바이스가 IC의 개별 층상에 형성될 회로 패턴을 생성하는 데 사용될 수 있다. 이러한 패턴은 기판(예컨대, 실리콘 웨이퍼) 상의 타겟부(예를 들어, 다이의 일부, 하나의 다이 또는 수 개의 다이를 포함) 상으로 전사될 수 있다. 패턴의 전사는 전형적으로 기판 상에 제공된 방사선-감응 재료(레지스트)의 층 상으로의 이미징을 통해 이루어진다. 일반적으로, 단일 기판은 연속적으로 패터닝되는 인접한 타겟부들의 네트워크를 포함할 것이다. 종래의 리소그래피 장치는, 전체 패턴을 한번에 타겟부 상에 노광함으로써 각각의 타겟부가 조사되는 소위 스테퍼와, 주어진 방향("스캐닝"-방향)으로 방사선 빔을 통해 패턴을 스캐닝함과 동시에 이러한 방향에 평행 또는 반평행으로 기판을 스캐닝함으로써 각각의 타겟부가 조사되는 소위 스캐너를 포함한다. 패턴을 기판 상에 임프린트함으로써 패터닝 디바이스로부터 기판으로 패턴을 전사하는 것도 가능하다.Lithographic methods are used to apply a desired pattern onto a substrate, generally onto a target portion of the substrate. Lithography can be used, for example, in the manufacture of integrated circuits (ICs). In such a case, a patterning device, also called a mask or reticle, can be used to create circuit patterns to be formed on individual layers of the IC. Such a pattern can be transferred onto a target portion (eg, part of a die, including one die or several dies) on a substrate (eg, a silicon wafer). The transfer of the pattern is typically through imaging onto a layer of radiation-sensitive material (resist) provided on the substrate. Generally, a single substrate will contain a network of adjacent target portions that are successively patterned. Conventional lithographic apparatus scans a pattern through a beam of radiation in a given direction (the "scanning" -direction) and a so-called stepper in which each target portion is irradiated by exposing the entire pattern onto the target portion at once, and parallel to this direction. Or a so-called scanner in which each target portion is irradiated by scanning the substrate in antiparallel. It is also possible to transfer the pattern from the patterning device to the substrate by imprinting the pattern on the substrate.

일반적으로, 제조되는 집적 회로는 상이한 패턴을 포함하는 복수의 층을 포함하고, 각 층은 위에서 기술한 바와 같은 노광 프로세스를 사용하여 생성된다. 제조되는 집적 회로의 적절한 동작을 보장하기 위해, 연속적으로 노광된 층들은 서로 적절히 정렬될 필요가 있다. 이를 실현하기 위해, 기판에는 전형적으로 복수의 소위 정렬 마크(정렬 타겟이라고도 함)가 제공되며, 이에 따라 정렬 마크의 위치는 이전에 노광된 패턴의 위치를 결정하거나 추정하는 데에 사용된다. 이와 같이, 후속 층의 노광 이전에, 정렬 마크의 위치가 결정되어 이전에 노광된 패턴의 위치를 결정하는 데에 사용된다. 전형적으로, 이러한 정렬 마크의 위치를 결정하기 위해, 예를 들어 정렬 마크 또는 타겟 상에 방사선 빔을 투영하고 반사된 방사선 빔에 기초하여 정렬 마크의 위치를 결정하도록 구성될 수 있는 정렬 센서가 적용된다. . 스캐너에서, 정렬 마커는 스캐너 정렬 시스템에 의해 판독되는데, 스캐너에 의해 제공되는 패터닝 단계를 거칠 때 기판 상의 각각의 필드의 양호한 위치설정을 달성하는 데 도움이 된다. 이상적으로, 정렬 마크의 측정된 위치는 마크의 실제 위치와 부합할 것이다. In general, the integrated circuit being fabricated includes a plurality of layers comprising different patterns, each layer being created using an exposure process as described above. To ensure proper operation of the integrated circuit being fabricated, successively exposed layers need to be properly aligned with each other. To achieve this, the substrate is typically provided with a plurality of so-called alignment marks (also called alignment targets), whereby the position of the alignment marks is used to determine or estimate the position of the previously exposed pattern. As such, prior to exposure of the subsequent layer, the position of the alignment mark is determined and used to determine the position of the previously exposed pattern. Typically, in order to determine the position of such alignment marks, alignment sensors are applied which can be configured to, for example, project a radiation beam onto the alignment marks or targets and determine the position of the alignment marks based on the reflected radiation beam. . . In the scanner, the alignment markers are read by the scanner alignment system, which helps achieve good positioning of each field on the substrate when going through the patterning step provided by the scanner. Ideally, the measured position of the alignment mark will match the actual position of the mark.

그러나 다양한 원인으로 인해 정렬 마크의 측정된 위치와 실제 위치 간에 편차가 발생할 수 있다. 특히, 정렬 마크의 변형으로 인해 언급된 편차가 발생할 수도 있다. 이러한 변형은 예를 들어 기판의 프로세싱, 예를 들어 에칭, 화학적 기계적 연마(CMP) 또는 층 증착에 의해 야기될 수 있으며, 이는 최적이 아닌 마커 위치 결정으로 이어진다. 결과적으로, 층은 이전에 노광된 패턴과 일치하지 않는, 즉 정렬되지 않은 위치에 투영되거나 노광될 수 있으며, 소위 오버레이 오차가 발생하게 된다.However, a variety of causes can cause deviations between the measured and actual positions of the alignment marks. In particular, the stated deviation may occur due to deformation of the alignment mark. Such deformation can be caused, for example, by processing of the substrate, for example etching, chemical mechanical polishing (CMP) or layer deposition, which leads to suboptimal marker positioning. As a result, the layer can be projected or exposed in a position that does not match the previously exposed pattern, ie, is not aligned, resulting in a so-called overlay error.

일 양태에 따르면 본 발명은 기판의 특성을 측정하도록 구성된 센서 시스템의 동작 파라미터의 하나 이상의 최적화된 값을 결정하기 위한 방법을 포함한다. 이러한 방법은: 복수의 기판에 대한 품질 파라미터를 결정하는 단계; 상기 동작 파라미터의 복수의 값에 대해, 상기 센서 시스템을 사용하여 획득된 상기 복수의 기판에 대한 측정 파라미터를 결정하는 단계; 상기 품질 파라미터의 기판-대-기판 변동과 상기 측정 파라미터의 맵핑의 기판-대-기판 변동을 비교하는 단계; 및 비교에 기초하여 상기 동작 파라미터의 하나 이상의 최적화된 값을 결정하는 단계를 포함한다.According to one aspect the present invention includes a method for determining one or more optimized values of operating parameters of a sensor system configured to measure properties of a substrate. Such methods include: determining quality parameters for a plurality of substrates; Determining, for a plurality of values of the operating parameter, measurement parameters for the plurality of substrates obtained using the sensor system; Comparing the substrate-to-substrate variation of the quality parameter and the substrate-to-substrate variation of the mapping of the measurement parameter; And determining one or more optimized values of the operational parameters based on the comparison.

상기 맵핑은 가중된 합, 비선형 맵핑 또는 머신 러닝 기법에 기초하는 트레이닝된 맵핑일 수 있다.The mapping can be a weighted sum, a nonlinear mapping or a trained mapping based on machine learning techniques.

방법은 또한, 상기 비교에 기초하여 동작 파라미터의 제1 값과 연관된 측정 파라미터 및 동작 파라미터의 제2 값과 연관된 측정 데이터를 가중화하기 위한 가중치 계수의 최적 세트를 결정하는 단계를 더 포함할 수 있다.The method can further include determining an optimal set of weighting coefficients for weighting the measurement parameter associated with the first value of the operating parameter and the measurement data associated with the second value of the operating parameter based on the comparison. .

품질 파라미터는 오버레이 또는 초점 파라미터일 수 있다.The quality parameter can be an overlay or focus parameter.

측정 파라미터는 상기 복수의 기판에 제공되는 피처의 위치 또는 상기 기판 상의 위치의 면외(out-of-plane) 편차일 수 있다.The measurement parameter may be an out-of-plane deviation of a position of a feature provided on the plurality of substrates or a position on the substrate.

동작 파라미터는 센서 시스템으로부터의 광원과 연관된 파라미터일 수 있다. 동작 파라미터는 상기 광원의 파장, 편광 상태, 공간적 코히어런스 상태 또는 시간적 코히어런스 상태일 수 있다.The operating parameters can be parameters associated with the light source from the sensor system. The operating parameter may be the wavelength, polarization state, spatial coherence state, or temporal coherence state of the light source.

품질 파라미터는 계측 시스템을 사용하여 결정될 수 있다. 품질 파라미터는 컨텍스트 정보, 측정 데이터, 재구성된 데이터, 하이브리드 계측 데이터 중 임의의 것에 기초하여 상기 품질 파라미터를 예측하는 시뮬레이션 모델을 이용하여 결정될 수 있다.Quality parameters can be determined using a metrology system. The quality parameter can be determined using a simulation model that predicts the quality parameter based on any of context information, measurement data, reconstructed data, and hybrid metrology data.

동작 파라미터의 최적화된 값은 상기 측정 파라미터의 제1 좌표와 연관된 제1 값의 세트 및 상기 측정 파라미터의 제2 좌표와 연관된 제2 값의 세트를 포함할 수 있다.The optimized value of the operating parameter may include a set of first values associated with the first coordinate of the measurement parameter and a set of second values associated with the second coordinate of the measurement parameter.

방법은 추가로 다음을 포함할 수 있다: 마크의 제1 우선적인 방향에 평행한 제3 좌표를 결정하는 단계; 마크의 제2 우선적인 방향에 평행한 제4 좌표를 결정하는 단계; 제3 좌표와 연관된 동작 파라미터의 제3 최적화된 값의 세트 및 상기 제4 좌표와 연관된 동작 파라미터의 제4 최적화된 값의 세트를 결정하는 단계; 상기 제3 및 제4 좌표로부터 상기 제1 및 제2 좌표로의 변환을 결정하는 단계; 및 결정된 변환을 사용하여, 제3 및 제4 좌표에서 동작 파라미터의 결정된 최적화된 값을, 제1 및 제2 좌표에서 동작 파라미터의 최적화된 값으로 변환하는 단계.The method may further include: determining a third coordinate parallel to the first preferred direction of the mark; Determining a fourth coordinate parallel to the second preferred direction of the mark; Determining a set of third optimized values of operating parameters associated with third coordinates and a set of fourth optimized values of operating parameters associated with third coordinates; Determining a transformation from the third and fourth coordinates to the first and second coordinates; And using the determined transform, converting the determined optimized value of the operating parameter at the third and fourth coordinates to the optimized value of the operating parameter at the first and second coordinates.

동작 파라미터의 제1 값은 상기 동작 파라미터의 제2 값과는 독립적으로 최적화될 수 있다.The first value of the operating parameter may be optimized independently of the second value of the operating parameter.

일부 실시예로서, 상기 비교에 기초하여 상기 동작 파라미터의 하나 이상의 최적화된 값을 결정하는 단계는 상기 기판의 상이한 구역들에 대해 수행될 수 있다. 상이한 구역들은 기판의 엣지에 근접하는 구역 및 기판의 중심에 근접하는 구역을 포함할 수 있다. 각각의 구역은 기판에 적용된 하나 이상의 정렬 마크를 포함할 수 있다. 각각의 구역은 기판에 적용된 복수의 정렬 마크 중 개별 정렬 마크에 대응하는 것일 수 있다.In some embodiments, determining one or more optimized values of the operating parameters based on the comparison can be performed for different regions of the substrate. Different zones can include zones proximate the edge of the substrate and zones proximate the center of the substrate. Each zone can include one or more alignment marks applied to the substrate. Each zone may correspond to an individual alignment mark among a plurality of alignment marks applied to the substrate.

일부 실시예로서, 상기 측정 파라미터는 마크의 측정된 위치이고 상기 품질 파라미터는 마크-대-디바이스 시프트이며, 상기 동작 파라미터의 최적화된 값은 기판-대-기판 변동이 최소화되게 하기 위해 상기 품질 파라미터를 최적화하도록 결정된다. 동작 파라미터는 방사선 소스와 연관된 파라미터이고, 소스로부터의 방사선이 기판에 지향되며, 동작 파라미터의 최적화된 값이 동작 파라미터를 이용하여 획득된 측정을 조정하기 위한 가중치를 적용함으로써 결정된다. 기판에 지향되는 소스로부터의 방사선은 기판을 목표로 한 후에 센서 시스템에 의해 수광될 수 있다. 가중화는 기판에 방사선을 지향시키고 및/또는 센서 시스템에 의해 방사선을 수광하기 위해 사용되는 렌즈의 렌즈 가열 효과를 포함할 수 있다. 방법은 또한, 마크-대-디바이스 시프트에 대한 동작 파라미터의 감도를 결정하기 위해서 의도적인 마크-대-디바이스 시프트가 적용된 서브-세그먼트화된 마크를 갖는 기판으로부터 획득된 측정을 이용하여 서브-세그먼트화된 마크를 측정하기 위한 동작 파라미터에 대한 가중치를 결정하는 단계를 더 포함할 수 있다.In some embodiments, the measurement parameter is a measured position of a mark, the quality parameter is a mark-to-device shift, and an optimized value of the operating parameter is used to adjust the quality parameter to minimize substrate-to-substrate variation. It is decided to optimize. The operating parameters are parameters associated with the radiation source, the radiation from the source is directed to the substrate, and an optimized value of the operating parameters is determined by applying weights to adjust the measurements obtained using the operating parameters. Radiation from a source directed to the substrate can be received by the sensor system after targeting the substrate. Weighting can include the lens heating effect of a lens used to direct radiation to a substrate and / or receive radiation by a sensor system. The method also sub-segments using measurements obtained from substrates with sub-segmented marks subjected to intentional mark-to-device shifts to determine the sensitivity of operating parameters to mark-to-device shifts. The method may further include determining a weight for an operation parameter for measuring the marked mark.

일부 실시예에서, 이러한 방법은 기판의 프로세싱을 제어하는 데에 이용되는 계측 시스템의 동작 파라미터를 최적화하기 위해 사용될 수 있다. 센서 시스템은 프로세싱 전에 기판의 제1 특성을 측정하도록 구성되는 제1 측정 시스템과 연관된 제1 센서 시스템 및 프로세싱 후에 상기 기판의 제2 특성을 측정하도록 구성되는 제2 측정 시스템과 연관된 제2 센서 시스템을 포함할 수 있다. 방법은 다음을 포함할 수 있다: 상기 동작 파라미터의 복수의 값에 대해, 상기 제1 센서 시스템을 사용하여 획득된 상기 복수의 기판에 대한 측정 파라미터의 제1 세트를 결정하는 단계; 상기 동작 파라미터의 복수의 값에 대해, 상기 제2 센서 시스템을 사용하여 획득된 상기 복수의 기판에 대한 측정 파라미터의 제2 세트를 결정하는 단계; 및 상기 품질 파라미터의 기판-대-기판 변동과, 상기 측정 파라미터의 제1 세트 및 제2 세트 각각에 대한 측정 파라미터의 맵핑의 기판-대-기판 변동을 비교하는 단계. 동작 파라미터의 하나 이상의 최적화된 값을 결정하는 단계는, 상기 제1 측정 시스템과 연관된 동작 파라미터의 제1 세트 및 상기 제2 측정 시스템과 연관된 동작 파라미터의 제2 세트를 동시에 최적화하는 단계를 포함할 수 있으며, 최적화는 상기 제2 특성의 기판-대-기판 변동을 완화시킨다. 품질 파라미터는 프로세싱 후에 기판의 측정된 제2 특성으로부터 결정된 오버레이일 수 있다.In some embodiments, this method can be used to optimize the operating parameters of the metrology system used to control the processing of the substrate. The sensor system comprises a first sensor system associated with a first measurement system configured to measure a first property of the substrate before processing and a second sensor system associated with a second measurement system configured to measure a second property of the substrate after processing. It can contain. The method may include: for a plurality of values of the operating parameter, determining a first set of measurement parameters for the plurality of substrates obtained using the first sensor system; For a plurality of values of the operating parameter, determining a second set of measurement parameters for the plurality of substrates obtained using the second sensor system; And comparing the substrate-to-substrate variation of the quality parameter with the substrate-to-substrate variation of the mapping of measurement parameters for each of the first set and the second set of measurement parameters. Determining one or more optimized values of operating parameters may include simultaneously optimizing a first set of operating parameters associated with the first measurement system and a second set of operating parameters associated with the second measurement system. And optimization mitigates the substrate-to-substrate variation of the second property. The quality parameter can be an overlay determined from the measured second characteristic of the substrate after processing.

제2 양태에 따르면, 본 발명은 반도체 제조 프로세스의 상태를 결정하기 위한 방법을 포함한다. 이러한 방법은 다음을 포함한다: 본 발명의 제1 양태에 따라 동작 파라미터의 최적화된 값을 결정하는 단계; 결정된 동작 파라미터를 기준 동작 파라미터와 비교하는 단계; 및 비교에 기초하여 상기 상태를 결정하는 단계.According to a second aspect, the present invention includes a method for determining the state of a semiconductor manufacturing process. Such methods include: determining an optimized value of the operating parameter according to the first aspect of the present invention; Comparing the determined operating parameter with a reference operating parameter; And determining the status based on the comparison.

제3 양태에 따르면, 본 발명은 기판의 특성을 측정하도록 구성된 센서 시스템으로부터의 측정 데이터를 최적화하는 방법을 포함한다. 이러한 방법은 복수의 기판에 대한 오버레이 데이터를 획득하는 단계를 포함한다. 오버레이는 기판 상의 정렬 마커의 측정된 위치와 예상된 위치 사이의 편차를 나타내고 센서 시스템에 의해 이루어진 정렬 마커 위치의 복수의 측정을 포함하며, 복수의 측정 각각은 상기 센서 시스템의 상이한 동작 파라미터를 이용한다. 방법은 또한, 획득된 오버레이 데이터에 기초하여, 그리고 상기 상이한 동작 파라미터 각각에 대해, 오버레이가 최소화되도록 하기 위해 모든 상기 상이한 동작 파라미터에 대해 상기 센서 시스템에 의해 이루어진 측정에 대한 가중된 조정이 조합되도록, 동작 파라미터를 이용하여 획득된 측정을 조정하기 위한 가중치를 결정하는 단계를 더 포함한다.According to a third aspect, the present invention includes a method of optimizing measurement data from a sensor system configured to measure properties of a substrate. This method includes obtaining overlay data for a plurality of substrates. The overlay represents the deviation between the measured position and the expected position of the alignment marker on the substrate and includes a plurality of measurements of the alignment marker position made by the sensor system, each of the plurality of measurements using different operating parameters of the sensor system. The method also combines weighted adjustments to measurements made by the sensor system for all the different operating parameters to ensure that overlay is minimized, based on the obtained overlay data and for each of the different operating parameters, The method further includes determining a weight for adjusting the obtained measurement using the operation parameter.

동작 파라미터는 센서 시스템으로부터의 방사선 소스와 연관된 파라미터일 수 있다. 동작 파라미터는 상기 광원의 파장, 편광 상태, 공간적 코히어런스 상태 또는 시간적 코히어런스 상태일 수 있다.The operating parameters can be parameters associated with the radiation source from the sensor system. The operating parameter may be the wavelength, polarization state, spatial coherence state, or temporal coherence state of the light source.

또 다른 양태에 따르면, 본 발명은 집적 회로 웨이퍼에서 층을 정렬하는 방법을 포함한다. 이러한 방법은 센서 시스템을 사용하여 상기 웨이퍼 상의 정렬 마커의 복수의 위치 측정을 획득하는 단계를 포함하고, 상기 복수의 측정 각각은 상이한 동작 파라미터를 이용한다. 복수의 정렬 마크 위치 측정 각각에 대하여, 위치 편차가 예상된 정렬 마크 위치와 측정된 정렬 마크 위치 사이의 차이로서 결정되며, 측정된 정렬 마크 위치는 개개의 정렬 마크 위치 측정에 기초하여 결정된다. 이러한 위치 편차에 대한 가능한 원인으로서 함수의 세트가 규정되며, 이러한 함수의 세트는, 기판의 변형을 나타내는 기판 변형 함수 및 하나 이상의 정렬 마크의 변형을 나타내는 적어도 하나의 마크 변형 함수를 포함한다. 행렬 방정식 PD = M * F가 생성되고, 위치 편차를 포함하는 벡터 PD가 기판 변형 함수 및 적어도 하나의 마크 변현 함수를 포함하는 벡터 F의, 가중치 계수 행렬 M으로 표현되는 가중된 조합과 동일하게 설정되며, 적어도 하나의 마크 변형 함수와 연관된 가중치 계수는 적용된 정렬 측정에 따라 달라진다. 행렬(M)의 가중치 계수에 대한 값은 복수의 기판에 대해 획득한 오버레이에 기초하여 결정되며, 오버레이는 정렬 마커의 측정된 위치와 예상된 위치 사이의 편차를 나타내고, 상이한 동작 파라미터를 이용하여 센서 시스템에 의해 이루어진 정렬 마커 위치의 복수의 측정을 포함하며, 가중치는, 오버레이를 최소화하기 위해 측정에 대한 가중된 조정이 조합될 수 있도록 상이한 동작 파라미터를 이용해 획득된 측정을 조정한다. 행렬 M의 역행렬 또는 의사 역행렬이 결정되어, 위치 편차의 가중된 조합으로서 기판 변형 함수에 대한 값을 획득하게 된다. 기판 변형 함수의 값은 패터닝된 방사선 빔과 타겟부의 정렬을 수행하기 위해 적용된다.According to another aspect, the present invention includes a method of aligning layers in an integrated circuit wafer. This method includes obtaining a plurality of position measurements of alignment markers on the wafer using a sensor system, each of the plurality of measurements using a different operating parameter. For each of the plurality of alignment mark position measurements, the positional deviation is determined as the difference between the expected alignment mark position and the measured alignment mark position, and the measured alignment mark position is determined based on individual alignment mark position measurements. A set of functions is defined as a possible cause for this positional deviation, and the set of functions includes a substrate deformation function representing deformation of the substrate and at least one mark deformation function representing deformation of one or more alignment marks. The matrix equation PD = M * F is generated, and the vector PD containing the positional deviation is set equal to the weighted combination represented by the weighting coefficient matrix M of the vector F containing the substrate transformation function and at least one mark transformation function. And the weighting coefficient associated with at least one mark deformation function depends on the alignment measure applied. The value for the weighting coefficient of the matrix M is determined based on the overlay obtained for a plurality of substrates, the overlay represents the deviation between the measured position and the expected position of the alignment marker, and the sensor is used with different operating parameters. It includes a plurality of measurements of the alignment marker position made by the system, and the weights adjust the measurements obtained using different operating parameters so that weighted adjustments to the measurements can be combined to minimize overlay. The inverse matrix or the pseudo inverse matrix of the matrix M is determined to obtain a value for the substrate deformation function as a weighted combination of positional deviations. The value of the substrate deformation function is applied to perform alignment of the patterned radiation beam and the target portion.

예시적인 실시예가 첨부 도면을 참조하여 본 명세서에서 설명된다:
도 1은 본 발명의 일 실시예에 따른 리소그래피 장치를 나타낸다.
도 2는 상이한 측정 파라미터를 적용할 때 가능한 몇 가지 정렬 측정 결과를 나타낸다.
도 3은 기판 상에서 측정을 수행할 때 센서의 상이한 동작 파라미터가 어떻게 영향을 받을 수 있는지를 나타낸다.
도 4는 상이한 동작 파라미터가 마크 변형에 의해 어떻게 영향을 받을 수 있는지를 나타내는 그래프이다.
도 5는 상이한 유형의 마크 변형을 갖는 마커를 나타낸다.
도 6a는 웨이퍼 정렬, 노광 및 오버레이 측정 프로세스를 개략적으로 나타내는 흐름도이다.
도 6b는 또 다른 웨이퍼 정렬, 노광 및 오버레이 측정 프로세스를 개략적으로 나타내는 흐름도이다.
도 7a-c는 제품 및 마크 시프트가 상이한 색상의 방사선에 대해 어떻게 변화하는지를 나타내는 그래프이다.
도 8은 마크-대-디바이스 시프트에 대한 감도를 어떻게 교정할 수 있는지를 보여주는 그래프이다.
도 9는 웨이퍼에 걸친 정렬 마크 비대칭을 나타내는 플롯이다.
도 10a는 활성 색상이 근적외선(NIR)인 경우 웨이퍼 맵에 대한 제품내(on-product) 오버레이를 나타내는 플롯이고, 도 10b는 2-색상 가중화를 사용하는 동일한 웨이퍼에 대한 제품내 오버레이 웨이퍼 맵을 나타내며, 도 10c는 도 10a 및 10b의 플롯들 간의 차이점을 보여준다.
도 11a와 도 11b는 서로 다른 2-색상 가중화(TCW) 조합에 따라 두 개의 직교 방향에 대해 오버레이 오차가 어떻게 변하는지를 보여주는 두 개의 그래프인데, 하나는 웨이퍼의 엣지에 있는 마크에 대한 것이고 다른 하나는 중심에 있는 마크에 대한 것이다.
도 12는 여러 가지 상이한 색상, 모델 및 레이아웃을 사용하여 정렬 정정을 위한 OCW를 결정하고 여러 주파수, 모델 및 레이아웃을 사용하여 오버레이 정정을 결정하기 위한 프로세스를 개략적으로 나타낸다.
도 13은 정렬 정정 및 오버레이 정정 모두에 대한 최적의 조합을 결정하는 프로세스를 개략적으로 나타낸다.
도 14는 두 세트의 격자를 포함하는 정렬 마크를 도시한다.
도 15는 본 문헌에서 설명한 실시예들을 이용하는 데에 사용될 수 있는 컴퓨터 시스템을 예시한 블록도이다.
Exemplary embodiments are described herein with reference to the accompanying drawings:
1 shows a lithographic apparatus according to an embodiment of the present invention.
2 shows some possible alignment measurement results when applying different measurement parameters.
3 shows how different operating parameters of the sensor can be affected when performing measurements on a substrate.
4 is a graph showing how different operating parameters can be affected by mark deformation.
5 shows markers with different types of mark variations.
6A is a flow diagram schematically illustrating a wafer alignment, exposure and overlay measurement process.
6B is a flow diagram schematically showing another wafer alignment, exposure and overlay measurement process.
7A-C are graphs showing how product and mark shifts change for different colors of radiation.
8 is a graph showing how to correct sensitivity for a mark-to-device shift.
9 is a plot showing alignment mark asymmetry across the wafer.
10A is a plot showing an on-product overlay for a wafer map when the active color is near infrared (NIR), and FIG. 10B is an in-product overlay wafer map for the same wafer using 2-color weighting. 10C shows the difference between the plots of FIGS. 10A and 10B.
11A and 11B are two graphs showing how overlay errors change for two orthogonal directions according to different two-color weighting (TCW) combinations, one for the mark at the edge of the wafer and the other Is for the center mark.
12 schematically shows a process for determining OCW for alignment correction using various different colors, models and layouts and determining overlay correction using various frequencies, models and layouts.
13 schematically shows the process of determining the optimal combination for both alignment correction and overlay correction.
14 shows an alignment mark comprising two sets of gratings.
15 is a block diagram illustrating a computer system that can be used to utilize the embodiments described in this document.

본 발명의 실시예들에 적용되는 원리들의 이해를 돕기 위해, 도 1을 참조로 하여, 리소그래피 장치 및 이러한 장치가 어떻게 사용되는지를 먼저 설명하고자 한다.In order to help understand the principles applied to the embodiments of the present invention, a lithographic apparatus and how such an apparatus is used will be first described with reference to FIG. 1.

도 1은 본 발명의 일 실시예에 따른 리소그래피 장치를 개략적으로 나타낸다. 이러한 장치는 방사선 빔(B)(예를 들어, UV 방사선 또는 임의의 다른 적절한 방사선)을 컨디셔닝하도록 구성된 조명 시스템(조명기)(IL), 패터닝 디바이스(예를 들어, 마스크)(MA)를 지지하도록 구성되고 특정 파라미터들에 따라 패터닝 디바이스를 정확히 위치설정하도록 구성된 제1 위치설정 디바이스(PM)에 연결되는 마스크 지지 구조체(예컨대, 마스크 테이블)(MT)를 포함한다. 또한, 상기 장치는 기판(예를 들어, 레지스트 코팅된 웨이퍼)(W)을 유지하고 특정 파라미터들에 따라 기판을 정확히 위치설정하도록 구성된 제2 위치설정 디바이스(PW)에 연결되는 기판 테이블(예컨대, 웨이퍼 테이블)(WT) 또는 "기판 지지체"를 포함한다. 상기 장치는 기판(W)의 타겟부(C)(예를 들어, 하나 이상의 다이를 포함) 상에 패터닝 디바이스(MA)에 의해 방사선 빔(B)에 부여된 패턴을 투영하도록 구성된 투영 시스템(예를 들어, 굴절형 투영 렌즈 시스템)(PS)을 더 포함한다.1 schematically shows a lithographic apparatus according to an embodiment of the invention. Such an apparatus is configured to support an illumination system (light fixture) IL, a patterning device (eg mask) MA configured to condition a radiation beam B (eg UV radiation or any other suitable radiation). And a mask support structure (eg, mask table) MT that is configured and connected to a first positioning device PM configured to accurately position the patterning device according to certain parameters. In addition, the apparatus holds a substrate (e.g., a resist coated wafer) W and a substrate table (e.g., connected to a second positioning device PW) configured to accurately position the substrate according to certain parameters. Wafer table) (WT) or "substrate support". The apparatus is configured to project a pattern imparted to the radiation beam B by the patterning device MA on the target portion C of the substrate W (eg, including one or more dies) (eg For example, it further includes a refractive projection lens system (PS).

조명 시스템은 방사선을 지향, 성형 또는 제어하기 위해 굴절형, 반사형, 자기형, 전자기형, 정전형 또는 기타 다른 유형의 광학 컴포넌트 또는 이들의 조합과 같은 다양한 유형의 광학 컴포넌트를 포함할 수 있다.The illumination system can include various types of optical components, such as refractive, reflective, magnetic, electromagnetic, electrostatic or other types of optical components, or combinations thereof, to direct, shape, or control radiation.

마스크 지지 구조체는 패터닝 디바이스를 지지, 즉 그 무게를 지탱한다. 이는 패터닝 디바이스의 배향, 리소그래피 장치의 설계, 및 예를 들어 패터닝 디바이스가 진공 환경에 유지되는지의 여부와 같은 기타 다른 조건에 의존하는 방식으로 패터닝 디바이스를 유지한다. 마스크 지지 구조체는 기계식, 진공식, 정전기식 또는 다른 클램핑 기술을 사용하여 패터닝 디바이스를 유지할 수 있다. 마스크 지지 구조체는 필요에 따라 고정되거나 이동할 수 있는, 예를 들어 프레임 또는 테이블일 수 있다. 마스크 지지 구조체는 패터닝 디바이스가 예를 들어 투영 시스템에 대해 원하는 위치에 있도록 보장할 수 있다. 본 명세서에서 "레티클" 또는 "마스크"라는 용어의 어떠한 사용도 "패터닝 디바이스"라는 좀 더 일반적인 용어와 동의어로 간주될 수 있다.The mask support structure supports the patterning device, ie carries its weight. It holds the patterning device in a way that depends on the orientation of the patterning device, the design of the lithographic apparatus, and other conditions such as, for example, whether or not the patterning device is maintained in a vacuum environment. The mask support structure can hold the patterning device using mechanical, vacuum, electrostatic or other clamping techniques. The mask support structure can be, for example, a frame or a table, which can be fixed or moved as needed. The mask support structure can ensure that the patterning device is in a desired position relative to the projection system, for example. Any use of the term "reticle" or "mask" herein may be considered synonymous with the more general term "patterning device."

본 명세서에서 사용되는 "패터닝 디바이스"라는 용어는 기판의 타겟부에 패턴을 생성하기 위해 방사선 빔의 단면에 패턴을 부여하는 데 사용될 수 있는 임의의 디바이스를 지칭하는 것으로 폭넓게 해석되어야 한다. 방사선 빔에 부여된 패턴은, 예를 들어 패턴이 위상-시프팅 피처 또는 소위 어시스트 피처를 포함하는 경우, 기판의 타겟부에서의 원하는 패턴과 정확히 일치하지 않을 수도 있다는 점에 주목해야 한다. 일반적으로, 방사선 빔에 부여된 패턴은 집적 회로와 같이 타겟부에 생성되는 디바이스의 특정 기능 층에 해당할 것이다.The term "patterning device" as used herein should be broadly interpreted as referring to any device that can be used to impart a pattern to a cross-section of a radiation beam to create a pattern in a target portion of the substrate. It should be noted that the pattern imparted to the radiation beam may not exactly match the desired pattern at the target portion of the substrate, for example, if the pattern includes phase-shifting features or so-called assist features. Generally, the pattern imparted to the radiation beam will correspond to a particular functional layer of the device being created in the target portion, such as an integrated circuit.

패터닝 디바이스는 투과형 또는 반사형일 수 있다. 패터닝 디바이스의 예는 마스크, 프로그램 가능한 미러 어레이 및 프로그램 가능한 LCD 패널을 포함한다. 마스크는 리소그래피에서 잘 알려져 있으며 바이너리, 교번 위상 시프트 및 감쇠 위상 시프트와 같은 마스크 유형은 물론 다양한 하이브리드 마스크 유형을 포함한다. 프로그램 가능한 미러 어레이의 예는 입사하는 방사선 빔을 다양한 방향으로 반사시키도록 각각 개별적으로 기울어질 수 있는 작은 미러의 매트릭스 배열을 채용한다. 기울어진 미러는 미러 매트릭스에 의해 반사되는 방사선 빔에 패턴을 부여한다.The patterning device can be transmissive or reflective. Examples of patterning devices include masks, programmable mirror arrays, and programmable LCD panels. Masks are well known in lithography and include mask types such as binary, alternating phase shift and attenuated phase shift, as well as various hybrid mask types. An example of a programmable mirror array employs a matrix arrangement of small mirrors, each of which can be individually tilted to reflect incident radiation beams in various directions. The tilted mirror imparts a pattern to the radiation beam reflected by the mirror matrix.

본 명세서에서 사용된 "투영 시스템"이라는 용어는, 사용되는 노광 방사선에 적합하거나 액침액의 사용 또는 진공의 사용과 같은 다른 요인들에 대해 적합한 것으로서, 굴절형, 반사형, 반사굴절형, 자기형, 전자기형 및 정전기형 광학 시스템 또는 이들의 임의의 조합을 포함하는 임의의 유형의 투영 시스템을 포괄하는 것으로 폭넓게 해석되어야 한다. 본 명세서에서 "투영 렌즈"라는 용어의 어떠한 사용도 "투영 시스템"이라는 좀 더 일반적인 용어와 동의어로 간주될 수 있다.The term "projection system" as used herein is suitable for other factors such as the use of exposure radiation used or the use of immersion liquid or the use of a vacuum, which is refractive, reflective, reflective and magnetic. It should be interpreted broadly to encompass any type of projection system, including electromagnetic and electrostatic optical systems, or any combination thereof. Any use of the term "projection lens" herein may be considered as synonymous with the more general term "projection system."

도시된 바와 같이, 장치는 투과형(예를 들어, 투과형 마스크를 채택)이다. 대안적으로, 장치는 반사형(예를 들어, 언급된 바와 같은 유형의 프로그램 가능한 미러 어레이를 채택하거나, 반사형 마스크를 채택)일 수 있다. As shown, the device is transmissive (eg, employing a transmissive mask). Alternatively, the device may be reflective (eg, adopt a programmable mirror array of the type as mentioned, or adopt a reflective mask).

리소그래피 장치는 2개(듀얼 스테이지) 또는 그 이상의 기판 테이블 또는 "기판 지지체"(및/또는 2개 이상의 마스크 테이블 또는 "마스크 지지체")를 갖는 유형일 수 있다. 이러한 "다중 스테이지" 기기에서는 추가적인 테이블 또는 지지체를 병행하여 사용할 수 있으며, 또는 하나 이상의 다른 테이블 또는 지지체를 노광용으로 사용하면서 하나 이상의 테이블 또는 지지체 상에서 준비 단계를 수행할 수 있다. The lithographic apparatus may be of a type having two (dual stage) or more substrate tables or "substrate supports" (and / or two or more mask tables or "mask supports"). In such "multi-stage" devices, additional tables or supports may be used in parallel, or preparatory steps may be performed on one or more tables or supports while using one or more other tables or supports for exposure.

또한, 리소그래피 장치는 투영 시스템과 기판 사이의 공간을 채우기 위해, 기판의 적어도 일부가 비교적 높은 굴절률을 갖는 액체, 예를 들어 물에 의해 덮일 수 있는 유형일 수 있다. 또한, 액침액은 리소그래피 장치의 다른 공간, 예를 들어, 마스크와 투영 시스템 사이에 적용될 수 있다. 액침 기술은 투영 시스템의 개구수를 증가시키는 데 사용될 수 있다. 본 명세서에서 사용되는 "액침"이라는 용어는 기판과 같은 구조체가 액체에 잠겨야 함을 의미하는 것이 아니라 오히려 액체가 노광 중에 투영 시스템과 기판 사이에 위치한다는 것을 의미한다.Further, the lithographic apparatus may be of a type that at least a part of the substrate can be covered with a liquid having a relatively high refractive index, for example water, to fill the space between the projection system and the substrate. In addition, the immersion liquid can be applied to other spaces of the lithographic apparatus, for example between a mask and a projection system. Immersion technology can be used to increase the numerical aperture of the projection system. The term "immersion" as used herein does not mean that a structure such as a substrate must be immersed in liquid, but rather that liquid is positioned between the projection system and the substrate during exposure.

도 1을 참조하면, 조명기(IL)는 방사선 소스(SO)로부터 방사선 빔을 수광한다. 예를 들어, 방사선 소스가 엑시머 레이저인 경우, 방사선 소스 및 리소그래피 장치는 별개의 개체일 수 있다. 그러한 경우에, 방사선 소스는 리소그래피 장치의 일부를 형성하는 것으로 여겨지지 않으며, 방사선 빔은 예를 들어 적절한 지향 미러 및/또는 빔 확장기를 포함하는 빔 전달 시스템(BD)의 도움으로 방사선 소스(SO)로부터 조명기(IL)로 전달된다. 이와 다른 경우, 예를 들어 방사선 소스가 수은 램프인 경우, 소스는 리소그래피 장치에 통합된 부분일 수 있다. 방사선 소스(SO) 및 조명기(IL)는 필요에 따라 빔 전달 시스템(BD)과 함께 방사선 시스템으로 지칭될 수 있다.Referring to FIG. 1, the illuminator IL receives a radiation beam from a radiation source SO. For example, when the radiation source is an excimer laser, the radiation source and the lithographic apparatus can be separate entities. In such cases, the radiation source is not considered to form part of the lithographic apparatus, and the radiation beam is a radiation source (SO), for example with the aid of a beam delivery system (BD) comprising a suitable directional mirror and / or beam expander. It is transferred to the illuminator IL from. In other cases, for example when the radiation source is a mercury lamp, the source may be an integral part of the lithographic apparatus. The radiation source SO and illuminator IL may be referred to as a radiation system along with the beam delivery system BD as needed.

조명기(IL)는 방사선 빔의 각도 세기 분포를 조정하도록 구성된 조정기(AD)를 포함할 수 있다. 일반적으로, 조명기의 퓨필 평면 내의 세기 분포의 적어도 외측 및/또는 내측 반경방향 치수(일반적으로 각각 외측-σ 및 내측-σ로 지칭됨)가 조정될 수 있다. 또한, 조명기(IL)는 집속기(IN) 및 집광기(CO)와 같은 다양한 다른 컴포넌트들을 포함할 수 있다. 조명기는 단면에 원하는 균일성과 세기 분포를 갖도록 방사선 빔을 컨디셔닝하는 데 사용될 수 있다.The illuminator IL may include an adjuster AD configured to adjust the angular intensity distribution of the radiation beam. Generally, at least the outer and / or inner radial dimensions of the intensity distribution in the pupil plane of the illuminator (generally referred to as outer-σ and inner-σ, respectively) can be adjusted. Also, the illuminator IL may include various other components such as a concentrator IN and a condenser CO. The illuminator can be used to condition the radiation beam to have the desired uniformity and intensity distribution over the cross section.

방사선 빔(B)은 마스크 지지 구조체(예를 들어, 마스크 테이블(MT)) 상에 유지되는 패터닝 디바이스(예를 들어, 마스크(MA)) 상에 입사되고, 패터닝 디바이스에 의해 패터닝된다. 마스크(MA)를 거친 후에, 방사선 빔(B)은 투영 시스템(PS)을 통과하게 되며, 투영 시스템(PS)은 기판(W)의 타겟부(C) 상으로 빔을 포커싱한다. 제2 위치설정 디바이스(PW) 및 위치 센서(IF)(예를 들어, 간섭계 디바이스, 선형 인코더 또는 용량성 센서)의 도움으로, 기판 테이블(WT)은 예를 들어 방사선 빔(B)의 경로에 다양한 타겟부(C)를 위치설정하도록 정확하게 이동될 수 있다. 마찬가지로, 제1 위치설정 디바이스(PM) 및 또 다른 위치 센서(도 1에는 명확히 도시되지는 않음)가, 예를 들어 마스크 라이브러리로부터의 기계적 회수 후에, 또는 스캔 중에, 방사선 빔(B)의 경로에 대해 마스크(MA)를 정확히 위치설정하는 데 사용될 수 있다. 일반적으로, 마스크 테이블(MT)의 이동은 제1 위치설정 디바이스(PM)의 일부를 형성하는 롱-스트로크 모듈(개략적 위치설정) 및 숏-스트로크 모듈(미세 위치설정)의 도움으로 실현될 수 있다. 유사하게, 기판 테이블(WT) 또는 "기판 지지체"의 이동은 제2 위치설정기(PW)의 일부를 형성하는 롱-스트로크 모듈 및 숏-스트로크 모듈을 사용하여 실현될 수 있다. (스캐너와는 대조적으로) 스테퍼의 경우, 마스크 테이블(MT)은 숏-스트로크 액츄에이터에만 연결되거나 고정될 수 있다. 마스크(MA) 및 기판(W)은 마스크 정렬 마크(M1, M2) 및 기판 정렬 마크(P1, P2)를 사용하여 정렬될 수 있다. 도시된 바와 같은 기판 정렬 마크는 전용화된 타겟부를 점유하지만, 이들은 타겟부 사이의 공간에 위치할 수도 있다(이들은 스크라이브- 레인 정렬 마크(scribe-lane alignment mark)로 알려져 있다). 유사하게, 둘 이상의 다이가 마스크(MA) 상에 제공되는 상황에서, 마스크 정렬 마크는 다이 사이에 위치될 수 있다. The radiation beam B is incident on the patterning device (e.g., mask MA) held on the mask support structure (e.g., mask table MT), and is patterned by the patterning device. After going through the mask MA, the radiation beam B passes through the projection system PS, and the projection system PS focuses the beam onto the target portion C of the substrate W. With the aid of a second positioning device (PW) and a position sensor (IF) (e.g., interferometer device, linear encoder or capacitive sensor), the substrate table WT is, for example, in the path of the radiation beam B. It can be accurately moved to position the various target portions (C). Likewise, the first positioning device PM and another position sensor (not explicitly shown in FIG. 1) are in the path of the radiation beam B, for example after mechanical recovery from the mask library, or during a scan. Can be used to accurately position the mask MA. In general, the movement of the mask table MT can be realized with the help of a long-stroke module (schematic positioning) and a short-stroke module (fine positioning) that form part of the first positioning device PM. . Similarly, movement of the substrate table WT or " substrate support " can be realized using long-stroke modules and short-stroke modules that form part of the second positioner PW. In the case of a stepper (as opposed to a scanner), the mask table MT can be connected or fixed only to the short-stroke actuator. The mask MA and the substrate W may be aligned using the mask alignment marks M1 and M2 and the substrate alignment marks P1 and P2. The substrate alignment marks as shown occupy dedicated target portions, but they may be located in the space between the target portions (these are known as scribe-lane alignment marks). Similarly, in a situation where two or more dies are provided on the mask MA, the mask alignment marks can be positioned between the dies.

도시된 장치는 다음 모드들 중 적어도 하나로 사용될 수 있다:The illustrated device can be used in at least one of the following modes:

스텝 모드에서는, 방사선 빔에 부여된 전체 패턴이 한번에 타겟부(C) 상에 투영되는 동안, 마스크 테이블(MT) 또는 "마스크 지지체" 및 기판 테이블(WT) 또는 "기판 지지체"는 실질적으로 정지 상태로 유지된다(단일 정적 노광). 그 다음, 기판 테이블(WT) 또는 "기판 지지체"는 상이한 타겟부(C)가 노광될 수 있도록 X 및/또는 Y 방향으로 시프트된다. 스텝 모드에서, 노광 필드의 최대 크기는 단일 정적 노광으로 이미징되는 타겟부(C)의 크기를 제한한다.In the step mode, while the entire pattern imparted to the radiation beam is projected onto the target portion C at one time, the mask table MT or the "mask support" and the substrate table WT or "substrate support" are substantially stationary. Is maintained (single static exposure). Then, the substrate table WT or the "substrate support" is shifted in the X and / or Y direction so that different target portions C can be exposed. In step mode, the maximum size of the exposure field limits the size of the target portion C imaged with a single static exposure.

스캔 모드에서는, 방사선 빔에 부여된 패턴이 타겟부(C) 상에 투영되는 동안, 마스크 테이블(MT) 또는 "마스크 지지체" 및 기판 테이블(WT) 또는 "기판 지지체"는 동기적으로 스캐닝된다(즉, 단일 동적 노광). 마스크 테이블(MT) 또는 "마스크 지지체"에 대한 기판 테이블(WT) 또는 "기판 지지체"의 속도 및 방향은 투영 시스템(PS)의 배율(축소율) 및 이미지 반전 특성에 의해 결정될 수 있다. 스캔 모드에서, 노광 필드의 최대 크기는 단일 동적 노광에서 타겟부의 (비-스캐닝 방향으로의) 폭을 제한하는 반면, 스캐닝 동작의 길이는 타겟부의 (스캐닝 방향으로의) 높이를 결정한다.In the scan mode, while the pattern imparted to the radiation beam is projected onto the target portion C, the mask table MT or "mask support" and the substrate table WT or "substrate support" are synchronously scanned ( That is, single dynamic exposure). The speed and direction of the substrate table WT or the "substrate support" relative to the mask table MT or the "mask support" can be determined by the magnification (reduction ratio) and image reversal characteristics of the projection system PS. In scan mode, the maximum size of the exposure field limits the width of the target portion (in the non-scanning direction) in a single dynamic exposure, while the length of the scanning operation determines the height of the target portion (in the scanning direction).

또 다른 모드에서, 마스크 테이블(MT) 또는 "마스크 지지체"는 프로그램 가능한 패터닝 디바이스를 유지하면서 실질적으로 정지된 상태로 유지되며, 방사선 빔에 부여된 패턴이 타겟부(C) 상에 투영되는 동안 기판 테이블(WT)이 이동 또는 스캐닝된다. 이러한 모드에서는, 일반적으로 펄스형 방사선 소스가 채용되고, 프로그램 가능 패터닝 디바이스는 기판 테이블(WT) 또는 "기판 지지체"의 각각의 이동 후에 또는 스캔 중에 연속적인 방사선 펄스 사이에서 필요에 따라 업데이트된다. 이러한 동작 모드는 상술한 바와 같은 유형의 프로그램 가능한 미러 어레이 등의 프로그램 가능한 패터닝 디바이스를 이용하는 마스크 없는 리소그래피에 용이하게 적용될 수 있다.In another mode, the mask table MT or "mask support" remains substantially stationary while maintaining a programmable patterning device, while the pattern imparted to the radiation beam is projected onto the target portion C The table WT is moved or scanned. In this mode, a pulsed radiation source is generally employed, and the programmable patterning device is updated as needed between successive radiation pulses during each scan or during the movement of the substrate table WT or "substrate support". This mode of operation can be easily applied to maskless lithography using a programmable patterning device such as a programmable mirror array of the type described above.

상술된 사용 모드들의 조합 및/또는 변형 또는 완전히 다른 사용 모드들이 또한 채용될 수 있다.Combinations and / or variations of the use modes described above or entirely different use modes may also be employed.

본 발명의 실시예는 전형적으로 전술한 바와 같은 리소그래피 장치와 함께 사용될 것이고, 이러한 리소그래피 장치는 기판 상에 존재하는 하나 이상의 정렬 마크의 위치를 결정하도록 구성된 정렬 시스템(AS)을 더 포함한다. 정렬 시스템은 복수의 상이한 정렬 측정을 수행하도록 구성되어, 고려되는 정렬 마크에 대해 복수의 측정된 정렬 마크 위치를 획득하게 된다. 이와 관련하여, 특정 정렬 마크에 대해 상이한 정렬 측정을 수행한다는 것은 상이한 측정 파라미터 또는 특성을 사용하여 정렬 측정을 수행하는 것을 의미한다. 이러한 상이한 측정 파라미터 또는 특성은 예를 들어 정렬 측정을 수행하기 위해 상이한 광학 특성을 이용하는 것을 포함할 수 있다. 일례로, 본 발명에 따른 리소그래피 장치에 적용되는 정렬 시스템은, 상이한 특성 또는 파라미터를 갖는 복수의 정렬 빔을 기판 상의 정렬 마크 위치에 투영하도록 구성된 정렬 투영 시스템 및 기판으로부터 반사된 빔에 기초하여 정렬 위치를 결정하도록 구성된 검출 시스템을 포함할 수 있다.Embodiments of the present invention will typically be used with a lithographic apparatus as described above, which lithographic apparatus further includes an alignment system (AS) configured to determine the location of one or more alignment marks present on the substrate. The alignment system is configured to perform a plurality of different alignment measurements, so as to obtain a plurality of measured alignment mark positions for the alignment marks considered. In this regard, performing different alignment measurements on a particular alignment mark means performing alignment measurements using different measurement parameters or properties. These different measurement parameters or properties may include using different optical properties, for example to perform alignment measurements. In one example, an alignment system applied to a lithographic apparatus according to the present invention includes an alignment projection system configured to project a plurality of alignment beams having different characteristics or parameters to alignment mark positions on a substrate and alignment positions based on beams reflected from the substrate. It may include a detection system configured to determine.

노광 단계 동안 웨이퍼가 정렬되고 패터닝된 후, 전술한 바와 같이, 패터닝의 정확성을 검사하기 위해 웨이퍼가 계측된다. 웨이퍼 상의 이전 층 내에서 패턴의 위치를 참조하여, 패턴의 실제 (측정된) 위치와 패턴의 요구되는 위치 사이의 편차는 일반적으로 오버레이 오차 또는 단순히 오버레이로 지칭된다. 프로세스와 연관된 오버레이 오차는 프로세스의 품질을 나타내는 좋은 지표이다. 따라서 오버레이는 프로세스의 품질 파라미터로 여겨질 수 있다. 오버레이 오차는 프로세스 품질을 나타내는 유일한 관련 파라미터가 아니다. 기판(웨이퍼)을 노광할 때 초점 오차가 또한 중요하다. 오버레이 오차는 전형적으로 기판의 평면에서의 위치 오차와 연관되며 따라서 정렬 시스템의 성능과 밀접한 관련이 있다. 초점 오차는 기판의 평면에 수직인 위치 오차와 연관되고 리소그래피 장치에서의 다른 측정 시스템; 레벨링 시스템의 성능과 밀접한 관련이 있다. 초점 오차 또한 프로세스의 품질 파라미터로 여겨질 수 있다.After the wafer is aligned and patterned during the exposure step, the wafer is calibrated to check the accuracy of the patterning, as described above. With reference to the position of the pattern within the previous layer on the wafer, the deviation between the actual (measured) position of the pattern and the desired position of the pattern is generally referred to as overlay error or simply overlay. The overlay error associated with the process is a good indicator of the quality of the process. Therefore, the overlay can be considered a quality parameter of the process. Overlay error is not the only relevant parameter indicating process quality. Focus error is also important when exposing a substrate (wafer). Overlay errors are typically associated with positional errors in the plane of the substrate and are therefore closely related to the performance of the alignment system. The focus error is associated with a position error perpendicular to the plane of the substrate and other measurement systems in the lithographic apparatus; It is closely related to the performance of the leveling system. The focus error can also be considered a quality parameter of the process.

일반적으로 품질 파라미터는 계측 시스템(예컨대, 오버레이 오차를 결정하는 데 사용되는 스캐터로미터)에 의해 측정된다. 그러나, 계측 시스템을 사용하는 것에 부가하여 또는 대안적으로 품질 파라미터를 도출하기 위해 예측이 사용될 수도 있다. 컨텍스트 데이터(예를 들어, 어떤 프로세싱 장치가 관심 있는 기판을 프로세싱하는 데 사용되었는지에 대한 지식) 및 품질 데이터에 직접 관련되지 않은 측정 데이터(예를 들어, 오버레이 오차를 예측하기 위해 측정되는 웨이퍼 형상 데이터)에 기초하여, 직접 측정된 품질 파라미터 데이터를 대표하는 가상 계측 데이터가 재구성될 수 있다. 종종 이 개념을 "하이브리드 계측"이라고 한다; 다양한 데이터 소스와, 필요한 경우 관심 있는 품질 파라미터(오버레이 및/또는 초점 오차)와 연관된 계측 데이터를 재구성하기 위한 시뮬레이션 모델을 조합하는 방법이다. 대안적으로, 컨텍스트 데이터 및/또는 측정 데이터에 기초하여 품질 파라미터를 도출하기 위해 시뮬레이션 모델이 사용될 수 있다. 예를 들어, 노광전 측정(레벨링 데이터, 정렬 데이터) 및 컨텍스트 데이터(레티클 레이아웃, 프로세스 정보)에 기초하여 리소그래피 프로세스를 모방하기 위해 시뮬레이션 모델이 이용될 수 있다. 시뮬레이션 모델 자체가 품질 파라미터 데이터(이 경우 예측된 오버레이)의 맵을 생성할 수 있다.Quality parameters are generally measured by a metrology system (eg, a scatterometer used to determine overlay errors). However, prediction may be used to derive quality parameters in addition to or alternative to using a metrology system. Context data (e.g., knowledge of which processing device was used to process the substrate of interest) and measurement data not directly related to quality data (e.g., wafer shape data measured to predict overlay error) ), Virtual metrology data representative of directly measured quality parameter data can be reconstructed. Often this concept is called "hybrid measurement"; It is a method of combining various data sources and, if necessary, simulation models to reconstruct measurement data associated with quality parameters of interest (overlay and / or focus error). Alternatively, a simulation model can be used to derive quality parameters based on context data and / or measurement data. For example, a simulation model can be used to mimic a lithography process based on pre-exposure measurements (leveling data, alignment data) and context data (reticle layout, process information). The simulation model itself can generate a map of quality parameter data (in this case the predicted overlay).

본 명세서의 의미 내에서, 정렬 시스템은 적어도 정렬 빔의 편광의 차이 또는 파장(주파수) 함량의 차이를 포함하는 상이한 동작 파라미터로 작동된다. 따라서, 정렬 시스템은 상이한 동작 파라미터를 사용하여(예를 들어, 상이한 색상, 즉 주파수/파장을 갖는 정렬 빔을 사용하여) 정렬 마크의 위치를 결정할 수 있다. 일반적으로, 정렬 시스템에 의해 수행되는 이러한 정렬 마크 측정의 목적은 다음의 노광 프로세스의 타겟부(예컨대, 도 1에 도시된 타겟부(C))의 위치를 결정하거나 추정하려는 것이다. 통상적으로 "색상"이라는 용어는 특별한 측정 파라미터 또는 측정 파라미터 세트를 갖는 빔을 지칭하는 데 사용된다. 이러한 상이한 "색상" 빔은 반드시 가시 스펙트럼 내에서 상이한 색상을 갖는 빔일 필요는 없지만, 상이한 주파수(파장) 또는 다른 특성, 예컨대 편광을 가질 수 있다.Within the meaning of this specification, the alignment system is operated with different operating parameters, including at least the difference in polarization or wavelength (frequency) content of the alignment beam. Thus, the alignment system can determine the position of the alignment mark using different operating parameters (eg, using an alignment beam with different color, ie frequency / wavelength). In general, the purpose of such alignment mark measurement performed by the alignment system is to determine or estimate the position of the target portion (e.g., target portion C shown in Fig. 1) of the next exposure process. The term "color" is commonly used to refer to a beam having a particular measurement parameter or set of measurement parameters. These different “color” beams are not necessarily beams with different colors in the visible spectrum, but can have different frequencies (wavelengths) or other properties, such as polarization.

이들 타겟부 위치를 결정하기 위해, 예를 들어 타겟부를 둘러싸는 스크라이브 레인에 제공될 수 있는 정렬 마크의 위치가 측정된다. 측정된 정렬 마크 위치가 공칭 또는 예상 위치로부터 벗어날 때, 다음의 노광이 이루어져야 하는 타겟부 또한 벗어난 위치를 갖는 것으로 가정할 수 있다. 정렬 마크의 측정된 위치를 사용하여, 타겟부의 실제 위치를 결정하거나 추정할 수 있고, 따라서 다음의 노광이 적절한 위치에서 수행될 수 있게 하여, 다음의 노광을 타겟부에 정렬시킬 수 있다.To determine the position of these target portions, the position of the alignment marks, which can be provided, for example, to the scribe lane surrounding the target portion is measured. When the measured alignment mark position deviates from the nominal or expected position, it can be assumed that the target portion to which the next exposure is to be made also has an off-position. Using the measured position of the alignment mark, the actual position of the target portion can be determined or estimated, so that the next exposure can be performed at an appropriate position, so that the next exposure can be aligned to the target portion.

측정된 정렬 마크 위치가 예상 또는 공칭 위치에서 벗어나는 경우, 이를 기판의 변형으로 인한 것으로 취급할 가능성이 있다. 기판의 이러한 변형은 예를 들어 기판이 거치게 되는 다양한 프로세스에 의해 유발될 수 있다.If the measured alignment mark position deviates from the expected or nominal position, it is possible to treat it as a result of deformation of the substrate. This deformation of the substrate can be caused, for example, by various processes that the substrate undergoes.

복수의 측정된 정렬 마크 위치가 이용 가능하고, 위치 편차, 즉 예상된 정렬 마크 위치의 편차가 결정될 때, 이러한 편차는 기판의 변형을 기술하도록 예를 들어 함수에 근사될 수 있다. 이것은 예를 들어 편차 (Δx, Δy)를 (x, y) 위치의 함수로서 기술하는 2차원 함수일 수 있다. 이러한 함수를 사용하면, 패턴이 투영될 필요가 있는 타겟부의 실제 위치를 결정하거나 추정할 수 있다.When a plurality of measured alignment mark positions are available and the position deviation, i.e., the deviation of the expected alignment mark position, is determined, this deviation can be approximated to a function, for example to describe the deformation of the substrate. This can be, for example, a two-dimensional function describing the deviations (Δx, Δy) as a function of the (x, y) position. Using this function, it is possible to determine or estimate the actual position of the target portion where the pattern needs to be projected.

정렬 시스템에 의해 수행되는 정렬 위치 측정은 정렬 마크 자체의 변형 또는 비대칭에 의해 방해받을 수 있다. 달리 말하면, 정렬 마크의 변형으로 인해, 정렬 마크가 변형되지 않는 상황과 비교하여, 벗어난 정렬 마크 위치 측정이 획득될 수 있다. 조치가 취해지지 않으면, 이와 같이 벗어난 정렬 마크 위치 측정으로 인해 정렬 마크 위치가 잘못 결정될 수도 있다. 또한, 이러한 유형의 편차, 즉 정렬 마크 변형에 의해 유발되는 벗어난 위치 측정은 이용된 동작 파라미터에 의존하는 것으로 관측된 바 있다. 예를 들어, 상이한 주파수를 갖는 정렬 빔을 사용하여 정렬 마크 위치가 측정될 때, 이것은 상이한 결과, 즉 정렬 마크에 대한 상이한 측정 위치를 초래할 수 있다.The alignment position measurement performed by the alignment system can be disturbed by deformation or asymmetry of the alignment mark itself. In other words, due to the deformation of the alignment mark, an out-of-order alignment mark position measurement can be obtained compared to a situation in which the alignment mark is not deformed. If no action is taken, misalignment mark position may be incorrectly determined due to this misalignment mark position measurement. In addition, it has been observed that this type of deviation, ie, the position measurement deviated by the alignment mark deformation, depends on the operating parameters used. For example, when alignment mark positions are measured using alignment beams having different frequencies, this may lead to different results, i.e., different measurement positions for alignment marks.

이와 같이, 정렬 마크의 위치가 복수의 상이한 동작 파라미터, 예를 들어 상이한 주파수를 갖는 정렬 빔을 사용하여 측정될 때, 상이한 결과가 획득되고, 예를 들어 복수의 상이한 정렬 마크 위치가 이러한 측정에 기초하여 획득될 수 있다.As such, when the positions of the alignment marks are measured using a plurality of different operating parameters, eg alignment beams with different frequencies, different results are obtained, for example a plurality of different alignment mark positions are based on these measurements. Can be obtained.

이로부터 명백한 바와 같이, 정렬 측정 절차의 결과는 실제 기판 변형에 대한 평가, 즉 정렬 마크의 실제 위치에 대한 평가이어야 하며, 이는 후속되는 노광을 위해 타겟부의 실제 위치를 결정하는 데에 사용될 수 있다.As is evident from this, the result of the alignment measurement procedure should be an evaluation of the actual substrate deformation, that is, an evaluation of the actual position of the alignment mark, which can be used to determine the actual position of the target portion for subsequent exposure.

기술된 효과, 특히 정렬 마크 변형의 효과를 고려하면, 측정된 정렬 마크 위치(예를 들어, 일반적으로 "측정 파라미터"로 지칭됨), 즉 상이한 측정으로부터 도출되는(즉, 상이한 동작 파라미터를 사용함) 정렬 마크 위치는, (알려지지 않은) 실제 기판 변형과 (알려지지 않은) 발생한 마크 변형 모두에 의해 영향을 받는다. Taking into account the described effect, in particular the effect of the alignment mark deformation, the measured alignment mark position (eg, generally referred to as a “measurement parameter”), ie derived from different measurements (ie using different operating parameters) Alignment mark position is affected by both the (unknown) actual substrate deformation and the (unknown) mark deformation.

두 효과 모두 예상되는 정렬 마크 위치와 측정된 정렬 마크 위치 사이의 편차를 초래할 수 있다. 이와 같이, 위치 편차가 관측될 때, 이는 실제 기판 변형 또는 정렬 마크 변형 또는 이들의 조합에 의해 유발될 수 있다.Both effects can lead to a deviation between the expected alignment mark position and the measured alignment mark position. As such, when positional deviations are observed, this may be caused by actual substrate deformation or alignment mark deformation or a combination thereof.

도 2는 몇 가지 가능한 시나리오를 개략적으로 나타낸다; 3번의 측정(M1, M2, M3)이 정렬 마크(X)의 위치를 결정하기 위해 수행된다고 가정한다. 도 2(a)는 정렬 마크의 공칭 또는 예상 위치(E)와 측정 위치(M1, M2, M3)를 개략적으로 보여준다. 도 2(a)는 또한 정렬 마크의 실제 위치(A)를 나타낸다. 알 수 있는 바와 같이, 수행되는 측정 중 어느 것도 실제 위치 편차(E-A)에 대한 정확한 표현을 제공하지는 않는다.2 schematically shows several possible scenarios; It is assumed that three measurements M1, M2, and M3 are performed to determine the position of the alignment mark X. Fig. 2 (a) schematically shows the nominal or expected position E of the alignment mark and the measurement positions M1, M2, M3. Fig. 2 (a) also shows the actual position A of the alignment mark. As can be seen, none of the measurements performed provide an accurate representation of the actual position deviation (E-A).

따라서, 도 2(a)에 도시된 시나리오는, 벗어난 측정을 유발하는 마크 변형과 조합되는 정렬 마크의 실제 변위(실제 정렬 마크 위치(A)가 예상된 위치(E)와 다름)를 수반한다.Thus, the scenario shown in FIG. 2 (a) involves the actual displacement of the alignment mark (the actual alignment mark position A differs from the expected position E) in combination with the mark deformation causing the off-measurement.

도 2(b)는 측정(M1, M2, M3)에서 차이가 관측되는 대안적인 시나리오를 보여주는데, 측정 파라미터(이 경우 측정된 위치)는 측정 파라미터(예컨대, 위치 E)의 예상 값과 다르지만, 실제 위치(A)는 예상 위치(E)와 일치한다고 가정된다. 이러한 시나리오에서, 측정은 정렬 마크의 위치 편차가 있음을 암시할 것이지만, 실제로는 어떠한 위치 편차도 없고, 즉 정렬 마크의 위치가 기판 변형에 의해 영향을 받지 않는다.Fig. 2 (b) shows an alternative scenario in which a difference is observed in the measurements M1, M2, M3, where the measurement parameter (in this case the measured position) is different from the expected value of the measurement parameter (e.g. position E), but in practice It is assumed that the position A coincides with the expected position E. In this scenario, the measurement will suggest that there is a positional deviation of the alignment mark, but in reality there is no positional deviation, that is, the position of the alignment mark is not affected by the substrate deformation.

도 2(c)는 3번의 측정(M1, M2, M3)이 모두 실제 위치(A)와 일치하는 세 번째 시나리오를 개략적으로 보여준다. 이러한 시나리오는 측정에 영향을 미치는 정렬 마크 변형이 없을 때 생길 수 있다.Fig. 2 (c) schematically shows a third scenario in which all three measurements M1, M2, and M3 coincide with the actual position A. This scenario can occur when there is no alignment mark deformation affecting the measurement.

도시된 다양한 시나리오로부터 명백한 바와 같이, 실제 정렬 마크 위치에 대한 적절한 평가에 도달하기 위해, 마크 변형의 영향과 기판 변형의 영향을 구별할 수 있어야 한다.As will be apparent from the various scenarios shown, in order to reach a proper evaluation of the actual alignment mark position, it should be possible to distinguish the effect of mark deformation from the influence of substrate deformation.

본 발명은 이러한 두 효과의 분리를 실현하는 방법을 제공한다. 일례로서, 리소그래피 장치는 두 효과를 분리하기 위해 필요한 동작을 수행하기 위한 프로세싱 유닛(PU)(도 1 참조)을 포함할 수 있다. 그러므로 이러한 프로세싱 유닛(PU)은 프로세서, 마이크로프로세서, 컴퓨터 등을 포함할 수 있다.The present invention provides a method for realizing the separation of these two effects. As an example, the lithographic apparatus may include a processing unit (PU) (see FIG. 1) to perform the necessary operations to separate the two effects. Therefore, such a processing unit (PU) may include a processor, a microprocessor, a computer, and the like.

도 3은 본 발명의 기본적인 물리적 원리를 예시한다(관심 있는 동작 파라미터가 정렬 빔의 색상일 때 종종 "최적 색상 가중화(OCW)" 개념으로 지칭됨). 상단의 도면에서 알 수 있는 바와 같이 이상적인 상황에서는 다색상 측정에 사용되는 모든 색상이 기하학적으로 완벽한 기판(34) 상의 마커(32)에 대해 동일한 정렬 위치 표시(30)를 생성하지만, 실제로는 위에서 설명한 이유로 인하여 하단의 도면에 도시된 바와 같이 상이한 색상들이 실제의 (즉, 완벽하지 않은) 기판(38)에 대해 상이한 위치 표시들(36)을 초래한다. 3 illustrates the basic physical principles of the present invention (often referred to as the “optimal color weighting (OCW)” concept when the operating parameter of interest is the color of the alignment beam). As can be seen from the figure above, in an ideal situation, all colors used for multicolor measurements produce the same alignment position mark 30 for the marker 32 on the geometrically perfect substrate 34, but in practice it is described above. For different reasons different colors result in different position indications 36 relative to the actual (ie not perfect) substrate 38 as shown in the figure below.

도 4는 마크 변형에 의해 상이한 컬러들이 어떻게 영향을 받을 수 있으며, 그래프(40)에 도시된 바와 같이 각각의 컬러의 위치 오차는 변형의 정도(마크의 상단 틸트 각도)에 따라 선형적으로 변할 수 있다고 가정할 수 있다. 이 경우, 실제 마크 위치에 대한 최상의 표시를 제공하는 것으로서 단일 색상을 결정하는 것이 가능할 수 있다. 그러나, 도 5에 도시된 바와 같이, 다수의 상이한 유형의 마크 변형이 존재할 수 있는 경우, 어떠한 단일 색상도 모든 변형 유형에 대해 최상의 근사를 제공하지 못할 수도 있다. 실제로는, 마크 변형으로 인한 오차는 상이한 색상(예를 들어, 파장 또는 편광)에 대해 상이하게 스케일링될 뿐만 아니라 층 두께 변동 및 측정되는 마크의 유형에 따라 달라지는 것으로 밝혀진 바 있다. OCW 기반 방법은 결정된 마커 위치에 대해 마커 변형이 미치는 영향을 최소화하기 위해 사용되는 모든 상이한 색상들의 최적의 조합을 결정하는 것을 목표로 한다.4, how different colors can be affected by mark deformation, and as shown in the graph 40, the position error of each color may vary linearly according to the degree of deformation (the upper tilt angle of the mark). Can be assumed. In this case, it may be possible to determine a single color as providing the best indication of the actual mark position. However, as shown in FIG. 5, if there can be multiple different types of mark variations, no single color may provide the best approximation for all types of variations. In practice, it has been found that errors due to mark deformation not only scale differently for different colors (eg, wavelength or polarization), but also depend on the variation in layer thickness and the type of mark being measured. The OCW-based method aims to determine the optimal combination of all different colors used to minimize the effect of marker deformation on the determined marker position.

마크 변형을 포함하는 프로세싱 변동(PV)으로 인해, 웨이퍼 내에서 그리고 웨이퍼마다(PV), 정렬된 위치에 있어서의 변동이 색상(i)에 대해 시프트된다. OCW 솔루션은 최상의 단일한 색상에서는 멀어지지만, 모든 색상(

Figure pct00001
)이 정렬될 위치를 규정하도록 허용한다. '가중치'(wi)가 각각의 색상(xi)에 대해 추가되어, 프로세스에 대해 로버스트한 정렬된 위치(
Figure pct00002
)를 규정하기 위한 xi의 선형 조합에 도달하게 된다.Due to processing variations (PV) involving mark deformation, variations in the aligned position within the wafer and per wafer (PV) are shifted with respect to the color i . OCW solutions are far from the best single color, but all colors (
Figure pct00001
). 'Weight' ( wi ) is added for each color ( xi ), so that it is a robust aligned position for the process (
Figure pct00002
) To arrive at a linear combination of xi to qualify.

따라서, 본 발명의 실시예는 웨이퍼-대-웨이퍼 프로세스 변동(PV)에 의해 정렬 마크가 변형되어 제품내 오버레이 오차를 초래하는 문제를 해소한다. OCW 솔루션은 다음을 수반한다:Thus, embodiments of the present invention solve the problem that the alignment marks are deformed by wafer-to-wafer process variation (PV), resulting in overlay errors in the product. OCW solutions involve:

· 정렬 위치의 선형 가중된 조합으로 OCW 위치를 규정한다.· OCW position is specified by a linear weighted combination of alignment positions.

· 웨이퍼-대-웨이퍼 오버레이 오차가 최소화되도록 최적의 선형 조합을 취함으로써 프로세스 변동에 대한 y의 프로세스 감도를 최소화한다.· Minimize process sensitivity of y to process variation by taking an optimal linear combination to minimize wafer-to-wafer overlay errors.

· 각각의 색상/편광에 대한 최적의 가중치는 오버레이 데이터를 사용한 트레이닝을 이용하여 결정된다.· The optimum weight for each color / polarization is determined using training using overlay data.

· 바람직하게는, 유사한 프로세싱을 거친 웨이퍼 상에서 이루어진 측정으로부터 오버레이 데이터가 획득되며, 측정 및 프로세싱 양자 모두가 동일하거나 유사한 장비를 사용하여 수행된다.Preferably, overlay data is obtained from measurements made on wafers that have undergone similar processing, and both measurement and processing are performed using the same or similar equipment.

오버레이 데이터에 기초하여 색상 가중치(

Figure pct00003
)를 결정하는 데에 사용되는 수학적 원리는 다음과 같다.Color weight based on overlay data (
Figure pct00003
The mathematical principle used to determine) is:

· OCW 위치 y는 M개의 측정된 색상 위치(

Figure pct00004
)에 걸친 가중된 합계이다.OCW position y is M measured color positions (
Figure pct00004
).

Figure pct00005
Figure pct00005

· N개의 측정된 마크가 주어지면,Given N measured marks,

Figure pct00006
Figure pct00007
Figure pct00006
Figure pct00007

· 역정정된(decorrected) 오버레이

Figure pct00008
를 최소화하기 위해 가중치를 최적화한다.· Decorrected overlay
Figure pct00008
Optimize weights to minimize.

Figure pct00009
Figure pct00009

(역정정된 오버레이 = 오버레이 - 적용된 웨이퍼 정렬). (Reversed overlay = overlay-applied wafer alignment).

· 그러면 색상 가중치(

Figure pct00010
)는 다음의 식으로 구할 수 있다:· Then the color weight (
Figure pct00010
) Can be found by the following equation:

Figure pct00011
Figure pct00011

정규 OCWRegular OCW

전술한 바와 같이, OCW(최적 색상 가중화)는 정렬 레시피에 있어서, 웨이퍼 상에서 패턴의 최소 오버레이 변동을 달성할 수 있는 최적의 색상 가중치 계수를 결정한다. OCW는 마크 상의 다수의 위치에서 결정될 수 있다. 마크 상의 위치는 2차원 표현을 이용하여 기술될 수 있으며, 이는 예를 들어 2차원 좌표

Figure pct00012
,
Figure pct00013
와 같은 좌표들의 세트일 수 있다.
Figure pct00014
,
Figure pct00015
좌표의 세트는 선형 좌표일 수 있고, 즉 이러한 좌표는 두 개의 축(
Figure pct00016
축과
Figure pct00017
축)으로 표현되며, 이들 축은 서로 평행하지 않은 상이한 방향을 가진다.
Figure pct00018
Figure pct00019
축의 방향은 각각
Figure pct00020
Figure pct00021
좌표의 방향으로 지칭될 수 있다.
Figure pct00022
,
Figure pct00023
좌표는 직교 좌표 또는 직교 정규 좌표일 수 있다.
Figure pct00024
Figure pct00025
의 축은 마크와 독립적으로 정렬될 수 있다. OCW는 이전에 획득된 정렬 및 오버레이 데이터에 대해 트레이닝될 수 있다. 색상 가중치 계수가
Figure pct00026
Figure pct00027
방향에 대해 독립적으로 트레이닝되어 적용될 수 있다. 대안적으로 색상 가중치 계수는 조합된
Figure pct00028
Figure pct00029
에 대해 트레이닝될 수 있지만, 독립적인 트레이닝을 통해 오버레이 성능이 향상된다.As described above, OCW (Optimal Color Weighting) determines the optimal color weighting factor for alignment recipes to achieve minimal overlay variation of the pattern on the wafer. OCW can be determined at multiple locations on the mark. The position on the mark can be described using a two-dimensional representation, for example two-dimensional coordinates.
Figure pct00012
,
Figure pct00013
It may be a set of coordinates such as.
Figure pct00014
,
Figure pct00015
The set of coordinates can be linear, i.e. these coordinates are two axes (
Figure pct00016
Axis
Figure pct00017
Axis), and these axes have different directions that are not parallel to each other.
Figure pct00018
Wow
Figure pct00019
Each axis direction
Figure pct00020
Wow
Figure pct00021
It can be referred to as the direction of the coordinates.
Figure pct00022
,
Figure pct00023
The coordinates may be Cartesian coordinates or Cartesian regular coordinates.
Figure pct00024
Wow
Figure pct00025
The axis of can be aligned independently of the mark. OCW can be trained on previously acquired alignment and overlay data. Color weight coefficient
Figure pct00026
Wow
Figure pct00027
The direction can be independently trained and applied. Alternatively, the color weight coefficients are combined
Figure pct00028
Wow
Figure pct00029
Can be trained on, but overlay performance is improved through independent training.

수학적으로, 2개의 독립적인 방향에 대한 색상 가중치의 결정의 한 가지 구현예는 다음과 같을 수 있다:Mathematically, one implementation of the determination of color weights for two independent directions can be as follows:

Figure pct00030
Figure pct00030

위의 방정식에서 가중치 계수

Figure pct00031
Figure pct00032
는 오버레이 성능을 최적화하도록 결정되어, 그 결과 OCW 결정된 위치
Figure pct00033
Figure pct00034
가 된다. 예를 들어 공칭 마크 위치, 웨이퍼 로드 및 웨이퍼 변형이 가중치 계수에 의해 영향을 받지 않도록 하기 위해, 색상 가중치에 대해 하나 이상의 추가 제약이 가해질 수도 있다. 이는 모든 색상 가중치의 합이 1이 되어야 한다는 요건, 즉
Figure pct00035
Figure pct00036
의 두 독립적인 방향 모두에 대해 가중치의 합이 100 %가 되어야 한다는 요건을 추가함으로써 달성될 수 있다.Weighting coefficient in the above equation
Figure pct00031
And
Figure pct00032
Is determined to optimize overlay performance, resulting in OCW determined location
Figure pct00033
And
Figure pct00034
Becomes For example, one or more additional constraints may be imposed on the color weights to ensure that the nominal mark position, wafer load and wafer deformation are not affected by the weighting coefficient. This means that the sum of all color weights should be 1, i.e.
Figure pct00035
Wow
Figure pct00036
This can be achieved by adding the requirement that the sum of the weights for both independent directions of should be 100%.

Figure pct00037
Figure pct00037

위의 구현에서

Figure pct00038
Figure pct00039
방향으로의 색상 가중치는 독립적으로 계산되지만, 위에서
Figure pct00040
Figure pct00041
에 대한 계산 세트의 표기법은 행렬 형식의 단일한 표기법으로 조합될 수 있다:In the above implementation
Figure pct00038
Wow
Figure pct00039
The color weight in the direction is calculated independently, but from above
Figure pct00040
Wow
Figure pct00041
The notation of the set of calculations for can be combined into a single notation in matrix form:

Figure pct00042
Figure pct00042

Figure pct00043
Figure pct00043

위의 행렬 표기법에서 각각의 색상

Figure pct00044
,
Figure pct00045
은 고유한 가중치 행렬(
Figure pct00046
)을 얻게 되고, 여기서 각각의
Figure pct00047
Figure pct00048
Figure pct00049
방향 좌표 양자 모두에 대한 색상 가중치를 포함한다. 상기 계산에 의해 기술되는 OCW의 구현예에서, 각각의 가중치 행렬
Figure pct00050
는 대각 행렬이고, 이는 주된 대각선에 위치하지 않은 요소가 0임을 의미한다. 위의 행렬 방정식에서 알 수 있는 바와 같이,
Figure pct00051
의 계산은
Figure pct00052
에 의존하는 항을 포함하지 않으며, 마찬가지로
Figure pct00053
의 계산은
Figure pct00054
에 의존하는 항을 포함하지 않고, 따라서 이러한 OCW의 구현에서 색상 가중치의 계산은
Figure pct00055
Figure pct00056
방향에 대해 독립적이다.Each color in the matrix notation above
Figure pct00044
,
Figure pct00045
Is a unique weighting matrix (
Figure pct00046
), Where each
Figure pct00047
The
Figure pct00048
Wow
Figure pct00049
Includes color weights for both direction coordinates. In the implementation of OCW described by the above calculation, each weight matrix
Figure pct00050
Is a diagonal matrix, which means that elements not located on the main diagonal are zero. As can be seen from the matrix equation above,
Figure pct00051
The calculation of
Figure pct00052
Does not contain dependent terms, and likewise
Figure pct00053
The calculation of
Figure pct00054
It does not contain terms that depend on, so the calculation of color weights in these OCW implementations
Figure pct00055
Wow
Figure pct00056
Independent of direction.

세그먼트별 OCWSegment OCW

정렬 마크는 하나 이상의 우선적인 방향을 갖는 구조체를 포함할 수 있다. 예를 들어, 마크는 2개의 격자를 포함하는, 도 14에 도시된 바와 같은 체형(sieve) BF 마크일 수 있으며, 그 방향은 OCW을 위해 사용된 좌표에 정렬되지 않을 수도 있다. 체형 BF 마크의 서브-세그먼트화, 즉 그 피치와 방향이

Figure pct00057
,
Figure pct00058
좌표와 정렬되지 않은 경우, OCW는 상이한 각도들에 대해 상이한 효과들을 초래할 수 있고, OCW 결과는 상이한 웨이퍼들에 대해 일관성이 적어지며, 그 결과 웨이퍼-대-웨이퍼 (오버레이) 성능의 안정성을 감소시킨다.The alignment mark can include a structure having one or more preferential directions. For example, the mark may be a sieve BF mark, as shown in FIG. 14, comprising two gratings, the direction of which may not be aligned to the coordinates used for OCW. Sub-segmentation of the body BF mark, ie its pitch and direction
Figure pct00057
,
Figure pct00058
If not aligned with the coordinates, OCW can result in different effects for different angles, and the OCW result is less consistent for different wafers, resulting in reduced stability of wafer-to-wafer (overlay) performance. .

정렬 마크가

Figure pct00059
,
Figure pct00060
좌표와 정렬되지 않은 우선적인 방향(예컨대, 마크 구조체에 있어서 지배적인 방향)을 갖는 경우, 새로운 대안적인 좌표 세트를 사용하는 OCW를 수행하여 색상 가중치를 결정하는 것이 바람직할 수 있으며, 여기서 새로운 좌표 방향은 마크의 하나 이상의 우선적인 방향과 일치한다. 예를 들어, 체형 BF 마크의 경우, 도 14에 도시된 격자 방향은 새로운 좌표
Figure pct00061
,
Figure pct00062
를 결정하기 위해 우선적인 방향으로 사용될 수 있다. 따라서, 일부 구현예에서, OCW를 수행하는 것은 새로운 좌표 세트
Figure pct00063
,
Figure pct00064
를 결정하는 것을 포함할 수 있고, 여기서
Figure pct00065
,
Figure pct00066
방향은 마크의 우선적인 방향, 예를 들어 체형 BF 마크의 피치 방향에 정렬될 수 있다. 새로운 좌표
Figure pct00067
,
Figure pct00068
는 종전의 좌표
Figure pct00069
,
Figure pct00070
와 독립적으로 선택될 수 있다. 세그먼트별 OCW 라 지칭될 수 있는 이러한 구현예에서, 새로운 좌표는 위에서 정규 OCW 방법에 대해 설명된 바와 같이 OCW를 수행하기 위해 사용된다. 종전의 좌표 세트
Figure pct00071
,
Figure pct00072
에서 하나 이상의 결정된 OCW 위치 및 색상 가중치의 수식이 필요한 경우, 색상 가중치가 결정된 후에 새로운 세트
Figure pct00073
,
Figure pct00074
로부터 이전 세트
Figure pct00075
,
Figure pct00076
로의 좌표 변환이 수행될 수 있다.Alignment mark
Figure pct00059
,
Figure pct00060
If it has a preferential direction that is not aligned with the coordinates (e.g., the dominant direction in the mark structure), it may be desirable to determine the color weight by performing an OCW using a new alternative set of coordinates, where the new coordinate direction is Coincides with one or more preferential directions of the mark. For example, in the case of a body type BF mark, the grid direction shown in FIG. 14 is a new coordinate.
Figure pct00061
,
Figure pct00062
It can be used in a preferential direction to determine. Thus, in some implementations, performing OCW is a new set of coordinates.
Figure pct00063
,
Figure pct00064
It may include determining, where
Figure pct00065
,
Figure pct00066
The direction can be aligned with the preferred direction of the mark, for example the pitch direction of the body BF mark. New coordinates
Figure pct00067
,
Figure pct00068
Is the former coordinates
Figure pct00069
,
Figure pct00070
And can be independently selected. In this implementation, which may be referred to as segment-by-segment OCW, the new coordinates are used to perform OCW as described above for the regular OCW method. Previous coordinate set
Figure pct00071
,
Figure pct00072
If a formula of one or more determined OCW positions and color weights is needed, the new set after the color weights are determined
Figure pct00073
,
Figure pct00074
From previous set
Figure pct00075
,
Figure pct00076
Coordinate transformation to can be performed.

세그먼트별 OCW를 이용하여 오버레이 데이터에 기초해 색상 가중치를 결정하는 데에 사용되는 수학적 원리는 다음과 같다: The mathematical principle used to determine color weights based on overlay data using segment-specific OCW is as follows:

좌표의 양의

Figure pct00077
방향에 대해 상대적인, 새로운 방향
Figure pct00078
Figure pct00079
의 법선의 각도를 φ1 및 φ2 라 한다. 각도 φ1 및 φ2 는 동일하지 않을 수도 있고, 서로간에 180 °의 각도를 형성하지 않을 수도 있으며, 즉
Figure pct00080
Figure pct00081
방향은 평행하지 않을 수도 있다. 각도 φ1 및 φ2 는 직교할 수도 있고, 서로 간에 다른 각도를 형성할 수도 있다.Positive of coordinates
Figure pct00077
New direction, relative to direction
Figure pct00078
And
Figure pct00079
The angle of the normal of is called φ 1 and φ 2 . The angles φ 1 and φ 2 may not be the same, and may not form an angle of 180 ° between each other, i.e.
Figure pct00080
and
Figure pct00081
The direction may not be parallel. The angles φ 1 and φ 2 may be orthogonal, or may form different angles from each other.

새로운 좌표와 종전의 좌표 간의 관계는 다음과 같이 표현될 수 있다:The relationship between the new and old coordinates can be expressed as follows:

Figure pct00082
Figure pct00082

OCW는 새로운 좌표 세트

Figure pct00083
Figure pct00084
를 사용하여 위에서 설명한 방법으로 수행되는데, 여기서
Figure pct00085
Figure pct00086
에 대한 색상 가중치는 서로 독립적으로 계산된다.OCW is a new set of coordinates
Figure pct00083
and
Figure pct00084
Is performed in the manner described above, where
Figure pct00085
and
Figure pct00086
The color weights for are calculated independently of each other.

Figure pct00087
Figure pct00087

Figure pct00088
Figure pct00088

좌표 세트

Figure pct00089
Figure pct00090
Figure pct00091
를 표현하기 위해, 새로운 좌표계로부터 종전의 좌표계로의 변환이 다음 식에 따라 수행된다:Coordinate set
Figure pct00089
in
Figure pct00090
and
Figure pct00091
In order to represent, the conversion from the new coordinate system to the old coordinate system is performed according to the following equation:

Figure pct00092
Figure pct00092

이는 다음의 방정식으로 이어진다.This leads to the following equation.

Figure pct00093
Figure pct00093

이로부터

Figure pct00094
좌표로 표현되는
Figure pct00095
는 다음과 같다.From this
Figure pct00094
Expressed in coordinates
Figure pct00095
Is as follows.

Figure pct00096
Figure pct00096

세그먼트별 OCW를 사용하면, 색상 가중치가 새로운 좌표

Figure pct00097
로 두 방향에 대해 독립적으로 결정된다. 새로운 좌표
Figure pct00098
로 표현될 때 OCW 위치
Figure pct00099
Figure pct00100
가 서로 독립적이라는 것은,
Figure pct00101
Figure pct00102
가중치 또는
Figure pct00103
위치에 의존하지 않으며,
Figure pct00104
Figure pct00105
가중치 또는
Figure pct00106
위치에 의존하지 않음을 의미한다. 결정된 OCW 위치가 종전의 좌표
Figure pct00107
로 표현될 때(여기서,
Figure pct00108
Figure pct00109
Figure pct00110
Figure pct00111
함수로 표현됨), 최적화된 위치
Figure pct00112
Figure pct00113
양자 모두는
Figure pct00114
Figure pct00115
두 방향에서 그리고 두 색상 가중치
Figure pct00116
Figure pct00117
로 색상의 가중된 조합으로 표현될 수 있다. 좌표
Figure pct00118
에 대해 가중치 합의 제약이 충족되는 경우, 좌표
Figure pct00119
로 표현된 해당 색상 가중치에 대한 제약도 충족된다: When using segment-by-segment OCW, the color weight is the new coordinate
Figure pct00097
Is determined independently for both directions. New coordinates
Figure pct00098
OCW position when expressed as
Figure pct00099
And
Figure pct00100
Is independent of each other,
Figure pct00101
end
Figure pct00102
Weight or
Figure pct00103
Does not depend on location,
Figure pct00104
end
Figure pct00105
Weight or
Figure pct00106
This means that it does not depend on location. The determined OCW position is the previous coordinate
Figure pct00107
When expressed as (here,
Figure pct00108
And
Figure pct00109
The
Figure pct00110
And
Figure pct00111
Expressed as a function), optimized position
Figure pct00112
And
Figure pct00113
Both are
Figure pct00114
Wow
Figure pct00115
Weight in two directions and two colors
Figure pct00116
and
Figure pct00117
It can be expressed as a weighted combination of colors. location
Figure pct00118
If the weighted sum constraint is satisfied, the coordinates
Figure pct00119
Constraints for the corresponding color weights expressed as:

Figure pct00120
Figure pct00120

각도 φ1 = - 45 ° 및 φ2 = 45 °를 갖는 우선되는 방향을 가진 체형 BF 마크에 대하여 이러한 세그먼트별 OCW의 일례가 아래와 같이 제공된다. 종전의 좌표는,

Figure pct00121
방향이 0 °이고
Figure pct00122
방향이 90 ° 인 것으로 기술될 수 있다. 이러한 특정 예의 경우, 위에서 설명한 세그먼트별 OCW 알고리즘에 따르면, 좌표
Figure pct00123
Figure pct00124
로 표현되는 색상 가중치 행렬이 다음과 같이 표현될 수 있다:An example of such segmental OCW is provided below for a body-shaped BF mark with a preferred direction with angles φ 1 = -45 ° and φ 2 = 45 °. The previous coordinates are
Figure pct00121
Direction is 0 °
Figure pct00122
It can be described that the direction is 90 °. For this specific example, according to the segment-specific OCW algorithm described above, coordinates
Figure pct00123
Wow
Figure pct00124
The color weight matrix represented by can be expressed as:

Figure pct00125
Figure pct00125

φ1 = - 45 ° 및 φ2 = 45 °의 변환된 좌표 각도에 기초하여 새로운 좌표에 대해 결정된 이러한 색상 가중치 행렬로부터,

Figure pct00126
Figure pct00127
로 표현되는 OCW 위치는 다음과 같이 표현될 수 있다:From these color weight matrices determined for the new coordinates based on the transformed coordinate angles of φ 1 = -45 ° and φ 2 = 45 °,
Figure pct00126
Wow
Figure pct00127
The OCW position represented by can be expressed as:

Figure pct00128
Figure pct00128

Figure pct00129
Figure pct00129

확장된 OCWExtended OCW

Figure pct00130
좌표에 기초하는 정규 OCW의 예에서,
Figure pct00131
Figure pct00132
방향에 대한 색상 가중치
Figure pct00133
Figure pct00134
는 서로 독립적으로 결정된다. 세그먼트별 OCW에서는, 색상 가중치
Figure pct00135
Figure pct00136
Figure pct00137
좌표를 사용하여 서로 독립적으로 결정되지만, OCW 위치를 종전의 좌표
Figure pct00138
로 표현할 때,
Figure pct00139
Figure pct00140
는 나머지 방향과 관련되는 가중치
Figure pct00141
Figure pct00142
그리고 색상
Figure pct00143
Figure pct00144
에 무관하지 않다. 두 방법 모두, 독립적으로 두 방향으로 색상 가중치를 결정함으로써 최적의 색상 가중치를 결정할 때 2의 자유도를 제공한다.
Figure pct00130
In the example of a regular OCW based on coordinates,
Figure pct00131
Wow
Figure pct00132
Color weight for orientation
Figure pct00133
And
Figure pct00134
Are determined independently of each other. In segment-specific OCW, color weights
Figure pct00135
And
Figure pct00136
this
Figure pct00137
Determined independently of each other using coordinates, but the previous coordinates of the OCW position
Figure pct00138
When expressed as
Figure pct00139
And
Figure pct00140
Is the weight relative to the rest of the direction
Figure pct00141
And
Figure pct00142
And color
Figure pct00143
And
Figure pct00144
It has nothing to do with it. Both methods provide two degrees of freedom when determining optimal color weights by independently determining color weights in both directions.

OCW의 일부 구현예에서, OCW 위치를 결정하는 데에 사용되는 자유도는 매 색상마다 2보다 크게 되도록 추가로 증가된다. 이것은 OCW 위치를 결정하기 위해 색상 가중치에 추가적인 계수를 추가함으로써 달성될 수 있다. 구체적으로, 자유도의 증가는 주된 대각선 상에 있지 않은 색상 가중치 행렬의 하나 이상의 위치에 별도의 색상 가중치 엘리먼트를 추가함으로써 결정될 수 있다. 결과적인 색상 가중치 행렬은 서로 독립적인 셋 이상의 별개 색상 가중치를 포함한다. 하나의 색상 가중치의 값이 나머지 별개의 색상 가중치 중 임의의 하나 이상의 값에 의존하지 않기 때문에 색상 가중치는 독립적이다.In some implementations of OCW, the degree of freedom used to determine the OCW position is further increased to be greater than 2 for every color. This can be achieved by adding additional coefficients to the color weights to determine the OCW location. Specifically, the increase in degrees of freedom can be determined by adding separate color weight elements to one or more locations of the color weight matrix that are not on the main diagonal. The resulting color weight matrix contains three or more distinct color weights independent of each other. The color weights are independent because the value of one color weight does not depend on any one or more of the other distinct color weights.

이러한 접근 방식은 세그먼트별 OCW와 다른데, 이는 주된 대각선 이외의 위치에 0이 아닌 색상 가중치 행렬 엘리먼트를 가질 수도 있지만, 각각의 색상 가중치 행렬 엘리먼트는 단지 두 개의 별개의 독립된 색상 가중치

Figure pct00145
Figure pct00146
의 함수로서 상호 연결된다.This approach differs from segment-by-segment OCW, which may have non-zero color weight matrix elements at locations other than the main diagonal, but each color weight matrix element only has two separate independent color weights.
Figure pct00145
And
Figure pct00146
It is interconnected as a function of

자유도가 3 이상인 OCW의 구현예는 확장된 OCW이며, 여기서는 OCW를 결정하기 위해 각각의 색상 가중치 행렬에 두 개의 독립적인 추가 색상 가중치가 추가된다.An implementation of OCW with 3 or more degrees of freedom is an extended OCW, in which two independent additional color weights are added to each color weight matrix to determine the OCW.

Figure pct00147
Figure pct00147

확장된 OCW에서는, 위의 색상 가중치 행렬이 사용되어

Figure pct00148
Figure pct00149
가 결정된다. 네 가지 별개의 색상 가중치
Figure pct00150
가 모두 서로 독립적으로 결정될 수 있다. 위의 행렬은 확장된 OCW에서 OCW 위치
Figure pct00151
,
Figure pct00152
를 계산하는 데에 사용된다:In the extended OCW, the above color weight matrix is used
Figure pct00148
and
Figure pct00149
Is determined. Four distinct color weights
Figure pct00150
Can all be determined independently of each other. The above matrix is the OCW position in the extended OCW.
Figure pct00151
,
Figure pct00152
Used to calculate:

Figure pct00153
Figure pct00153

확장된 OCW에서는, 가중치 합의 제약이 또한 적용될 수 있는데, 즉 여기서 행렬 형태로 표현된 다음의 방정식 세트가 색상 가중치에 의해 충족되어야 할 수도 있다.In the extended OCW, a weighted sum constraint may also be applied, ie the following set of equations expressed in matrix form may have to be satisfied by color weights.

Figure pct00154
Figure pct00154

비-행렬 형태로는, 확장된 OCW 방정식이 다음과 같이 표현될 수 있다:In non-matrix form, the extended OCW equation can be expressed as:

Figure pct00155
Figure pct00155

Figure pct00156
Figure pct00156

Figure pct00157
Figure pct00157

마크가 동일한 프로세스 층의 일부로서 형성된, 복수의 방향에 걸친 하나의, 둘 이상의, 또는 모든 피처를 포함하는 경우, 그러한 프로세스 층에서 발생하는 변형은 이러한 다수의 방향 중 일부 또는 모든 방향으로 피처에 영향을 미칠 수 있다. 예를 들어, 마크는

Figure pct00158
Figure pct00159
방향으로 또는
Figure pct00160
Figure pct00161
방향으로 피처를 가질 수 있으며, 이는 대응하는 및/또는 상관되는 변형에 의해 영향을 받은 것이다. 이러한 경우, 최적화된 색상 가중치 위치가 양 방향의 색상 위치에 의존하도록 하면 보다 정확한 결과를 얻을 수 있으므로, 확장된 OCW에 의해 최적화가 증대 및 개선되고 오버레이가 향상될 수 있다.If a mark comprises one, two or more, or all of the features across multiple directions, formed as part of the same process layer, deformations occurring in those process layers affect the features in some or all of these multiple directions Can be crazy. For example, Mark
Figure pct00158
Wow
Figure pct00159
In the direction or
Figure pct00160
and
Figure pct00161
It can have features in the direction, which is affected by the corresponding and / or correlated deformation. In this case, if the optimized color weight position is dependent on the color position in both directions, more accurate results can be obtained, and thus the optimization may be enhanced and improved by the extended OCW and the overlay may be improved.

선형 가중화가 측정 파라미터(정렬 데이터)에 적용되는 상기 방법은 측정 파라미터의 맵핑으로 일반화될 수 있다. 전술한 바와 같이, 이러한 맵핑은 전형적으로 측정 파라미터의 선형 가중된 합이다. 그러나, 본 발명은 선형 가중된 합으로 제한되지 않고, 머신 러닝 알고리즘에서 이용되는 것과 같은 트레이닝된 맵핑이 이용될 수도 있다.The above method in which linear weighting is applied to measurement parameters (sort data) can be generalized to the mapping of measurement parameters. As mentioned above, this mapping is typically a linear weighted sum of measurement parameters. However, the present invention is not limited to a linear weighted sum, and a trained mapping as used in machine learning algorithms may be used.

최적 색상 가중화에 대한 상기 방법은 관심 있는 동작 파라미터로서 색상의 사용에 제한되지 않으며, 상이한 편광 모드가 예를 들어 (마크 위치를 측정하는) 정렬 센서 시스템에 의해 측정되는 것과 같은 상이한 측정 파라미터를 도출하기 위해 이용될 수도 있다. 또한, 코히어런스 정도가 동작 파라미터로 고려될 수도 있다(코히어런스 정도가 조절 가능한 경우, 예를 들어 레이저 특성을 조정함으로써 시간적 및/또는 공간적 코히어런스가 조절될 수 있다). 또한, 상이한 측정 파라미터가 고려될 수 있는데, 예를 들어 동작 파라미터가 색상이고 센서 시스템이 레벨 센서인 경우, 측정 파라미터는 레벨 센서 측정의 대상이 되는 기판과 연관된 초점 값일 수 있다. 레벨 센서 측정과 연관된 품질 파라미터는 기판의 노광 동안 발생한 초점 오차이다.The above method for optimal color weighting is not limited to the use of color as an operating parameter of interest, and different polarization modes derive different measurement parameters, for example as measured by an alignment sensor system (which measures the mark position). It can also be used to In addition, the degree of coherence may be considered as an operating parameter (if the degree of coherence is adjustable, temporal and / or spatial coherence can be adjusted, for example, by adjusting the laser characteristics). In addition, different measurement parameters may be considered, for example, if the operating parameter is color and the sensor system is a level sensor, the measurement parameter may be a focus value associated with the substrate to which the level sensor is measured. The quality parameter associated with level sensor measurement is the focus error that occurred during exposure of the substrate.

도 6a는 웨이퍼 정렬, 노광 및 오버레이 측정 프로세스를 개략적으로 나타내는 흐름도이다. 도시된 바와 같이, 단계(601)에서 웨이퍼 정렬 스캔이 다수의 상이한 색상(센서 시스템의 동작 파라미터)을 사용하여 수행된다. 단계(602)에서는, 웨이퍼를 정렬하기 위한 웨이퍼 마커 위치를 결정하기 위해 상이한 컬러 측정이 어떻게 적용되어야 하는지를 결정하기 위해 색상 레시피가 사용된다. 단계(603)에서 웨이퍼(또는 층)는 이전의 단계로부터 결정된 마커 위치를 사용하여 장치에 의해 정렬된다. 단계(604)에서는, 이전 스테이지에서 (즉, 웨이퍼의 하부 층이 프로세싱된 후) 웨이퍼에 대해 이루어진 측정으로부터 제공되는 데이터에 기초하여 웨이퍼 위치설정에 대한 조정이 이루어진다. 단계(605)에서 웨이퍼는 (도 1을 참조하여 전술한 바와 같이) 프로세싱 스테이지에 노광된다. 단계(606)에서는 오버레이 측정이 수행되고 오버레이 데이터는 트레이닝 데이터 프로세서(APC)에 제공된다. 단계(607)에서는 APC가 오버레이 데이터를 평가하여 예상 위치로부터의 임의의 편차를 결정하게 되고, 이를 사용하여 다음의 웨이퍼/층의 정렬에 대한 정정을 제공한다.6A is a flow diagram schematically illustrating a wafer alignment, exposure and overlay measurement process. As shown, the wafer alignment scan in step 601 is performed using a number of different colors (operation parameters of the sensor system). In step 602, a color recipe is used to determine how different color measurements should be applied to determine the wafer marker position for aligning the wafer. In step 603 the wafer (or layer) is aligned by the device using the marker position determined from the previous step. In step 604, adjustments to wafer positioning are made based on data provided from measurements made on the wafer in the previous stage (ie, after the lower layer of the wafer has been processed). In step 605 the wafer is exposed to a processing stage (as described above with reference to FIG. 1). In step 606 overlay measurements are performed and the overlay data is provided to a training data processor (APC). In step 607, the APC evaluates the overlay data to determine any deviation from the expected location, which is used to provide correction for the next wafer / layer alignment.

도 6b는 또 다른 웨이퍼 정렬, 노광 및 오버레이 측정 프로세스를 개략적으로 나타내는 흐름도이다. 도 6a에 대해 앞서 기술한 동일한 단계는 도 6b에서 동일한 참조 번호를 갖는다. 한 가지 차이점은, 도 6a의 단계(602)와 동일한 장소에서 일어나는 단계(602')에서, 매번 동일한 색상 레시피를 적용하는 대신, 웨이퍼를 정렬하기 위한 마커 위치를 결정하기 위해 최적의 색상 가중치가 사용된다는 점이다. 또 다른 차이점은 단계(607')에서, 오버레이로부터 결정된 정렬 정정을 단순히 결정하는 대신에, 더 많은 데이터가 트레이닝 데이터로서 사용된다는 점이다. 이러한 데이터는 단계(601)에서 획득된 각각의 색상에 대한 정렬 측정 데이터(608) 및 이전의 웨이퍼 측정(단계 606)으로부터의 오버레이 데이터를 포함한다. 스택 데이터(611) 등의 임의의 다른 관련 데이터가 또한 트레이닝 데이터를 위해 사용될 수 있다. 그 다음에 트레이닝 데이터는, 단계(604)에서 웨이퍼 위치설정 정렬 정정을 제공할 뿐만 아니라, 단계(602')에서 사용된 최적의 색상 가중치를 업데이트(609)하고, 단계(603)에서 사용된 기판 그리드 모델을 업데이트(610)하기 위해 사용된다.6B is a flow diagram schematically showing another wafer alignment, exposure and overlay measurement process. The same steps described above for FIG. 6A have the same reference numbers in FIG. 6B. One difference is that in step 602 ', which takes place in the same place as step 602 in Figure 6a, instead of applying the same color recipe each time, the optimal color weight is used to determine the marker position for aligning the wafer. Is that Another difference is that, in step 607 ', more data is used as training data, instead of simply determining the alignment correction determined from the overlay. This data includes alignment measurement data 608 for each color obtained in step 601 and overlay data from a previous wafer measurement (step 606). Any other relevant data, such as stack data 611, can also be used for training data. The training data then provides the wafer positioning alignment correction in step 604, as well as updating (609) the optimal color weights used in step 602 ', and the substrate used in step 603. Used to update 610 the grid model.

도 6b로부터 알 수 있는 바와 같이, 시스템이 사용됨에 따라 학습을 하면서, OCW 측정 및 정렬 절차에 대한 가중치를 지속적으로 업데이트하게 된다. 따라서, 전술한 방법의 주요 이점은 채용된 센서 시스템의 동작 파라미터에 있어서 임의의 국소적인 장치 특유의 변동이 고려되어 정정될 수 있다는 점이다. 센서 시스템과 장치가 더 많이 사용될수록 정렬이 더 양호해질 것이다.As can be seen from FIG. 6B, while learning as the system is used, the weights for OCW measurement and alignment procedures are continuously updated. Thus, the main advantage of the above-described method is that any local device-specific variation in the operating parameters of the employed sensor system can be taken into account and corrected. The more sensor systems and devices used, the better the alignment.

본 명세서에서 기술된 최적 색상 가중화(OCW) 기법은, 측정된 정렬 위치가 마크 변형에 최소로 감응하도록, 동시에 측정되는 모든 파장으로부터의 정렬 정보를 조합하고 색상의 선형 조합에 사용될 최적의 설정 가중치를 계산한다. 그러나, 마커가 에칭되는 스택 또는 마크를 덮는 스택의 특성은 시간에 따라 변화할 수 있다. 이러한 변화가 스택(들)의 광학적 특성(예를 들어, 굴절률)에 영향을 미칠 때, 다양한 동작 파라미터(색상, 편광 상태)에 대한 마크의 응답 또한 그에 따라 변화할 수 있다. 이러한 스택 특성의 변화가 의미하는 것은, 동작 파라미터의 선형 조합에 사용될 특정한 최적의 가중치 세트가 더 이상 최적이 아닐 수도 있다는 점이다. The optimal color weighting (OCW) technique described herein combines alignment information from all wavelengths measured simultaneously and optimizes the set weight to be used for linear combinations of colors, such that the measured alignment position is minimally sensitive to mark deformation. To calculate. However, the properties of the stack over which the marker is etched or the stack covering the mark may change over time. When this change affects the optical properties (eg, refractive index) of the stack (s), the response of the mark to various operating parameters (color, polarization state) can also change accordingly. What this change in stack properties mean is that a particular set of optimal weights to be used for a linear combination of operating parameters may no longer be optimal.

부가적으로 마크 변형이 시간에 따라 변화할 수 있는데, 이는 예를 들어 프로세싱 장비(CMP 툴 및 증착 장비 등)의 특성의 변화로 인한 것이다. 마크 변형은 예를 들어, 기판으로 에칭될 때 마크의 측벽각 변화 및/또는 바닥 틸트 유사 변형으로부터 상단 틸트 변형으로의 변화일 수 있다. 마크 변형 특성에 있어서 변화의 결과는, 색상의 선형 조합과 연관된 이전에 결정된 최적의 가중치 세트가 더 이상 최적이 아니라는 점(예를 들어, 기판의 최적이 아닌 정렬을 야기하여 오버레이 품질이 문제가 될 수 있음)일 수 있다. Additionally, the mark deformation can change over time, for example due to a change in the properties of processing equipment (such as CMP tools and deposition equipment). The mark deformation can be, for example, a change in the sidewall angle of the mark and / or a change from the bottom tilt-like deformation to the top tilt deformation when etched into the substrate. The result of the change in mark deformation characteristics is that the previously determined optimal set of weights associated with a linear combination of colors is no longer optimal (eg, causing an over-optimal alignment of the substrate, which can cause overlay quality to be a problem). Yes).

본 명세서에서는, 기판들 사이에 최소량의 오버레이 변동을 제공하는 최적의 가중치 세트를 주기적으로 결정하는 것이 제안된다. 결정된 가중치 세트에 기초하는 품질 파라미터의 계산된 기판-대-기판 변동이, 이러한 품질 파라미터의 이전에 관측된 웨이퍼-대-웨이퍼 변동에서 크게 벗어나는 경우, 반도체 제조 프로세스 내에서 하나 이상의 프로세스의 변화가 일어났을 가능성이 있다. 대안적으로 말하면: 품질 파라미터의 새로 관측된 기판-대-기판 변동에 기초하여 결정되는 새로운 가중치 세트가 이전에 결정된 가중치 세트에서 크게 벗어나는 경우, 반도체 제조 프로세스 내에서 하나 이상의 프로세스의 변화가 일어났을 가능성이 있다.In this specification, it is proposed to periodically determine the optimal set of weights that provide the least amount of overlay variation between substrates. If the calculated substrate-to-substrate variation of the quality parameter based on the determined set of weights deviates significantly from the previously observed wafer-to-wafer variation of these quality parameters, a change in one or more processes occurs within the semiconductor manufacturing process. It is possible. Alternately: if the new set of weights determined based on the newly observed substrate-to-substrate variance of the quality parameter deviates significantly from the previously determined set of weights, the likelihood that one or more process changes have occurred within the semiconductor manufacturing process. There is this.

일 실시예에서, 반도체 제조 프로세스의 상태는, a) 동작 파라미터의 최적화된 값(예를 들어, 정렬의 색상과 연관된 새로운 가중치 세트)을 결정하고, b) 결정된 동작 파라미터를 기준 동작 파라미터(예를 들어, 정렬의 색상과 연관된 이전에 결정된 가중치 세트)와 비교하며, c) 이러한 비교에 기초하여 상태를 결정함으로써 결정된다.In one embodiment, the state of the semiconductor manufacturing process comprises: a) determining an optimized value of the operating parameter (eg, a new set of weights associated with the color of the alignment), and b) determining the determined operating parameter as a reference operating parameter (eg For example, it is determined by comparing the previously determined set of weights associated with the color of the alignment), and c) determining the state based on this comparison.

정렬 센서의 색상과 연관된 이전에 결정된 가중치 세트의 경우, 기준 동작 파라미터는 벡터로 표현될 수 있다. 예를 들어 적색에 대한 최적의 가중치가 +1이고 녹색에 대한 최적의 가중치는 -1 인 경우 기준 동작 파라미터는 벡터 <1, -1>로 표현될 수 있다. 이러한 벡터는 그 직교 여벡터(orthogonal complement)<1,1>에 평행한 성분이 없다. 예를 들어, 성분 벡터 <1, -1>은 (에칭된) 정렬 마크의 상단 틸트 변형과 연관되고 성분 벡터 <1,1>은 (에칭된) 마크의 측벽각 변형과 연관된다. 프로세스 변화의 경우, 적색에 대해 새로운 최적의 가중치 세트는 1.2, 녹색에 대해 0.6이 될 수 있다. 동작 파라미터의 새로운 최적화된 값은 이제 벡터 1.2 * <1, -1> + 0.6 * <1,1>로 표현될 수 있다. 확실히 벡터 <1,1>은 더욱 관련도가 높아지는데, 이는 에칭된 정렬 마크가 (또한) 측벽각 프로파일에 따라 변형되었다는 것을 나타낸다. 최적의 동작 파라미터의 벡터 표현을 모니터링함으로써 반도체 제조 프로세스가 모니터링될 수 있다. In the case of a previously determined set of weights associated with the color of the alignment sensor, the reference motion parameter may be represented by a vector. For example, when the optimal weight for red is +1 and the optimal weight for green is -1, the reference operation parameter may be represented by vectors <1, -1>. This vector has no component parallel to its orthogonal complement <1,1>. For example, component vectors <1, -1> are associated with the top tilt deformation of the (etched) alignment mark and component vectors <1,1> are associated with the sidewall angle deformation of the (etched) mark. In the case of a process change, the new optimal weight set for red may be 1.2 and 0.6 for green. The new optimized value of the operating parameter can now be expressed as the vector 1.2 * <1, -1> + 0.6 * <1,1>. Certainly the vectors <1,1> are more relevant, indicating that the etched alignment marks (also) were deformed according to the sidewall angle profile. The semiconductor manufacturing process can be monitored by monitoring the vector representation of optimal operating parameters.

일 실시예에서, 최적의 가중치 세트는 초기에, 품질 파라미터(기판-대-기판) 변동 및 동작 파라미터의 변동에 대한 감도에 기초하여 결정된다. 후속적으로 측정된 기판은, 측정 데이터의 기판-대-기판 변동 내에 존재하는 동작 파라미터들의 비율을 나타내는 직교(또는 직교 정규) 벡터 세트에 의해 추가로 특징지어질 수 있다. 예를 들어, 적색과 연관된 정렬 데이터가 웨이퍼 의존적 변동 f(w_i)(웨이퍼 "w_i"의 함수)을 보이고 녹색과 연관된 정렬 데이터가 -f(w_i)을 보이는 경우, 벡터 표현 < 1, -1>이 측정 데이터에 존재한다고 할 수 있다. 프로세스 변화가 발생하는 경우, 정렬 데이터의 변동이 변화할 수 있다; 예를 들어, 적색은 웨이퍼 의존적 변동 3 * g(w_i)를 보이는 반면, 녹색은 웨이퍼 의존적 변동 g(w_i)을 보일 수 있으며, 그 벡터 표현은 <3,1>이다. 벡터 <3,1>은 <1, -1> 상에 1 * <1, -1,>로 투영되고 <1,1> 상에 2 * <1,1,>로 투영되는 것으로 표현될 수 있다(<1, 1>은 <1, -1>의 직교 여벡터). 따라서 프로세스 변화로 인해, 이전에는 없었던 측정 데이터의 변동에 성분 <1,1>이 도입되었다. 최적의 가중치 세트는 이제, 해당 측정 데이터 세트에서 관측된 가장 강한 성분(최대 진폭을 갖는 벡터)을 억제하도록 최적화될 수 있다. 새로 측정된 동작 파라미터를, 최적의 가중치 세트의 원래의 교정 순간에 대응하는 직교 기저 상으로 주기적으로 투영할 것이 제안된다. 벡터에 걸쳐 진폭 분포가 변화되면 프로세스 변화가 생겼을 가능성이 있다.In one embodiment, the optimal set of weights is initially determined based on sensitivity to variations in quality parameters (substrate-to-substrate) and variations in operating parameters. Subsequently measured substrates can be further characterized by a set of orthogonal (or orthogonal normal) vectors representing the ratio of operating parameters present within the substrate-to-substrate variation of the measurement data. For example, if the alignment data associated with red shows wafer dependent variation f (w_i) (a function of wafer "w_i") and the alignment data associated with green shows -f (w_i), the vector representation <1, -1> It can be said that it exists in this measurement data. When a process change occurs, variations in the alignment data can change; For example, red can show wafer-dependent variation 3 * g (w_i), while green can show wafer-dependent variation g (w_i), whose vector representation is <3,1>. The vector <3,1> can be represented as projecting 1 * <1, -1,> on <1, -1> and 2 * <1,1,> on <1,1>. (<1, 1> is an orthogonal vector of <1, -1>). Therefore, due to process changes, components <1,1> were introduced in the fluctuation of measurement data that had not existed before. The optimal set of weights can now be optimized to suppress the strongest component (vector with maximum amplitude) observed in the corresponding set of measurement data. It is proposed to periodically project the newly measured operating parameters onto an orthogonal basis corresponding to the original calibration moment of the optimal weight set. If the amplitude distribution changes across the vector, it is possible that a process change has occurred.

일 실시예에서, 반도체 제조 프로세스의 상태는 다음에 의해 모니터링된다: a) 본 발명의 일 실시예에 의해 결정된 바와 같이 동작 파라미터의 최적화된 값을 획득하는 단계(동작 파라미터의 최적화된 값은 기저(basis)로서 개별적인 동작 파라미터들을 갖는 제1 벡터로 표현됨); b) 측정 데이터의 기판-대-기판 변동의 동작 파라미터들에 걸친 변동을 획득하는 단계; c) 측정 데이터의 예상되는 최소 기판-대-기판 변동과 연관된 동작 파라미터의 새로운 값을 결정하는 단계(동작 파라미터의 새로운 값은 기저로서 개별적인 동작 파라미터들을 갖는 제2 벡터로 표현됨); 및 제1 벡터와 제2 벡터의 비교에 기초하여 반도체 제조 프로세스의 상태를 결정하는 단계.In one embodiment, the state of the semiconductor manufacturing process is monitored by: a) obtaining an optimized value of the operating parameter as determined by an embodiment of the invention (the optimized value of the operating parameter is based on basis) as a first vector with individual operating parameters); b) obtaining variation across operating parameters of substrate-to-substrate variation of the measurement data; c) determining a new value of the operating parameter associated with the expected minimum substrate-to-substrate variation of the measurement data (the new value of the operating parameter is expressed as a second vector with individual operating parameters as a basis); And determining a state of the semiconductor manufacturing process based on the comparison of the first vector and the second vector.

일 실시예에서, 다음 단계들이 수행된다: a) 복수의 기판 및 복수의 동작 파라미터에 대한 측정 데이터가 획득되고; b) 측정 데이터 내에 존재하는 동작 파라미터의 선형 조합을 표현하는 벡터 세트가 결정되며, c) 선택적으로: 동작 파라미터에 대해 이전에 결정된 최적의 가중치 세트가 이용 가능하다면, 이전에 결정된 최적의 가중치 세트에 의해 규정된 공간으로의 벡터 세트의 투영이 벡터 세트로부터 차감되고, d) SVD(특이 값 분해)가 벡터 세트에 적용되고, e) 이전의 단계에서 획득된 특이 값이 분석되고 ((거의) 0인 특이 값과 연관되는 벡터는 마크 변형에 대한 정보를 포함하지 않는 동작 파라미터의 조합을 표현하므로 특히 중요함). f) 거의 0인 특이 값과 연관되는 벡터에 기초하여 소위 "제로 커널"이 계산된다(제로 커널은 기본적으로 초기 마크 변형 및/또는 초기 스택 (광학적) 특성의 영향을 받지 않는 동작 파라미터의 조합을 표현하는 선형 벡터 공간임). In one embodiment, the following steps are performed: a) measurement data for a plurality of substrates and a plurality of operating parameters are obtained; b) a vector set representing a linear combination of motion parameters present in the measurement data is determined, and c) optionally: if a previously determined optimal weight set for the motion parameter is available, the previously determined optimal weight set is The projection of the vector set into the space defined by is subtracted from the vector set, d) the SVD (specific value decomposition) is applied to the vector set, e) the singular values obtained in the previous step are analyzed and ((almost) 0 The vector associated with the phosphorus singular value is particularly important because it represents a combination of motion parameters that do not contain information about mark deformation). f) A so-called “zero kernel” is calculated based on a vector associated with a singular value that is almost zero (the zero kernel is essentially a combination of motion parameters that are not affected by the initial mark deformation and / or initial stack (optical) characteristics. Expressing linear vector space).

일 실시예에서, 특이 값에 순위가 매겨지고 임계치를 초과하는 모든 특이 값이 필터링된다. 제로 커널은 필터링되지 않은 특이 값과 연관되는 벡터를 기반으로 결정된다.In one embodiment, singular values are ranked and all singular values exceeding the threshold are filtered. The zero kernel is determined based on vectors associated with unfiltered singular values.

프로세싱 상태의 변화는, 결정된 제로 커널 상의 (하나 이상의 기판과 연관된) 새로 결정된 동작 파라미터 데이터의 투영에 의해 포착될 수 있다. 마크 변형의 특성 및/또는 스택 특성이 변화하는 경우, 새로운 동작 파라미터 데이터의 제로 커널로의 투영은 변화하게 되므로, 제로 커널은 프로세싱 상태의 변화를 모니터링 및/또는 결정하는 방법에 사용될 수 있다. The change in processing state can be captured by projection of newly determined operating parameter data (associated with one or more substrates) on the determined zero kernel. When the characteristics of the mark deformation and / or the stack characteristics change, the projection of the new operating parameter data to the zero kernel changes, so the zero kernel can be used in a method of monitoring and / or determining a change in processing state.

일 실시예에서, 측정 데이터 및/또는 성능 데이터의 변동을 표현하는 초기 벡터 세트가 복수의 동작 파라미터에 대해 결정된다. 벡터는 측정 및/또는 품질 파라미터의 감소된 기판-대-기판 변동과 연관되는 동작 파라미터의 선형 조합을 표현한다. 벡터 세트의 결정 절차는 복수의 상이한 마크 변형 및/또는 스택 특성에 대해 반복된다. 이와 같이, 전체 벡터 세트는 마크 변형 및/또는 스택 특성의 표준 세트에 대해 최적으로 선정된 동작 파라미터(조합)를 기술한다. 새로운 기판 및 다수의 동작 파라미터에 대해 주기적으로 새로운 측정 데이터가 획득된다. 새로 획득한 측정 데이터는 새로운 최적의 동작 파라미터와 연관된 새로운 벡터 표현을 획득하기 위해 사용된다. 새로 획득한 벡터 표현은 초기 벡터 세트에 투영되고, 벡터 세트 중 각각의 벡터로의 투영과 연관되는 상대적 가중치가 계산된다. 그 다음으로 상대적 가중치에 순위가 매겨지고 임계치 미만의 상대적 가중치는 0으로 간주된다(예컨대, 특정 관련도 미만의 성분이 필터링됨). 일 실시예에서, 최적의 동작 파라미터가 모니터링되고 그 벡터 표현은 초기 벡터 세트에 속하는 벡터들로 분해된다. 성분들의 순위결정 및 임계 값의 적용이 그 다음으로 수행된다. 0이 아닌 성분의 상대적인 강도는 반도체 제조 프로세스의 KPI로 여겨질 수 있는데, 이러한 성분들(벡터들)로부터 에칭된 마크가 어떻게 영향을 받는지(예를 들어, 상단 틸트, 측벽각 변화 등), 그 결과 어떤 프로세스 단계가 변화되었는지를 추정할 수 있기 때문이다. 예를 들어, 벡터 <1, -1>의 관련도가 크게 변화한다면 정렬 마크의 상단 틸트 특성이 변화했음을 뜻할 수 있으며, 이는 전형적으로 CMP 프로세스 단계의 드리프트와 연관된다. In one embodiment, an initial vector set representing variations in measurement data and / or performance data is determined for a plurality of operational parameters. The vector represents a linear combination of operating parameters associated with reduced substrate-to-substrate variation of measurement and / or quality parameters. The determination procedure of the vector set is repeated for a plurality of different mark variations and / or stack characteristics. As such, the entire vector set describes the optimally selected operating parameter (combination) for a standard set of mark variations and / or stack characteristics. New measurement data are obtained periodically for a new substrate and a number of operating parameters. The newly acquired measurement data is used to obtain a new vector representation associated with new optimal operating parameters. The newly obtained vector representation is projected onto the initial vector set, and the relative weight associated with projection to each vector of the vector set is calculated. Relative weights are then ranked and relative weights below the threshold are considered zero (eg, components below a certain relevance are filtered out). In one embodiment, optimal operating parameters are monitored and the vector representation is decomposed into vectors belonging to the initial vector set. Ranking of components and application of threshold values is then performed. The relative strength of non-zero components can be thought of as the KPI of the semiconductor manufacturing process, how the marks etched from these components (vectors) are affected (e.g. top tilt, sidewall angle change, etc.), As a result, it is possible to estimate which process step has changed. For example, if the relevance of the vector <1, -1> changes significantly, it may mean that the top tilt characteristic of the alignment mark has changed, which is typically associated with drift in the CMP process step.

상기 원리를 구현하는 하나의 응용예는 소위 마크-투-디바이스 오프셋(MTD)을 정정하는 데 있다. 이것은, 정렬 마크의 공칭에 대한 시프트가 주변의 제품 피처와는 다른 경우의 효과이다. 이러한 효과는 정렬 마크보다 상당히 작은 피치(즉, 피처 폭 또는 피처 사이의 간격)를 갖는 제품 피처의 존재로 인해 유발되며, 따라서 노광용 광은 투영 렌즈의 상이한 부분을 통과한다. 예를 들어 렌즈 가열로 인한 렌즈 수차의 경우, 이는 피치 의존적 시프트를 초래한다. 이러한 효과는 특정 스캐너 상의 조명 설정 및 제품 피처의 이력에 따라 달라지므로 웨이퍼마다 또는 로트별로 안정적이지 않고, 따라서 APC 시스템에 의해 완전히 수정할 수는 없다.One application for implementing the above principle is to correct the so-called mark-to-device offset (MTD). This is an effect when the shift of the alignment mark to the nominal is different from the surrounding product features. This effect is caused by the presence of product features having a pitch that is significantly smaller than the alignment mark (i.e., feature width or spacing between features), so the light for exposure passes through different parts of the projection lens. In the case of lens aberrations, for example due to lens heating, this results in a pitch-dependent shift. This effect is not stable per wafer or lot since it depends on the lighting settings on a particular scanner and the history of product features, and therefore cannot be completely corrected by the APC system.

이러한 문제에 대해 제안된 솔루션에는 마크 설계 및 컴퓨테이션 MTD(c-MTD)가 포함된다. 마크 설계는 설계 규칙, 검출가능성 및 수차 감도에 의해 제한되는 반면, cMTD는 프로세싱 영향을 고려하지 않는다.Proposed solutions to this problem include mark design and computational MTD (c-MTD). Mark design is limited by design rules, detectability and aberration sensitivity, while cMTD does not take into account processing impact.

또 다른 방법은 서브-세그먼트화된 마크의 사용을 수반한다. 여기서, 더 미세한 피치(제품 피처의 피치와 유사한)를 갖는 추가적인 마크가 기판 상에 포함된다. 소위 서브-세그먼트화된 마크는 개략적인 피치 마크(정렬에 사용됨)와 미세한 피치 마크(제품 설계 규칙을 준수하기 위함)로 구성된다. 미세한 피치 마크를 조명하기 위한 노광용 광은 제품 피처를 위한 노광용 광과 동일한 투영 렌즈 부분을 통과한다. 렌즈 수차에 의해 유발되는 피치 의존적 시프트 또는 MTD는 리소-유발 마크 비대칭을 초래한다. 이러한 마크 비대칭은 정렬 센서의 상이한 색상들에 대해 정렬 위치의 차이를 초래한다.Another method involves the use of sub-segmented marks. Here, additional marks with a finer pitch (similar to the pitch of the product feature) are included on the substrate. So-called sub-segmented marks consist of a coarse pitch mark (used for alignment) and a fine pitch mark (to comply with product design rules). The light for exposure to illuminate the fine pitch mark passes through the same projection lens portion as the light for exposure for product features. The pitch dependent shift or MTD caused by lens aberration results in lyso-induced mark asymmetry. This mark asymmetry results in a difference in alignment position for different colors of the alignment sensor.

OCW 원리가 서브-세그먼트화된 마크에 적용되어 서브-세그먼트화된 마크에 대해 각기 다른 색상(동작 파라미터)에 대한 가중치를 결정할 수 있지만, 이러한 경우 각기 다른 색상에 대해 렌즈 수차의 효과에 대한 허용도를 설정할 수 있다. 색상 가중치를 결정하는 데 사용되는 트레이닝 데이터는 제품 오버레이 데이터로부터 취해진다. The OCW principle can be applied to sub-segmented marks to determine weights for different colors (operation parameters) for sub-segmented marks, but in this case the tolerance for the effect of lens aberration for different colors Can be set. The training data used to determine the color weight is taken from the product overlay data.

일반적으로 OCW는 프로세스 유발 마크 비대칭의 영향을 최소화하기 위해 적용되는 것이며, 특히 프로세싱 이슈가 예상되는 층(주로 백엔드 광학 리소그래피- BEOL)에 적합하다는 점에 주목해야 한다. 그러나 MTD는 주로 극단적인 조명 설정이 사용되는 프론트엔드 광학 리소그래피 - FEOL 의 문제이다.It should be noted that, in general, OCW is applied to minimize the effect of process-induced mark asymmetry, and in particular, processing issues are suitable for the expected layer (mainly back-end optical lithography-BEOL). However, MTD is primarily a matter of front-end optical lithography-FEOL, where extreme lighting settings are used.

도 7은 세 가지 시나리오에서 MTD 시프트 효과를 예시한다. 도 7(a)에서는, 디바이스 (제품) 피처의 더 작은 피치에 대해 감지된 오버레이 오차(OVL)에 대한 렌즈 수차 Z의 영향이 ΔD로 표시되며, 이는 렌즈 수차 Z에 실질적으로 선형 비례하여, ΔD = m1 + SdZ 이 되며, 여기서 m1은 일정한 오프셋이고 Sd는 디바이스 수차 감도이다. 도 7(b)에서는, 보다 큰 피치의 정렬 마커가 검출된 마커 위치(APD)에서 시프트 ΔM을 나타내며, 이는 다시 Z에 실질적으로 선형 비례하며 조명 방사선(색상)과 독립적이므로, 리소-유발 색상 비대칭이 없게 된다. 이러한 경우 ΔM = m2 + SmZ 이고, 여기서 m2는 일정한 오프셋이며 Sm은 주요 마커 수차 감도이다. 조명 방사선이 투영 렌즈의 상이한 부분을 통과하고 있기 때문에 ΔM은 ΔD와 동일한 관계(즉, 그래프의 구배)를 갖지 않는다.7 illustrates the MTD shift effect in three scenarios. In Fig. 7 (a), the effect of lens aberration Z on the overlay error (OVL) detected for a smaller pitch of the device (product) feature is represented by ΔD, which is substantially linearly proportional to lens aberration Z, ΔD = m1 + SdZ, where m1 is a constant offset and Sd is the device aberration sensitivity. In Fig. 7 (b), a larger pitch alignment marker shows the shift ΔM at the detected marker position (APD), which in turn is substantially linearly proportional to Z and is independent of illumination radiation (color), thus causing lyso-induced color asymmetry There will be no. In this case ΔM = m2 + SmZ, where m2 is a constant offset and Sm is the main marker aberration sensitivity. Since the illumination radiation is passing through different parts of the projection lens, ΔM does not have the same relationship as ΔD (ie, the gradient of the graph).

도 7(c)에서는 서브-세그먼트화된 마크에 대한 효과가 도시되어 있다. 여기에는 색상(파장) 의존성이 존재하며, 이로 인해 리소-유발 비대칭이 생긴다(상이한 색상들에 대한 상이한 측정치들). 여기서는 ΔM = m3 + SmZ + K(λ) [Sm-Ss] Z 이고, Ss는 세그먼트화된 마크 감도이고 K(λ)는 스택 감도이다. 그러나, 위에서 논의한 바와 같이, 상이한 색상에 상이한 가중치가 적용되는 OCW의 원리를 이용함으로써, 실제 오버레이 오차에 매우 근접하는 색상 가중된 측정치를 결정할 수 있고, 이는 MTD 시프트를 유발하는 렌즈 수차 효과를 고려하는 것이다.In Fig. 7 (c), the effect on the sub-segmented mark is shown. There is a color (wavelength) dependence, which results in a lyso-induced asymmetry (different measurements for different colors). Here, ΔM = m3 + SmZ + K (λ) [Sm-Ss] Z, Ss is segmented mark sensitivity, and K (λ) is stack sensitivity. However, as discussed above, by using the principle of OCW in which different weights are applied to different colors, it is possible to determine color weighted measurements that are very close to the actual overlay error, which takes into account the lens aberration effect that causes the MTD shift. will be.

MTD에 민감하지 않도록 색상 가중치를 교정하기 위해, 교정 세트는 렌즈 가열 효과를 포함할 수 있다. MTD에 대한 정렬 위치의 감도를 각각의 색상에 대해 계산하기 위해 의도적인 MTD 시프트가 있는 마크가 사용되는 경우, 설계자 세그먼트화된 마크(DSM)를 사용하여 수행되는 측정으로부터 교정 데이터를 획득할 수도 있다. 예시적인 교정이 도 8에 개략적으로 도시되어 있다. 또 다른 가능성은 컴퓨테이션 방법을 사용하여 상이한 색상의 감도를 계산하는 것이다.To correct color weights so that they are not MTD sensitive, the calibration set may include a lens heating effect. If a mark with an intentional MTD shift is used to calculate the sensitivity of the alignment position to the MTD for each color, calibration data may be obtained from measurements performed using a designer segmented mark (DSM). . An exemplary calibration is schematically illustrated in FIG. 8. Another possibility is to compute the sensitivity of different colors using a computational method.

오버레이를 측정하기 위해 사용되는 계측 마크에도 동일한 원리를 적용할 수 있는데, 이러한 마크 또한 서브-세그먼트화될 수 있으며 유사한 마크-대-디바이스 오프셋을 겪게 될 것이기 때문이다.The same principle can be applied to the metrology marks used to measure the overlay, since these marks can also be sub-segmented and will experience similar mark-to-device offsets.

본 명세서에서 설명된 OCW 원리에 의해 해결될 수 있는 또 다른 문제는 기판 또는 웨이퍼에 걸쳐 발생할 수 있는 변형에 관한 것이다. 이제까지는 마크 레이아웃, 색상(들) 및 마크 유형과 같은 웨이퍼 정렬 설정이 전체 웨이퍼에 대해 사용된다. 그러나 마크 비대칭은 전형적으로 상이한 영역들에서 웨이퍼에 걸쳐 변화한다. 전체 웨이퍼의 웨이퍼 정렬을 위해 동일한 색상 설정을 사용하면 변화하는 마크 비대칭을 고려하지 않으므로 웨이퍼-대-웨이퍼 변동이 더 커질 수 있다. 예를 들어, 웨이퍼 엣지 마크 비대칭이 큰 상황에서, 허용할 수 없을 정도로 큰 오차가 발생한다면 웨이퍼 엣지에서의 마크를 무시하는 것이 현재의 관행이다.Another problem that can be solved by the OCW principles described herein relates to deformations that may occur across a substrate or wafer. So far, wafer alignment settings such as mark layout, color (s) and mark type are used for the entire wafer. However, mark asymmetry typically varies across wafers in different regions. Using the same color settings for wafer alignment of the entire wafer can result in greater wafer-to-wafer variation because it does not account for changing mark asymmetry. For example, in situations where wafer edge mark asymmetry is large, it is current practice to ignore the mark at the wafer edge if an unacceptably large error occurs.

따라서, 실시예는 웨이퍼의 상이한 영역 또는 구역에 상이한 색상 가중치를 적용함으로써 웨이퍼 표면 영역에 걸쳐 웨이퍼 정렬이 적용되도록 OCW의 사용에 의한 최적화를 제공할 수 있다. 따라서, 상이한 색상 가중치는 마크 비대칭이 웨이퍼의 나머지 부분보다 큰 영역 또는 마크 비대칭이 웨이퍼의 나머지 부분과는 상이한 영역에서 오버레이 오차를 줄일 수 있다. 또한, 영역/구역마다(즉, 엣지 대 중심) 정확한 색상 가중치가 적용되는 경우 웨이퍼 정렬 레이아웃 최적화에 유연성을 높이게 된다. Thus, embodiments can provide optimization by the use of OCW such that wafer alignment is applied across the wafer surface area by applying different color weights to different areas or regions of the wafer. Thus, different color weights can reduce overlay errors in areas where the mark asymmetry is greater than the rest of the wafer, or in areas where the mark asymmetry is different from the rest of the wafer. In addition, if accurate color weights are applied per area / area (ie, edge to center), flexibility in optimizing wafer alignment layout is increased.

도 9는 웨이퍼에 걸친 정렬 마크 비대칭 플롯을 도시한다. 이러한 플롯은 웨이퍼 위에서 정렬 마크의 어레이에 대한 4 가지 색상 사이의 변동을 보여준다. 마크와 연관된 화살표가 클수록 마크 비대칭의 정도가 크다. 마크 비대칭은 웨이퍼의 엣지에서 분명히 더 크다. 도 10에서도 유사한 효과를 볼 수 있는데, 여기서 플롯(a)는 활성 색상이 근적외선(NIR)인 제품 오버레이 웨이퍼 맵을 보여준다. 플롯 10(b)는 2-색상 가중화를 이용하는 동일한 웨이퍼에 대한 제품내 오버레이 웨이퍼 맵을 보여준다. 플롯 10(c)는 플롯 10(a)와 10(b) 간의 차이를 나타내는데, NIR과 TCW 사이에는 상당한 차이가 있음이 명확하다. 이러한 차이는 웨이퍼의 엣지 주위에 분포된 영역에서 가장 중요하다. 이는 마크 비대칭의 영향이 웨이퍼에 걸쳐 변화한다는 것을 입증한다. 이러한 거동을 조사하기 위해, 웨이퍼의 엣지와 웨이퍼 중심에 대해 TCW 분석을 수행하여 웨이퍼 상의 두 구역에 대한 최상의 색상 가중치를 결정하였다.9 shows an alignment mark asymmetry plot across the wafer. This plot shows the variation between the four colors for the array of alignment marks on the wafer. The larger the arrow associated with the mark, the greater the degree of mark asymmetry. Mark asymmetry is clearly larger at the edge of the wafer. A similar effect can be seen in FIG. 10, where plot (a) shows a product overlay wafer map with active color near infrared (NIR). Plot 10 (b) shows an in-product overlay wafer map for the same wafer using two-color weighting. Plot 10 (c) shows the difference between plots 10 (a) and 10 (b), and it is clear that there is a significant difference between NIR and TCW. This difference is most important in the area distributed around the edge of the wafer. This demonstrates that the effect of mark asymmetry varies across the wafer. To investigate this behavior, TCW analysis was performed on the edge of the wafer and the center of the wafer to determine the best color weights for the two areas on the wafer.

웨이퍼 정렬 성능의 향상은, 단지 두 가지 색상만을 참조하여 2-색상 가중화(TCW)를 적용하여 나타날 수 있다. 도 11에는 두 개의 그래프가 있는데, 하나는 웨이퍼의 엣지에 있는 마크에 대한 것이며 다른 하나는 중심에 있는 마크에 대한 것이다. 각각의 그래프는 서로 다른 2-색상 가중화 조합의 함수로서 웨이퍼 표면에 평행한 2개의 직교 방향(X-오버레이 및 Y-오버레이)에 대해 오버레이 오차가 어떻게 변하는지를 보여준다. 이 경우 두 가지 색상은 녹색(즉, 대략 510nm의 가시 광선)과 근적외선(NIR)이다. 2-색상 가중치는 녹색의 경우 -1에서 2이고, NIR의 경우 2에서 -1이다. 가중치의 총계는 항상 1이다.An improvement in wafer alignment performance can be seen by applying two-color weighting (TCW) with reference to only two colors. There are two graphs in Figure 11, one for the mark at the edge of the wafer and the other for the mark at the center. Each graph shows how the overlay error changes for two orthogonal directions (X-overlay and Y-overlay) parallel to the wafer surface as a function of different two-color weighting combinations. In this case, the two colors are green (ie, visible light at approximately 510 nm) and near infrared (NIR). The two-color weights are -1 to 2 for green and 2 to -1 for NIR. The total weight is always 1.

도 11은 최적의 색상 가중치(오버레이 오차가 최소인 경우)가 웨이퍼의 엣지와 중심에 대해 다르다는 것을 보여준다. 웨이퍼의 엣지의 경우에는 가중치 -1를 갖는 녹색과 가중치 2를 갖는 NIR의 조합이 최상의 성능을 제공하는 반면, 웨이퍼의 중심의 경우에는 가중치 -0.4를 갖는 녹색과 가중치 1.4를 갖는 NIR의 조합이 최고의 성능을 제공한다. 가중치 간의 차이는 20 %이다. Fig. 11 shows that the optimal color weight (if the overlay error is minimal) is different for the edge and center of the wafer. For the edge of the wafer, the combination of green with weight -1 and NIR with weight 2 provides the best performance, whereas for the center of the wafer, the combination of green with weight -0.4 and NIR with weight 1.4 is the best. Provides performance. The difference between the weights is 20%.

더 많은 색상/색상 가중치를 사용함으로써 더 큰 개선이 실현될 수 있음을 이해할 것이다. It will be understood that larger improvements can be realized by using more color / color weights.

웨이퍼의 상이한 구역들에 (궁극적으로 마크마다) 색상 가중치를 적용하면 웨이퍼의 중심 뿐만 아니라 엣지에서 마크 비대칭의 영향을 줄이게 된다. 이러한 방법이 적용될 수 있는 웨이퍼의 각 구역에 대해 서로 상이한 색상 설정(색상, 가중치)이 존재한다. 이런 식으로, 사용자는 웨이퍼의 상이한 구역들에 대해 웨이퍼 정렬 전략을 최적화하고 웨이퍼 정렬에 대한 미세 조정을 수행하여 프로세스 동안 웨이퍼-대-웨이퍼 변동을 줄일 수 있다.Applying color weights (ultimately per mark) to different areas of the wafer reduces the effect of mark asymmetry at the edge as well as the center of the wafer. There are different color settings (color, weight) for each zone of the wafer to which this method can be applied. In this way, the user can optimize wafer alignment strategies for different areas of the wafer and perform fine adjustments to wafer alignment to reduce wafer-to-wafer variation during the process.

전술한 웨이퍼 프로세싱 방법에서, 오버레이 웨이퍼-대-웨이퍼 변동에 영향을 미치는 오버레이 정정의 2 세트가 적용된다. 한 가지 정정은 정렬로 인한 것이다. 웨이퍼가 노광되기 전에 해당 웨이퍼 상의 정렬 마크가 스캐너 정렬 센서로 측정되고 사전규정된 정렬 모델을 사용하여 정렬 측정에 대해 정정 세트가 계산된다. 그 다음에, 노광 동안 정정이 그 웨이퍼에 적용된다. 나머지 정정은 웨이퍼별 오버레이 프로세스 정정이다. 웨이퍼의 노광 후, 오버레이 마크를 측정하기 위해 오버레이 계측 툴로 보내진다. 측정된 오버레이는 후속하는 노광을 설정하기 위해 사용되는 정정 세트를 계산하는 데에 사용된다. 이러한 정정은 웨이퍼마다 수행될 수 있다. In the wafer processing method described above, two sets of overlay corrections that affect overlay wafer-to-wafer variation are applied. One correction is due to alignment. Before the wafer is exposed, the alignment marks on the wafer are measured with a scanner alignment sensor and a set of corrections are calculated for the alignment measurements using a predefined alignment model. Then, correction during exposure is applied to the wafer. The remaining corrections are wafer-specific overlay process corrections. After exposure of the wafer, it is sent to an overlay metrology tool to measure the overlay mark. The measured overlay is used to calculate the set of corrections used to set the subsequent exposure. This correction can be done per wafer.

두 가지 정정 방법에는 각각 장단점이 있다. 정렬은 항상 웨이퍼마다 이루어지며 실시간 정정이지만, 제한된 측정 시간으로 인해 정렬 마크의 수가 제한되며 정렬 마크 비대칭에 의해 악영향을 받을 수 있다. 웨이퍼별 오버레이 정정은 더 많은 정정 능력을 가지지만 - 웨이퍼마다 많은 오버레이 마크를 측정할 수 있음 - 정정은 일반적으로 '실시간'으로 이루어지는 것이 아니다: 예컨대, 런-투-런 제어에 시간 필터가 사용된다. Each of the two correction methods has advantages and disadvantages. Alignment is always wafer-by-wafer and real-time correction, but due to limited measurement time, the number of alignment marks is limited and may be adversely affected by alignment mark asymmetry. Wafer-by-wafer correction has more correction capability-can measure many overlay marks per wafer-correction is usually not done in 'real time': for example, a time filter is used for run-to-run control .

정렬과 웨이퍼별 오버레이 정정은 오버레이 웨이퍼-대-웨이퍼 변동을 줄이고자 하는 동일한 목표를 가진다. 두 가지 방법의 셋업은 별도로 수행된다: 정렬 정정의 경우 셋업은 정렬 모델, 샘플링 및 색상의 최적화에 기초한다; 반면 오버레이 정정의 경우 셋업은 오버레이 모델, 샘플링, 측정 주파수 등의 최적화에 기초한다. 그러나 이러한 독립적인 셋업들은 정렬과 오버레이 간의 상호작용을 고려하지 않는다. 따라서 셋업들이 최적이 아닐 수 있다.Alignment and wafer-specific overlay correction have the same goal of reducing overlay wafer-to-wafer variation. The setup of the two methods is performed separately: In the case of alignment correction, the setup is based on the optimization of the alignment model, sampling and color; On the other hand, in the case of overlay correction, setup is based on optimization of overlay model, sampling, measurement frequency, etc. However, these independent setups do not take into account the interaction between alignment and overlay. Therefore, the setups may not be optimal.

이러한 점이 도 12에 개략적으로 예시되어 있다. 상단의 도면은 여러가지 상이한 색상, 모델 및 레이아웃을 사용하여 정렬 정정을 위한 OCW를 결정하는 프로세스를 보여준다. 오버레이 측정은 색상, 모델 및 레이아웃의 최적의 조합을 평가하기 위해 사용되며, 전술한 바와 같이, 정렬 정정 프로세스를 위해 최적의 색상 가중치가 결정된다. 하단의 다이어그램은 여러가지 주파수, 모델 및 레이아웃을 사용하여 오버레이를 정정하기 위한 대응 프로세스를 보여준다. 오버레이 측정은 주파수, 모델 및 레이아웃의 최적의 조합을 평가하기 위해 사용되며, 또 다시 정렬 정정 프로세스에 대해 최적의 색상 가중치가 결정된다. 최적의 색상 가중치는 두 가지 정정 절차에 대해 상이할 것이다.This is schematically illustrated in FIG. 12. The figure at the top shows the process of determining the OCW for alignment correction using several different colors, models and layouts. The overlay measurement is used to evaluate the optimal combination of color, model and layout, and as described above, an optimal color weight is determined for the alignment correction process. The diagram at the bottom shows the corresponding process for correcting the overlay using various frequencies, models and layouts. Overlay measurements are used to evaluate the optimal combination of frequency, model and layout, and again the optimal color weights are determined for the alignment correction process. The optimal color weight will be different for the two correction procedures.

본 발명의 실시예에서는, 도 13에 도시된 바와 같이, 오버레이의 평가가 이용되어, 정렬 정정 및 오버레이 정정 양자 모두를 위한 최적의 조합을 결정하는 하나의 평가를 제공하게 된다. 따라서, 동일한 오버레이 측정에 기초하여 설정을 동시에 평가함으로써, 정렬과 오버레이 정정의 조합을 위해 최적인, 정렬 설정 파라미터와 오버레이 설정 파라미터의 단일한 조합이 결정되지만, 정렬 정정 및 오버레이 정정 중 단지 하나 또는 나머지만에 대해 결정된 설정과는 상이한 것으로 판명될 수도 있다.In an embodiment of the present invention, as shown in Figure 13, the evaluation of the overlay is used to provide one evaluation that determines the optimal combination for both alignment correction and overlay correction. Therefore, by simultaneously evaluating the settings based on the same overlay measurement, a single combination of alignment setting parameters and overlay setting parameters, which is optimal for the combination of alignment and overlay correction, is determined, but only one or the rest of the alignment correction and overlay correction It may turn out to be different from the setting determined for bay.

앞서 설명한 OCW(최적 색상 가중화) 방법은 리소그래피 장치의 제어에 대한 프로세싱 아티팩트의 영향(예컨대, 마크에 영향을 미치는 것)을 최소화하는 매우 효과적인 방법이다. 그러나 모든 경우에 OCW 방법을 사용해야 하는 것은 아니다. a) 프로세싱에 의해 유발되는 웨이퍼-대-웨이퍼 품질 파라미터(예를 들어, 오버레이) 변동이 작거나 정정 가능하지 않을 수 있고 (이 경우 프로세싱 유발 변동이 최종 결과에 존재하지 않을 것임) 및/또는 b) 마크가 프로세싱 아티팩트에 대해 충분히 로버스트하며 임의의 선택된 동작 파라미터에 대해 마크(또는 레벨 센서 판독의 경우 스택)를 판독하는 것이 유사한 결과를 제공할 수 있을 것이다. OCW의 장점에 대한 평가는 반도체 제조 프로세스를 거치게 되는 기판 상의 각 층에 대해 수행되어야 할 수도 있다. 일 실시예로서, 관심 있는 층의 세트에 대해, i) 품질 파라미터와 연관된 정정 가능한 오차의 웨이퍼-대-웨이퍼 변동, 및 ii) 동작 파라미터들에 걸친 측정 데이터 변동의 웨이퍼-대-웨이퍼 변동이 결정된다. 측정 데이터 변동의 웨이퍼-대-웨이퍼 변동 및/또는 정정 가능한 오차의 웨이퍼-대-웨이퍼 변동이 특정 임계치보다 작은 층은 OCW 프레임워크에서 제외될 수도 있다.The OCW (Optimal Color Weighting) method described above is a very effective method of minimizing the impact of processing artifacts (eg, affecting the mark) on the control of the lithographic apparatus. However, it is not necessary to use the OCW method in all cases. a) The wafer-to-wafer quality parameter (e.g. overlay) variation caused by processing may be small or uncorrectable (in this case the processing-induced variation will not be present in the final result) and / or b ) Marks are robust enough for processing artifacts and reading marks (or stacks for level sensor readings) for any selected operating parameter may give similar results. Evaluation of the benefits of OCW may need to be performed for each layer on the substrate that will go through the semiconductor manufacturing process. In one embodiment, for a set of layers of interest, i) a wafer-to-wafer variation of a correctable error associated with a quality parameter, and ii) a wafer-to-wafer variation of measurement data variation across operating parameters is determined do. Layers in which wafer-to-wafer variation of measurement data variation and / or wafer-to-wafer variation of correctable error is less than a certain threshold may be excluded from the OCW framework.

일 실시예로서, 기판과 연관된 층은: a) 이러한 층과 연관된 품질 파라미터의 제1 기판-대-기판 변동; 및 b) 선택된 동작 파라미터들에 걸쳐 이러한 층과 연관된 측정 파라미터들 사이의 변동의 제2 기판-대-기판 변동의 평가에 기초하여 선택된다.In one embodiment, a layer associated with a substrate comprises: a) a first substrate-to-substrate variation of quality parameters associated with this layer; And b) a second substrate-to-substrate variation of the variation between measurement parameters associated with this layer over the selected operational parameters.

일 실시예로서, 이러한 층은 제1 기판-대-기판 변동 및 제2 기판-대-기판 변동이 임계치를 초과하는 경우에 OCW 알고리즘의 적용을 위해 선택된다. In one embodiment, this layer is selected for application of the OCW algorithm when the first substrate-to-substrate variation and the second substrate-to-substrate variation exceed thresholds.

일 실시예로서, 제1 기판-대-기판 변동 및 제2 기판-대-기판 변동은 반도체 프로세스의 KPI로 구성된다. 이들 KPI는 예를 들어 하나의 플롯에 이들을 표시해봄으로써 적시에 모니터링된다(x-축은 제1 기판-대-기판 변동과 연관된 제1 KPI의 값이고, y- 축은 제2 기판-대-기판 변동과 연관된 제2 KPI의 값임). In one embodiment, the first substrate-to-substrate variation and the second substrate-to-substrate variation consist of the KPI of the semiconductor process. These KPIs are monitored in a timely manner, for example, by displaying them on one plot (the x-axis is the value of the first KPI associated with the first substrate-to-substrate variation, and the y-axis is the second substrate-to-substrate variation. It is the value of the second KPI associated with).

제1 및 제2 KPI가 모두 임계치를 초과하는 경우, 품질 파라미터의 최소 기판-대-기판 변동을 산출하도록 구성되는 최적의 동작 파라미터를 재계산함으로써 새로운 OCW 레시피를 결정하기로 결정을 내릴 수 있다. 품질 파라미터의 변동과 동작 파라미터들에 걸친 측정 데이터의 변동성이 결합됨에 따라, a) 프로세싱의 변화에 의해 측정이 명확하게 영향을 받고, b) 그 결과 성능(품질 파라미터로 표현됨)이 문제가 되고 있다는 결론을 내릴 수 있다. 따라서, 최적의 동작 파라미터의 재계산은 아마도 성능을 향상시킬 것이고 (예를 들어, 제1 기판-대-기판 변동을 감소시킴) 아마도 의미가 있다. If both the first and second KPIs exceed the threshold, a decision can be made to determine a new OCW recipe by recalculating the optimal operating parameters that are configured to yield the minimum substrate-to-substrate variation of the quality parameters. As the fluctuation of the quality parameter and the variability of the measurement data across the operating parameters are combined, a) the measurement is clearly influenced by the change in processing, and b) the performance (expressed as the quality parameter) is a problem as a result. Can conclude. Thus, recalculation of optimal operating parameters will probably improve performance (eg, reduce the first substrate-to-substrate variation) and is probably meaningful.

대안적으로, 제1 및 제2 KPI는 단일 KPI로 합쳐질 수 있다. 이러한 경우 단일 KPI가 임계치를 초과하면 새로운 OCW 레시피를 결정하기로 결정을 내릴 수 있다.Alternatively, the first and second KPIs can be combined into a single KPI. In this case, if a single KPI exceeds the threshold, a decision can be made to determine a new OCW recipe.

제2 KPI 만 임계치를 초과하는 경우 마크가 프로세싱의 변화에 의해 영향을 받을 수 있지만, 성능이 크게 저하되지는 않는다. 현재의 OCW 설정(최적의 동작 파라미터 설정을 포함하는 레시피)이 변화된 프로세싱의 제어에 적합하다고 결론을 내릴 수도 있다.If only the second KPI exceeds the threshold, the mark may be affected by a change in processing, but the performance is not significantly reduced. It may be concluded that the current OCW settings (recipe including optimal operating parameter settings) are suitable for controlling the changed processing.

제1 KPI 만 임계치를 초과하는 경우 프로세스 유발 마크 변형 및/또는 스택 특성 변화는 품질 파라미터 변동성의 관측된 변화에 책임이 없다. 따라서 최적의 동작 파라미터를 재계산하는 것은 의미가 적어진다.If only the first KPI exceeds the threshold, process induced mark deformation and / or stack property changes are not responsible for the observed changes in quality parameter variability. Therefore, recalculating the optimal operating parameters makes little sense.

본 발명의 추가 실시예는 아래의 번호가 매겨진 조항의 목록으로 제시된다:Further embodiments of the invention are presented as a list of the numbered clauses below:

1. 기판의 특성을 측정하도록 구성된 센서 시스템의 동작 파라미터의 하나 이상의 최적화된 값을 결정하기 위한 방법으로서, 1. A method for determining one or more optimized values of operating parameters of a sensor system configured to measure properties of a substrate,

복수의 기판에 대한 품질 파라미터를 결정하는 단계; Determining quality parameters for a plurality of substrates;

상기 동작 파라미터의 복수의 값에 대해, 상기 센서 시스템을 사용하여 획득된 상기 복수의 기판에 대한 측정 파라미터를 결정하는 단계;Determining, for a plurality of values of the operating parameter, measurement parameters for the plurality of substrates obtained using the sensor system;

상기 품질 파라미터의 기판-대-기판 변동과 상기 측정 파라미터의 맵핑의 기판-대-기판 변동을 비교하는 단계; 및Comparing the substrate-to-substrate variation of the quality parameter and the substrate-to-substrate variation of the mapping of the measurement parameter; And

비교에 기초하여 상기 동작 파라미터의 하나 이상의 최적화된 값을 결정하는 단계를 포함하는, 센서 시스템의 동작 파라미터의 하나 이상의 최적화된 값을 결정하기 위한 방법. And determining one or more optimized values of the operational parameters based on a comparison.

2. 제1조항에 있어서, 상기 맵핑은 가중된 합, 비선형 맵핑 또는 머신 러닝 기법에 기초하는 트레이닝된 맵핑인, 센서 시스템의 동작 파라미터의 하나 이상의 최적화된 값을 결정하기 위한 방법. 2. The method of claim 1, wherein the mapping is a weighted sum, a nonlinear mapping or a trained mapping based on a machine learning technique, to determine one or more optimized values of operating parameters of the sensor system.

3. 제1조항에 있어서, 상기 비교에 기초하여 동작 파라미터의 제1 값과 연관된 측정 파라미터 및 동작 파라미터의 제2 값과 연관된 측정 파라미터를 가중화하기 위한 가중치 계수의 최적 세트를 결정하는 단계를 더 포함하는, 센서 시스템의 동작 파라미터의 하나 이상의 최적화된 값을 결정하기 위한 방법.3. The method of claim 1, further comprising determining an optimal set of weighting factors for weighting a measurement parameter associated with a first value of the operating parameter and a measurement parameter associated with a second value of the operating parameter based on the comparison. A method for determining one or more optimized values of operating parameters of a sensor system, comprising.

4. 제1조항 내지 제3조항 중 어느 한 조항에 있어서, 상기 품질 파라미터는 오버레이 또는 초점 파라미터인, 센서 시스템의 동작 파라미터의 하나 이상의 최적화된 값을 결정하기 위한 방법.4. The method according to any one of clauses 1 to 3, wherein the quality parameter is an overlay or focus parameter, one or more optimized values of operating parameters of the sensor system.

5. 제1조항 내지 제4조항 중 어느 한 조항에 있어서, 상기 측정 파라미터는 상기 복수의 기판에 제공되는 피처의 위치 또는 상기 기판 상의 위치의 면외(out-of-plane) 편차인, 센서 시스템의 동작 파라미터의 하나 이상의 최적화된 값을 결정하기 위한 방법.5. The sensor system according to any one of clauses 1 to 4, wherein the measurement parameter is an out-of-plane deviation of a location of a feature provided on the plurality of substrates or a location on the substrate. A method for determining one or more optimized values of operating parameters.

6. 제1조항 내지 제5조항 중 어느 한 조항에 있어서, 동작 파라미터는 센서 시스템으로부터의 광원과 연관된 파라미터인, 센서 시스템의 동작 파라미터의 하나 이상의 최적화된 값을 결정하기 위한 방법.6. The method according to any one of clauses 1 to 5, wherein the operating parameter is a parameter associated with the light source from the sensor system.

7. 제5조항에 있어서, 상기 동작 파라미터는 상기 광원의 파장, 편광 상태, 공간적 코히어런스 상태 또는 시간적 코히어런스 상태인, 센서 시스템의 동작 파라미터의 하나 이상의 최적화된 값을 결정하기 위한 방법.7. The method according to clause 5, wherein the operating parameter is the wavelength, polarization state, spatial coherence state or temporal coherence state of the light source, to determine one or more optimized values of the operating parameters of the sensor system.

8. 제1조항 내지 제7조항 중 어느 한 조항에 있어서, 상기 품질 파라미터는 계측 시스템을 이용하여 결정되는, 센서 시스템의 동작 파라미터의 하나 이상의 최적화된 값을 결정하기 위한 방법.8. The method of any one of clauses 1 to 7, wherein the quality parameter is determined using a metrology system.

9. 제1조항 내지 제6조항 중 어느 한 조항에 있어서, 품질 파라미터는 컨텍스트 정보, 측정 데이터, 재구성된 데이터, 하이브리드 계측 데이터 중 임의의 것에 기초하여 상기 품질 파라미터를 예측하는 시뮬레이션 모델을 이용하여 결정되는, 센서 시스템의 동작 파라미터의 하나 이상의 최적화된 값을 결정하기 위한 방법.9. The provision of any one of clauses 1 to 6, wherein the quality parameter is determined using a simulation model that predicts the quality parameter based on any of context information, measurement data, reconstructed data, and hybrid metrology data. A method for determining one or more optimized values of operating parameters of a sensor system.

10. 반도체 제조 프로세스의 상태를 결정하기 위한 방법으로서,10. As a method for determining the state of a semiconductor manufacturing process,

제1조항에 따라 동작 파라미터의 최적화된 값을 결정하는 단계; Determining an optimized value of the operating parameter according to claim 1;

결정된 동작 파라미터를 기준 동작 파라미터와 비교하는 단계; 및Comparing the determined operating parameter with a reference operating parameter; And

비교에 기초하여 상기 상태를 결정하는 단계를 포함하는, 방법.And determining the status based on a comparison.

11. 기판의 특성을 측정하도록 구성된 센서 시스템으로부터의 측정 데이터를 최적화하는 방법으로서,11. A method of optimizing measurement data from a sensor system configured to measure the properties of a substrate,

복수의 기판에 대한 오버레이 데이터를 획득하는 단계 - 상기 오버레이는 기판 상의 정렬 마커의 측정된 위치와 예상된 위치 사이의 편차를 나타내고 센서 시스템에 의해 이루어진 정렬 마커 위치의 복수의 측정을 포함하며, 복수의 측정 각각은 상기 센서 시스템의 상이한 동작 파라미터를 이용함 -;Obtaining overlay data for a plurality of substrates, the overlay represents a deviation between the measured position and the expected position of the alignment marker on the substrate and includes a plurality of measurements of alignment marker positions made by the sensor system, the plurality of Each measurement uses different operating parameters of the sensor system-;

획득된 오버레이 데이터에 기초하여, 그리고 상기 상이한 동작 파라미터 각각에 대해, 오버레이가 최소화되도록 하기 위해 모든 상기 상이한 동작 파라미터에 대해 상기 센서 시스템에 의해 이루어진 측정에 대한 가중된 조정이 조합되도록, 동작 파라미터를 이용하여 획득된 측정을 조정하기 위한 가중치를 결정하는 단계를 포함하는, 방법.Based on the overlay data obtained, and for each of the different operating parameters, use the operating parameters such that weighted adjustments to the measurements made by the sensor system are combined for all of the different operating parameters to minimize overlay. And determining weights for adjusting the obtained measurements.

12. 제11조항에 있어서, 동작 파라미터는 센서 시스템으로부터의 방사선 소스와 연관된 파라미터인, 방법.12. The method of clause 11, wherein the operating parameter is a parameter associated with the radiation source from the sensor system.

13. 제12조항에 있어서, 상기 동작 파라미터는 상기 광원의 파장, 편광 상태, 공간적 코히어런스 상태 또는 시간적 코히어런스 상태인, 센서 시스템의 동작 파라미터의 하나 이상의 최적화된 값을 결정하기 위한 방법.13. The method of claim 12, wherein the operating parameter is a wavelength, polarization state, spatial coherence state, or temporal coherence state of the light source.

14. 제1조항 내지 제9조항 중 어느 한 조항에 있어서, 상기 비교에 기초하여 상기 동작 파라미터의 하나 이상의 최적화된 값을 결정하는 단계는 상기 기판의 상이한 구역들에 대해 수행되는, 방법. 14. The method of any of clauses 1-9, wherein determining one or more optimized values of the operating parameter based on the comparison is performed for different zones of the substrate.

15. 제14조항에 있어서, 상기 상이한 구역들은 기판의 엣지에 근접하는 구역 및 기판의 중심에 근접하는 구역을 포함하는, 방법.15. The method of clause 14, wherein the different zones include a zone proximate the edge of the substrate and a zone proximate the center of the substrate.

16. 제14조항 또는 제15조항에 있어서, 각 구역은 기판에 적용된 하나 이상의 정렬 마크를 포함하는, 방법.16. The method of clause 14 or 15, wherein each zone comprises one or more alignment marks applied to the substrate.

17. 제14조항 또는 제15조항에 있어서, 각 구역은 기판에 적용된 복수의 정렬 마크 중 개별 정렬 마크에 대응하는 것인, 방법.17. The method according to clause 14 or 15, wherein each zone corresponds to an individual alignment mark among a plurality of alignment marks applied to the substrate.

18. 제1조항 내지 제9조항 중 어느 한 조항에 있어서, 상기 측정 파라미터는 마크의 측정된 위치이고 상기 품질 파라미터는 마크-대-디바이스 시프트이며, 상기 동작 파라미터의 최적화된 값은 기판-대-기판 변동이 최소화되게 하기 위해 상기 품질 파라미터를 최적화하도록 결정되는, 센서 시스템의 동작 파라미터의 하나 이상의 최적화된 값을 결정하기 위한 방법. 18. The provision of any of clauses 1 to 9, wherein the measurement parameter is the measured position of the mark, the quality parameter is a mark-to-device shift, and the optimized value of the operating parameter is substrate-to- A method for determining one or more optimized values of operating parameters of a sensor system, which are determined to optimize the quality parameters to minimize substrate variations.

19. 제18조항에 있어서, 동작 파라미터는 방사선 소스와 연관된 파라미터이고, 소스로부터의 방사선이 기판에 지향되며, 동작 파라미터의 최적화된 값이 동작 파라미터를 이용하여 획득된 측정을 조정하기 위한 가중치를 적용함으로써 결정되는, 방법.19. The article of clause 18, wherein the operating parameter is a parameter associated with the radiation source, the radiation from the source is directed to the substrate, and an optimized value of the operating parameter applies weights to adjust the measurements obtained using the operating parameter. By being determined.

20. 제19조항에 있어서, 기판에 지향되는 소스로부터의 방사선은 기판을 목표로 한 후에 센서 시스템에 의해 수광되는 것인, 방법. 20. The method of clause 19, wherein radiation from a source directed to the substrate is received by the sensor system after targeting the substrate.

21. 제19조항에 있어서, 가중화는 기판에 방사선을 지향시키고 및/또는 센서 시스템에 의해 방사선을 수광하기 위해 사용되는 렌즈의 렌즈 가열 효과를 포함하는, 방법.21. The method of clause 19, wherein weighting comprises a lens heating effect of a lens used to direct radiation to the substrate and / or receive radiation by a sensor system.

22. 제18조항 내지 제21조항 중 어느 한 조항에 있어서, 마크-대-디바이스 시프트에 대한 동작 파라미터의 감도를 결정하기 위해서 의도적인 마크-대-디바이스 시프트가 적용된 서브-세그먼트화된 마크를 갖는 기판으로부터 획득된 측정을 이용하여 서브-세그먼트화된 마크를 측정하기 위한 동작 파라미터에 대한 가중치를 결정하는 단계를 더 포함하는, 방법.22. The clause of any of clauses 18 to 21, having a sub-segmented mark applied with an intentional mark-to-device shift to determine the sensitivity of the operating parameter to the mark-to-device shift. And determining a weight for an operating parameter for measuring the sub-segmented mark using the measurement obtained from the substrate.

23. 제1조항 내지 제9조항 중 어느 한 조항에 있어서, 기판의 프로세싱을 제어하는 데에 이용되는 계측 시스템의 동작 파라미터를 최적화하기 위해, 상기 센서 시스템은 프로세싱 전에 기판의 제1 특성을 측정하도록 구성되는 제1 측정 시스템과 연관된 제1 센서 시스템 및 프로세싱 후에 상기 기판의 제2 특성을 측정하도록 구성되는 제2 측정 시스템과 연관된 제2 센서 시스템을 포함하고, 상기 방법은:23. The article of any of clauses 1-9, in order to optimize the operating parameters of the metrology system used to control the processing of the substrate, the sensor system is configured to measure the first characteristic of the substrate prior to processing. A first sensor system associated with a first measurement system configured and a second sensor system associated with a second measurement system configured to measure a second characteristic of the substrate after processing, the method comprising:

상기 동작 파라미터의 복수의 값에 대해, 상기 제1 센서 시스템을 사용하여 획득된 상기 복수의 기판에 대한 측정 파라미터의 제1 세트를 결정하는 단계;Determining, for a plurality of values of the operating parameter, a first set of measurement parameters for the plurality of substrates obtained using the first sensor system;

상기 동작 파라미터의 복수의 값에 대해, 상기 제2 센서 시스템을 사용하여 획득된 상기 복수의 기판에 대한 측정 파라미터의 제2 세트를 결정하는 단계; 및For a plurality of values of the operating parameter, determining a second set of measurement parameters for the plurality of substrates obtained using the second sensor system; And

상기 품질 파라미터의 기판-대-기판 변동과, 상기 측정 파라미터의 제1 세트 및 제2 세트 각각에 대한 측정 파라미터의 맵핑의 기판-대-기판 변동을 비교하는 단계를 포함하고,Comparing the substrate-to-substrate variation of the quality parameter and the substrate-to-substrate variation of the mapping of the measurement parameter to each of the first set and the second set of measurement parameters,

상기 동작 파라미터의 하나 이상의 최적화된 값을 결정하는 단계는, 상기 제1 측정 시스템과 연관된 동작 파라미터의 제1 세트 및 상기 제2 측정 시스템과 연관된 동작 파라미터의 제2 세트를 동시에 최적화하는 단계를 포함하며, 최적화는 상기 제2 특성의 기판-대-기판 변동을 완화시키는, 센서 시스템의 동작 파라미터의 하나 이상의 최적화된 값을 결정하기 위한 방법.Determining one or more optimized values of the operational parameters includes simultaneously optimizing a first set of operational parameters associated with the first measurement system and a second set of operational parameters associated with the second measurement system. And optimization mitigates the substrate-to-substrate variation of the second characteristic, a method for determining one or more optimized values of operating parameters of a sensor system.

24. 제23조항에 있어서, 품질 파라미터는 프로세싱 후에 기판의 측정된 제2 특성으로부터 결정된 오버레이인, 방법.24. The method of clause 23, wherein the quality parameter is an overlay determined from the measured second characteristic of the substrate after processing.

25. 제1조항에 있어서, 상기 품질 파라미터 및 상기 측정 파라미터는 복수의 기판과 연관된 특정 층과 연관되는, 방법.25. The method of clause 1, wherein the quality parameter and the measurement parameter are associated with a particular layer associated with a plurality of substrates.

26. 제25조항에 있어서, 특정 층은: i) 상기 특정 층과 연관된 상기 품질 파라미터의 제1 기판-대-기판 변동; 및 ii) 상기 특정 층과 연관된 상기 측정 파라미터들 사이의 변동의 제2 기판-대-기판 변동의 평가에 기초하여 선택되는 것인, 방법.26. The article of clause 25, wherein the specific layer comprises: i) a first substrate-to-substrate variation of the quality parameter associated with the particular layer; And ii) a second substrate-to-substrate variation of the variation between the measurement parameters associated with the particular layer.

27. 제26조항에 있어서, 상기 특정 층은 상기 제1 기판-대-기판 변동 및 상기 제2 기판-대-기판 변동이 임계치를 초과하는 경우에 선택되는, 방법. 27. The method of clause 26, wherein the particular layer is selected when the first substrate-to-substrate variation and the second substrate-to-substrate variation exceed a threshold.

반도체 제조 프로세스의 상태를 결정하기 위한 방법으로서, As a method for determining the state of the semiconductor manufacturing process,

제1조항 내지 제27조항 중 어느 한 조항에 따른 방법을 이용하여 동작 파라미터의 최적화된 값을 획득하는 단계;Obtaining an optimized value of the operating parameter using a method according to any one of clauses 1 to 27;

상기 동작 파라미터의 복수의 값에 대해, 상기 센서 시스템을 사용하여 획득된 추가적인 기판에 대한 측정 파라미터를 획득하는 단계;Obtaining, for a plurality of values of the operating parameter, measurement parameters for additional substrates obtained using the sensor system;

상기 측정 데이터의 예상되는 최소 기판-대-기판 변동과 연관된 상기 동작 파라미터의 새로운 값을 결정하는 단계; 및 Determining a new value of the operating parameter associated with the expected minimum substrate-to-substrate variation of the measurement data; And

상기 동작 파라미터의 최적화된 값과 상기 새로운 값의 비교에 기초하여 반도체 제조 프로세스의 상태를 결정하는 단계를 포함하는, 반도체 제조 프로세스의 상태를 결정하기 위한 방법.And determining a state of a semiconductor manufacturing process based on a comparison of the new value with an optimized value of the operating parameter.

29. 제1조항에 있어서, 상기 동작 파라미터의 최적화된 값은 상기 측정 파라미터의 제1 좌표와 연관된 제1 값의 세트 및 상기 측정 파라미터의 제2 좌표와 연관된 제2 값의 세트를 포함하는, 센서 시스템의 동작 파라미터의 하나 이상의 최적화된 값을 결정하기 위한 방법.29. The sensor of clause 1, wherein the optimized value of the operating parameter comprises a set of first values associated with a first coordinate of the measurement parameter and a set of second values associated with a second coordinate of the measurement parameter. A method for determining one or more optimized values of operating parameters of a system.

30. 제29조항에 있어서,30. In accordance with Article 29,

마크의 제1 우선적인 방향에 평행한 제3 좌표를 결정하는 단계;Determining third coordinates parallel to the first preferred direction of the mark;

마크의 제2 우선적인 방향에 평행한 제4 좌표를 결정하는 단계;Determining a fourth coordinate parallel to the second preferred direction of the mark;

상기 제3 좌표와 연관된 동작 파라미터의 제3 최적화된 값의 세트 및 상기 제4 좌표와 연관된 동작 파라미터의 제4 최적화된 값의 세트를 결정하는 단계;Determining a set of third optimized values of operating parameters associated with the third coordinates and a set of fourth optimized values of operating parameters associated with the fourth coordinates;

상기 제3 및 제4 좌표로부터 상기 제1 및 제2 좌표로의 변환을 결정하는 단계; 및Determining a transformation from the third and fourth coordinates to the first and second coordinates; And

결정된 변환을 사용하여, 제3 및 제4 좌표에서 동작 파라미터의 결정된 최적화된 값을, 제1 및 제2 좌표에서 동작 파라미터의 최적화된 값으로 변환하는 단계를 포함하는, 방법.And using the determined transform, transforming the determined optimized value of the operating parameter at the third and fourth coordinates to an optimized value of the operating parameter at the first and second coordinates.

31. 제29조항에 있어서, 상기 동작 파라미터의 제1 값은 상기 동작 파라미터의 제2 값과는 독립적으로 최적화되는, 방법.31. The method of clause 29, wherein the first value of the operating parameter is optimized independently of the second value of the operating parameter.

도 15은 본 명세서에 개시된 방법 및 흐름을 구현하는 데에 도움이 될 수 있는 컴퓨터 시스템(100)을 예시하는 블록도이다. 컴퓨터 시스템(100)은 정보를 통신하기 위한 버스(102) 또는 다른 통신 매커니즘과, 정보를 프로세싱하기 위하여 버스(102)와 커플링된 프로세서(104)(또는 여러 프로세서들(104 및 105)을 포함한다. 컴퓨터 시스템(100)은 프로세서(104)에 의하여 실행될 정보 및 명령을 저장하기 위하여 버스(102)에 커플링되는, 랜덤 액세스 메모리(RAM) 또는 다른 동적 스토리지 디바이스와 같은 메인 메모리(106)를 더 포함한다. 메인 메모리(106)는 프로세서(104)에 의하여 실행될 명령이 실행되는 도중에 일시적 변수 또는 다른 중간 정보를 저장하기 위해서도 사용될 수 있다. 컴퓨터 시스템(100)은 프로세서(104)에 대한 정적 정보 및 명령을 저장하기 위하여 버스(102)에 커플링된 판독 전용 메모리(ROM)(108) 또는 다른 정적 스토리지 디바이스를 더 포함한다. 정보 및 명령을 저장하기 위하여 자기적 디스크 또는 광학적 디스크와 같은 스토리지 디바이스(110)가 제공되고 버스(102)에 커플링된다.15 is a block diagram illustrating a computer system 100 that may be helpful in implementing the methods and flows disclosed herein. The computer system 100 includes a bus 102 or other communication mechanism for communicating information, and a processor 104 (or several processors 104 and 105) coupled with the bus 102 to process the information. Computer system 100 utilizes main memory 106, such as random access memory (RAM) or other dynamic storage device, coupled to bus 102 to store information and instructions to be executed by processor 104. The main memory 106 can also be used to store temporary variables or other intermediate information while an instruction to be executed by the processor 104 is being executed.The computer system 100 is static information about the processor 104. And a read-only memory (ROM) 108 or other static storage device coupled to the bus 102 to store the instructions. For this purpose, a storage device 110 such as a magnetic disk or optical disk is provided and coupled to the bus 102.

컴퓨터 시스템(100)은 정보를 컴퓨터 사용자에게 디스플레이하기 위하여, 버스(102)를 통해서 음극선관(CRT) 또는 평판 또는 터치 패널 디스플레이와 같은 디스플레이(112)에 커플링될 수 있다. 영숫자 키와 다른 키들을 포함하는 입력 디바이스(114)는 정보 및 커맨드 셀렉션을 프로세서(104)로 통신하기 위하여 버스(102)에 커플링된다. 다른 타입의 사용자 입력 디바이스는, 지시 정보와 커맨드 셀렉션을 프로세서(104)로 통신하고 디스플레이(112) 상에서의 커서 움직임을 제어하기 위한, 마우스, 트랙볼, 또는 커서 방향 키와 같은 커서 콘트롤(116)이다. 이러한 입력 디바이스는 통상적으로 두 개의 축인 제1 축(예를 들어, x)과 제2 축(예를 들어, y)에서 2-자유도를 가져서, 디바이스가 평면에서의 위치를 특정하게 한다. 터치 패널(스크린) 디스플레이가 입력 디바이스로서 사용될 수도 있다.The computer system 100 may be coupled to a display 112 such as a cathode ray tube (CRT) or flat panel or touch panel display via a bus 102 to display information to a computer user. An input device 114 comprising alphanumeric keys and other keys is coupled to the bus 102 to communicate information and command selection to the processor 104. Another type of user input device is cursor control 116, such as a mouse, trackball, or cursor direction key, for communicating instruction information and command selection to processor 104 and controlling cursor movement on display 112. . Such input devices typically have two degrees of freedom in two axes, the first axis (eg x) and the second axis (eg y), allowing the device to specify a position in the plane. A touch panel (screen) display can also be used as an input device.

일 실시예에 따르면, 프로세서(104)가 메인 메모리(106) 내에 저장된 하나 이상의 명령의 하나 이상의 시퀀스를 실행하는 것에 응답하여, 프로세스의 부분들이 컴퓨터 시스템(100)에 의해 수행될 수 있다. 이러한 명령들은 스토리지 디바이스(110)와 같은 다른 컴퓨터-판독가능 매체로부터 메인 메모리(106)로 독출될 수 있다. 메인 메모리(106)에 포함된 명령의 시퀀스를 실행하면, 프로세서(104)는 본 명세서에서 설명되는 프로세스 단계들을 수행하게 된다. 메인 메모리(106)에 포함된 명령의 시퀀스를 실행하기 위하여, 다중 프로세싱 장치 내의 하나 이상의 프로세서가 채용될 수도 있다. 다른 실시예에서, 소프트웨어 명령 대신에 또는 이와 조합되어 유선 회로부가 사용될 수도 있다. 따라서, 본 명세서의 설명은 하드웨어 회로와 소프트웨어의 임의의 특정한 조합으로 한정되지 않는다.According to one embodiment, portions of the process may be performed by computer system 100 in response to processor 104 executing one or more sequences of one or more instructions stored in main memory 106. These instructions can be read from other computer-readable media, such as storage device 110, into main memory 106. When executing the sequence of instructions included in the main memory 106, the processor 104 performs the process steps described herein. In order to execute a sequence of instructions contained in main memory 106, one or more processors in multiple processing devices may be employed. In other embodiments, wired circuitry may be used in place of or in combination with software instructions. Accordingly, the description herein is not limited to any particular combination of hardware circuitry and software.

"컴퓨터-판독가능 매체"라는 용어는 본 명세서에서 사용될 때 실행되도록 프로세서(104)에 명령을 제공하는 데에 관여하는 임의의 유형의(tangible) 매체를 지칭한다. 이러한 매체는 비-휘발성 매체, 휘발성 매체, 및 전송 매체를 포함하지만 이들로 한정되지는 않는 많은 형태를 취할 수도 있다. 비-휘발성 매체는 예를 들어, 스토리지 디바이스(110)와 같은 광학적 또는 자기적 디스크를 포함한다. 휘발성 매체는 메인 메모리(106)와 같은 동적 메모리를 포함한다. 전송 매체는 버스(102)를 포함하는 와이어를 포함하는 동축 케이블, 구리 배선, 및 광섬유(fiber optics)를 포함한다. 전송 매체는 무선 주파수(RF) 및 적외선(IR) 데이터 통신 중에 생성되는 것과 같은 음파 또는 광파의 형태를 띨 수도 있다. 컴퓨터-판독가능 매체의 공통 형태는, 예를 들어 플로피 디스크, 가요성 디스크, 하드 디스크, 자기 테이프, 및 임의의 다른 자기적 매체, CD-ROM, DVD, 임의의 다른 광학적 매체, 펀치 카드, 종이 테이프, 홀들의 패턴을 가진 임의의 다른 물리적 매체, RAM, PROM, 및 EPROM, FLASH EPROM, 임의의 다른 메모리 칩 또는 카트리지, 후술될 반송파, 또는 컴퓨터가 판독할 수 있는 임의의 다른 매체를 포함한다.The term "computer-readable medium" as used herein refers to any tangible medium involved in providing instructions to the processor 104 to be executed. Such media may take many forms, including, but not limited to, non-volatile media, volatile media, and transmission media. Non-volatile media include, for example, optical or magnetic disks such as storage device 110. Volatile media include dynamic memory, such as main memory 106. Transmission media include coaxial cables, copper wiring, and fiber optics, including wires that include bus 102. Transmission media may take the form of sound or light waves, such as those generated during radio frequency (RF) and infrared (IR) data communications. Common forms of computer-readable media include, for example, floppy disks, flexible disks, hard disks, magnetic tapes, and any other magnetic media, CD-ROMs, DVDs, any other optical media, punch cards, paper Tape, any other physical medium with a pattern of holes, RAM, PROM, and EPROM, FLASH EPROM, any other memory chip or cartridge, a carrier wave as described below, or any other computer readable medium.

다양한 형태의 컴퓨터 판독가능 매체들이, 실행을 위해 하나 이상의 명령의 하나 이상의 시퀀스를 프로세서(104)에 전달하는 것에 관여할 수 있다. 예를 들어, 명령들은 처음에 원격 컴퓨터의 자기적 디스크 상에 보유될 수도 있다. 원격 컴퓨터는 명령들을 자신의 동적 메모리 내로 로딩하고 명령들을 모뎀을 사용하여 전화선을 통해 전송할 수 있다. 컴퓨터 시스템(100)에 국소적인 모뎀은 전화선에서 데이터를 수신하고, 적외선 송신기를 사용하여 이러한 데이터를 적외선 신호로 변환한다. 버스(102)에 커플링된 적외선 검출기는 적외선 신호에서 전달되는 데이터를 수신하고, 이러한 데이터를 버스(102) 상에 배치할 수 있다. 버스(102)는 데이터를 메인 메모리(106)로 전달하며, 프로세서(104)는 이로부터 명령들을 취출하고 실행한다. 메인 메모리(106)에 의해 수신된 명령들은 프로세서(104)에 의한 실행 이전에 또는 그 이후에 선택적으로 스토리지 디바이스(110)에 저장될 수 있다.Various forms of computer readable media may be involved in passing one or more sequences of one or more instructions to processor 104 for execution. For example, instructions may initially be held on a magnetic disk of a remote computer. The remote computer can load the commands into its dynamic memory and send the commands over the telephone line using a modem. A modem local to the computer system 100 receives data from the telephone line and converts this data to infrared signals using an infrared transmitter. An infrared detector coupled to the bus 102 can receive data carried in the infrared signal and place the data on the bus 102. Bus 102 transfers data to main memory 106, and processor 104 retrieves and executes instructions therefrom. Instructions received by the main memory 106 may optionally be stored on the storage device 110 before or after execution by the processor 104.

컴퓨터 시스템(100)은 버스(102)에 커플링된 통신 인터페이스(118)를 더 포함하는 것이 바람직하다. 통신 인터페이스(118)는 로컬 네트워크(122)에 연결된 네트워크 링크(120)로 양-방향 데이터 통신 커플링을 제공한다. 예를 들어, 통신 인터페이스(118)는 대응하는 타입의 전화선에 데이터 통신 연결을 제공하기 위한 종합 정보 통신망(ISDN) 카드 또는 모뎀일 수 있다. 다른 예로서, 통신 인터페이스(118)는 호환가능한 근거리 네트워크(LAN)에 데이터 통신 연결을 제공하기 위한 LAN 카드일 수 있다. 무선 링크가 구현될 수도 있다. 임의의 이러한 구현형태에서, 통신 인터페이스(118)는 다양한 타입의 정보를 나타내는 디지털 데이터 스트림을 전달하는 전기적, 전자기적 또는 광학적 신호를 전송하고 수신한다.The computer system 100 preferably further includes a communication interface 118 coupled to the bus 102. Communication interface 118 provides a two-way data communication coupling to network link 120 coupled to local network 122. For example, the communication interface 118 can be an integrated information network (ISDN) card or modem for providing a data communication connection to a corresponding type of telephone line. As another example, the communication interface 118 can be a LAN card for providing a data communication connection to a compatible local area network (LAN). A radio link may be implemented. In any such implementation, communication interface 118 transmits and receives electrical, electromagnetic or optical signals carrying digital data streams representing various types of information.

네트워크 링크(120)는 통상적으로 하나 이상의 네트워크를 통해 다른 데이터 디바이스로 데이터 통신을 제공한다. 예를 들어, 네트워크 링크(120)는 로컬 네트워크(122)를 통해 호스트 컴퓨터(124) 또는 인터넷 서비스 제공자(ISP)(126)에 의하여 운영되는 데이터 장비로 연결을 제공할 수 있다. 이제 ISP(126)는, 현재 일반적으로 "인터넷(128)"이라고 불리는 월드와이드 패킷 데이터 통신 네트워크를 통해 데이터 통신 서비스를 제공한다. 로컬 네트워크(122)와 인터넷(128) 양자 모두는 디지털 데이터 스트림을 전달하는 전기적, 전자기적 또는 광학적 신호를 사용한다. 컴퓨터 시스템(100)으로의 또는 그로부터의 디지털 데이터를 전달하는, 다양한 네트워크들을 통과하는 신호와 네트워크 링크(120) 상에서 통신 인터페이스(118)를 통한 신호는 정보를 수송하는 반송파의 예시적인 형태들이다.The network link 120 typically provides data communication over one or more networks to other data devices. For example, the network link 120 can provide a connection to the data equipment operated by the host computer 124 or the Internet service provider (ISP) 126 via the local network 122. ISP 126 now provides data communication services over a worldwide packet data communication network, commonly referred to as "Internet 128". Both the local network 122 and the Internet 128 use electrical, electromagnetic, or optical signals that carry digital data streams. Signals passing through various networks, which carry digital data to or from computer system 100, and signals through communication interface 118 on network link 120 are exemplary forms of carriers carrying information.

컴퓨터 시스템(100)은 네트워크(들), 네트워크 링크(120), 및 통신 인터페이스(118)를 통해서, 메시지를 전송하고 프로그램 코드를 포함하는 데이터를 수신할 수 있다. 인터넷의 예에서, 서버(130)는 애플리케이션 프로그램에 대한 요청된 코드를 인터넷(128), ISP(126), 로컬 네트워크(122) 및 통신 인터페이스(118)를 통해 송신할 수 있다. 하나의 이러한 다운로드된 애플리케이션은 예를 들어 실시예의 조명 최적화를 제공할 수 있다. 수신된 코드는 수신될 때 프로세서(104)에 의하여 실행되고, 및/또는 추후에 실행되도록 스토리지 디바이스(110), 또는 다른 비-휘발성 스토리지에 저장될 수 있다. 이러한 방식으로, 컴퓨터 시스템(100)은 애플리케이션 코드를 반송파의 형태로 획득할 수 있다.Computer system 100 may transmit messages and receive data including program code, via network (s), network link 120, and communication interface 118. In the example of the Internet, the server 130 may transmit the requested code for the application program through the Internet 128, the ISP 126, the local network 122, and the communication interface 118. One such downloaded application may provide lighting optimization of an embodiment, for example. The received code may be executed by processor 104 when received, and / or stored in storage device 110, or other non-volatile storage, to be executed at a later time. In this way, the computer system 100 can obtain the application code in the form of a carrier wave.

본 명세서의 실시예는 하드웨어, 펌웨어, 소프트웨어 또는 이들의 임의의 조합으로 구현될 수 있다. 본 개시내용의 실시예는 또한 하나 이상의 프로세서에 의해 판독되고 실행될 수 있는 기계 판독가능한 매체 상에 저장된 명령으로서 구현될 수 있다. 기계 판독가능한 매체는 기계(예를 들어, 컴퓨팅 디바이스)에 의해 판독가능한 형태로 정보를 저장 또는 전송하기 위한 임의의 메커니즘을 포함할 수 있다. 예를 들어, 기계 판독가능한 매체는 판독 전용 메모리(ROM); 랜덤 액세스 메모리(RAM); 자기 디스크 저장 매체; 광 저장 매체; 플래시 메모리 디바이스; 전기, 광학, 음향 또는 기타 다른 형태의 전파 신호(예를 들어, 반송파, 적외선 신호, 디지털 신호 등) 등을 포함할 수 있다. 또한, 펌웨어, 소프트웨어, 루틴, 명령이 특정 동작을 수행하는 것으로 본 명세서에서 설명될 수 있다. 그러나, 이러한 설명은 단지 편의를 위한 것이며, 그러한 동작은 실제로 컴퓨팅 디바이스, 프로세서, 제어기, 또는 펌웨어, 소프트웨어, 루틴, 명령 등을 실행하는 다른 디바이스로부터 기인한다는 점을 인식해야 할 것이다.Embodiments of the present specification may be implemented in hardware, firmware, software, or any combination thereof. Embodiments of the present disclosure may also be implemented as instructions stored on machine readable media that can be read and executed by one or more processors. The machine-readable medium can include any mechanism for storing or transmitting information in a form readable by a machine (eg, a computing device). For example, a machine-readable medium may include read-only memory (ROM); Random access memory (RAM); Magnetic disk storage media; Optical storage media; Flash memory devices; Electrical, optical, acoustic, or other forms of propagation signals (eg, carrier waves, infrared signals, digital signals, etc.), and the like. Further, firmware, software, routines, and instructions may be described herein as performing specific operations. However, it should be appreciated that this description is for convenience only, and that the operation actually originates from a computing device, processor, controller, or other device executing firmware, software, routines, instructions, and the like.

블록도에서, 예시된 컴포넌트들은 이산적인 기능 블록으로서 도시되지만, 실시예들은 본 명세서에서 설명된 기능이 도시된 바와 같이 조직화된 시스템으로 한정되지 않는다. 컴포넌트들 각각에 의해 제공되는 기능은 도면에 도시된 것과 달리 조직화된 소프트웨어 또는 하드웨어 모듈에 의하여 제공될 수 있으며, 예를 들어 이러한 소프트웨어 또는 하드웨어는 상호혼합, 공동결합, 복제, 분리, 분산(예를 들어 데이터 센터 내에서 또는 지리적으로), 또는 다른 식으로 조직화될 수 있다. 본 명세서에서 설명된 기능은 유형의(tangible) 비-일시적 기계 판독가능 매체에 저장된 코드를 실행하는 하나 이상의 컴퓨터의 하나 이상의 프로세서에 의해 제공될 수 있다. 일부 경우에, 제3자 콘텐츠 전달 네트워크가 네트워크들을 거쳐 전달되는 정보의 일부 또는 전부를 호스팅할 수 있는데, 이러한 경우에, 정보(예를 들어, 콘텐츠)가 공급되거나 다른 방식으로 제공된다고 언급되는 범위에서, 이러한 정보는 해당 정보를 콘텐츠 전달 네트워크로부터 취출하라는 명령을 전송함으로써 제공될 수 있다.In the block diagram, the illustrated components are shown as discrete functional blocks, but embodiments are not limited to an organized system as shown in the functionality described herein. The functionality provided by each of the components may be provided by organized software or hardware modules, unlike those shown in the drawings, for example such software or hardware may be intermixed, co-coupled, duplicated, separated, distributed (eg For example, within a data center or geographically), or otherwise. The functionality described herein can be provided by one or more processors of one or more computers executing code stored on a tangible, non-transitory machine-readable medium. In some cases, a third-party content delivery network may host some or all of the information that is delivered across the networks, in which case it is stated that the information (eg, content) is supplied or otherwise provided In, such information can be provided by sending an instruction to retrieve the information from the content delivery network.

명백하게 달리 진술되지 않는 한, 본 명세서로부터 명백한 것처럼, 명세서 전체를 통해 "프로세싱" "컴퓨팅" "계산" "결정" 등과 같은 용어를 활용한 설명은 또는 전용 컴퓨터 또는 유사한 전용 전자 프로세싱/컴퓨팅 디바이스와 같은 특정 장치의 동작 또는 프로세스를 가리키는 것이라는 점이 이해된다.Unless expressly stated otherwise, a description utilizing terms such as “processing”, “computing”, “calculating”, “determining”, etc., throughout the specification, as is apparent from the specification, or as a dedicated computer or similar dedicated electronic processing / computing device. It is understood that it refers to the operation or process of a particular device.

독자는 본 발명이 여러 가지의 발명을 기술한다는 것을 이해해야 한다. 그와 관련된 기술 요지가 출원 프로세스에서 경제성을 스스로 가질 수 있기 때문에, 그러한 발명들을 다수의 개별 특허 출원으로 분리하는 것보다, 출원인은 이러한 발명들을 단일 문서 내에 그룹화하였다. 하지만 이러한 발명들의 별개의 장점 및 양태들은 합쳐져서는 안된다. 일부 경우에, 실시예들은 본 명세서에 지적된 흠결들 모두를 해결하지만, 이러한 발명들이 독립적으로 유용하며, 일부 실시예는 이러한 문제점들의 부분 집합만을 해결하거나, 본 명세서를 검토한 당업자에게는 명백하게 이해될 언급되지 않은 다른 장점들을 제공한다는 것이 이해되어야 한다. 비용 제약으로 인해, 본 명세서에 개시된 일부 발명은 현재로서는 청구되지 않으며, 계속 출원과 같은 후속하는 출원에서 또는 현재의 청구항을 보정함으로써 청구될 수 있다. 이와 마찬가지로 공간 제약으로 인해, 본 명세서의 발명의 내용 섹션과 요약서는 이러한 모든 발명 또는 이러한 발명의 모든 양태에 대한 광범위한 나열을 포함하고 있는 것으로 간주되어서는 안된다.The reader should understand that the invention describes several inventions. Rather than separating such inventions into multiple individual patent applications, the applicant grouped these inventions into a single document, as the technical gist associated therewith could have economics in the application process itself. However, the distinct advantages and aspects of these inventions should not be combined. In some cases, the embodiments address all of the deficiencies pointed out herein, but these inventions are useful independently, and some embodiments only solve a subset of these problems, or will be apparent to those skilled in the art having reviewed the specification. It should be understood that it provides other advantages not mentioned. Due to cost constraints, some of the inventions disclosed herein are not currently claimed, and may be claimed in subsequent applications, such as continuing applications, or by amending current claims. Likewise, due to space constraints, the content section and summary of the invention herein should not be considered to include an exhaustive listing of all these inventions or all aspects of these inventions.

상세한 설명 및 도면은 본 발명을 개시된 특정한 형태로 한정시키려는 의도가 전혀 없으며, 그 반대로 본 발명은 첨부된 청구범위에 규정되는 바와 같은 본 발명의 사상 및 범위에 속하는 모든 변형예, 균등물, 및 대체예들을 커버하도록 의도된다는 점이 이해되어야 한다.The detailed description and drawings are not intended to limit the invention to the specific forms disclosed, and vice versa, the invention is intended to cover all modifications, equivalents, and alternatives falling within the spirit and scope of the invention as defined in the appended claims. It should be understood that it is intended to cover the examples.

본 발명의 다양한 양태의 변형 및 대안적 실시예는 본 명세서를 참조하면 당업자들에게 명백하게 이해될 것이다. 따라서, 이러한 상세한 설명 및 도면은 오직 예를 들기 위한 것이고 당업자들에게 본 발명을 실시하는 일반적인 방식을 알려주기 위한 것으로 해석되어야 한다. 본 명세서에서 도시되고 설명되는 본 발명의 형태들이 실시예들의 예로서 간주되어야 한다는 것이 이해되어야 한다. 본 발명의 이러한 설명을 접한 당업자라면 명백히 알 수 있는 것처럼, 요소 및 재료는 본 명세서에서 예시되고 설명되는 것들을 대체할 수 있고, 부분들과 프로세스들은 뒤바뀌거나 순서가 바뀌거나 생략될 수 있으며, 특정 특징들은 독립적으로 활용될 수 있고, 실시예들 또는 실시예의 특징들은 결합될 수 있다. 후속하는 청구범위에서 기술되는 바와 같은 본 발명의 사상 및 범위에서 벗어나지 않으면서, 본 명세서에서 설명되는 요소는 변경될 수 있다. 본 명세서에서 주석은 조직화의 목적일 뿐 본 발명의 범위를 한정하도록 사용되려는 것이 아니다.Variations and alternative embodiments of various aspects of the present invention will become apparent to those skilled in the art upon reference to this specification. Accordingly, these detailed descriptions and drawings are intended to be illustrative only and should be construed to teach those skilled in the art the general way of practicing the present invention. It should be understood that the forms of the invention shown and described herein are to be regarded as examples of embodiments. As will be apparent to those skilled in the art upon encountering this description of the present invention, elements and materials may replace those illustrated and described herein, and parts and processes may be reversed, reordered or omitted, and specific Features can be utilized independently, and features of embodiments or embodiments can be combined. Elements described herein may be modified without departing from the spirit and scope of the invention as described in the claims that follow. Annotations in this specification are for organizational purposes only and are not intended to be used to limit the scope of the invention.

본 명세서 전체에서 사용될 때, "~ 수 있다(may)"는 단어는 강제적인 의미(즉, 해야함(must)을 의미)하는 것이 아니라 허용하는 의미(즉, 가능성이 있음을 의미)로 사용된다. 단어 "포함", "포함하는", 및 "포함한다" 등은, 포함하지만 그것으로 제한되는 것은 아니라는 것을 의미한다. 본 명세서 전체에서 사용될 때, 단수 형태인 "한" "하나" 및 "그" 등은 문맥이 그렇지 않다고 명백하게 표시하지 않으면 복수의 지시대상을 포함한다. 따라서, 예를 들어 "하나의(an)" 요소 또는 "한(a)" 요소에 대해 언급하는 것은, "하나 이상의"와 같이 하나 이상의 요소에 대해서 다른 용어 및 어구를 사용함에도 불구하고, 두 개 이상의 요소의 조합을 포함한다. 용어 "또는"은, 그렇지 않다고 표시되지 않으면, 비-배타적이고, 즉, "및" 과 "또는" 양자 모두를 망라한다. 조건 관계를 설명하는 용어, 예를 들어 "X에 응답하여 Y가", "X의 경우, Y가", "X면, Y가," "X일 경우, Y가" 등은, 선행조건이 결과의 필요 인과 조건이거나, 선행조건이 충분 인과 조건이거나, 또는 선행조건이 결과에 기여하는 인과 조건인 인과 관계들을 망라하는데, 예를 들어 "조건 Y가 달성되면 상태 X가 발생한다"는 "Y의 경우에만 X가 발생한다 " 및 "Y 및 Z의 경우 X가 발생한다"에 대한 통칭이다. 이러한 조건 관계는 선행조건이 달성되는 것에 바로 후속하는 결과로 한정되지 않는데 이것은 일부 결과가 지연될 수 있기 때문이고, 조건부 진술에서, 선행조건은 그 결과와 연결되며, 예를 들어 선행조건은 결과가 발생할 가능성과 관련된다. 복수 개의 속성 또는 기능이 복수 개의 대상물(예를 들어, 단계 A, B, C, 및 D를 수행하는 하나 이상의 프로세서)로 맵핑된다는 진술은, 달리 표시되지 않는 한, 모든 이러한 속성 또는 기능이 이러한 모든 대상물로 맵핑된다는 것 및 속성 또는 기능의 서브세트가 속성 또는 기능의 서브세트로 맵핑된다는 것 양자 모두(예를 들어, 모든 프로세서가 각각 단계 A-D를 수행한다는 것, 및 프로세서 1이 단계 A를 수행하고, 프로세서 2가 단계 B 및 단계 C의 일부를 수행하며, 및 프로세서 3이 단계 C의 일부와 단계 D를 수행하는 경우 양자 모두)를 망라한다. 더 나아가, 달리 표시되지 않는 한, 하나의 값 또는 동작이 다른 조건 또는 값에 "기초한다"는 진술은, 조건 또는 값이 유일한 인자인 경우 및 조건 또는 값이 여러 인자들 중 하나의 인자인 경우 양자 모두를 망라한다. 달리 표시되지 않는 한, 일부 집합 중 "각각의" 인스턴스가 일부 특성을 가진다는 진술은, 더 큰 집합의 일부의 또는 동일하거나 유사한 원소들이 그러한 특성을 가지지 않는 경우를 배제하는 것으로 해석되어서는 안 되고, 즉 각각이 반드시 각각 그리고 모두를 의미하는 것은 아니다.When used throughout this specification, the word "may" is used in a permissive sense (ie, meaning there is a possibility), rather than in a compulsory meaning (ie, means must). The words "comprising", "comprising", and "includes", and the like mean that they are included, but not limited to. As used throughout this specification, the singular forms “one”, “one” and “that”, etc., include a plurality of indicators unless the context clearly indicates otherwise. Thus, referring to an “an” element or an “a” element, for example, refers to two, even though different terms and phrases are used for one or more elements, such as “one or more”. It includes a combination of the above elements. The term “or”, unless indicated otherwise, is non-exclusive, ie, encompasses both “and” and “or”. Terms that describe a conditional relationship, such as "Y in response to X," "For X, Y is", "X plane, Y is," "If X, Y is", etc. It includes a causal relationship that is a necessary causal condition of a result, a prerequisite is a sufficient causal condition, or a causal condition in which a prerequisite contributes to a result. For example, "state X occurs when condition Y is achieved." It is a generic term for " X occurs only for " and " X occurs for Y and Z ". This conditional relationship is not limited to the result immediately following the precondition being achieved, because some results may be delayed, and in a conditional statement, the precondition is linked to the result, for example It is related to the possibility of occurrence. A statement that a plurality of attributes or functions are mapped to a plurality of objects (eg, one or more processors performing steps A, B, C, and D), unless otherwise indicated, all such attributes or functions are Both being mapped to an object and a subset of attributes or functions mapped to a subset of attributes or functions (e.g., all processors each perform step AD, and processor 1 performs step A) , Processor 2 performs part of steps B and C, and processor 3 performs part of steps C and D). Furthermore, unless stated otherwise, a statement that one value or action "bases on" another condition or value is when the condition or value is the only argument and the condition or value is one of several factors. It covers both. Unless otherwise indicated, statements that "each" instance of a set has some properties should not be construed to exclude cases where some or the same or similar elements of a larger set do not have such properties. That is, each does not necessarily mean each and every.

특정 미국 특허, 미국 특허 출원, 또는 다른 문헌(예를 들어, 논문)이 원용되어 통합된다는 범위에 대해서, 이러한 미국 특허, 미국 특허 출원, 및 다른 문헌의 내용은 이러한 문헌과 본원에 언급된 진술 및 도면 사이에 상충이 존재하지 않는 범위에서 원용에 의해 본원에 통합된다. 이러한 상충이 있는 경우, 본 명세서에서 원용에 의해 통합되는 이러한 미국 특허, 미국 특허 출원, 및 다른 문헌 내의 임의의 이러한 상충되는 내용은 구체적으로 본 명세서에 원용에 의해 통합되지 않는다. 이상에서 발명의 특정 실시예들이 설명되었지만, 이러한 실시예는 설명된 것과 다르게 실시될 수도 있다는 것을 이해할 것이다.To the extent that certain U.S. patents, U.S. patent applications, or other documents (e.g., papers) are incorporated and incorporated by reference, the contents of these U.S. patents, U.S. patent applications, and other documents are incorporated herein by reference, and It is incorporated herein by reference in the absence of a conflict between the drawings. In the event of such conflict, any such conflicting content in this U.S. Patent, U.S. Patent Application, and other documents incorporated herein by reference is specifically not incorporated herein by reference. Although specific embodiments of the invention have been described above, it will be understood that such embodiments may be practiced differently than described.

Claims (15)

기판의 특성을 측정하도록 구성된 센서 시스템의 동작 파라미터의 하나 이상의 최적화된 값을 결정하기 위한 방법으로서,
복수의 기판에 대한 품질 파라미터를 결정하는 단계;
상기 동작 파라미터의 복수의 값에 대해, 상기 센서 시스템을 사용하여 획득된 상기 복수의 기판에 대한 측정 파라미터를 결정하는 단계;
상기 품질 파라미터의 기판-대-기판 변동과 상기 측정 파라미터의 맵핑의 기판-대-기판 변동을 비교하는 단계; 및
비교에 기초하여 상기 동작 파라미터의 하나 이상의 최적화된 값을 결정하는 단계를 포함하는, 센서 시스템의 동작 파라미터의 하나 이상의 최적화된 값을 결정하기 위한 방법.
A method for determining one or more optimized values of operating parameters of a sensor system configured to measure a property of a substrate,
Determining quality parameters for a plurality of substrates;
Determining, for a plurality of values of the operating parameter, measurement parameters for the plurality of substrates obtained using the sensor system;
Comparing the substrate-to-substrate variation of the quality parameter and the substrate-to-substrate variation of the mapping of the measurement parameter; And
And determining one or more optimized values of the operational parameters based on a comparison.
제1항에 있어서, 상기 맵핑은 가중된 합, 비선형 맵핑 또는 머신 러닝 기법에 기초하는 트레이닝된 맵핑인, 센서 시스템의 동작 파라미터의 하나 이상의 최적화된 값을 결정하기 위한 방법.The method of claim 1, wherein the mapping is a weighted sum, a nonlinear mapping, or a trained mapping based on machine learning techniques. 제1항에 있어서, 상기 품질 파라미터는 오버레이 또는 초점 파라미터인, 센서 시스템의 동작 파라미터의 하나 이상의 최적화된 값을 결정하기 위한 방법. The method of claim 1, wherein the quality parameter is an overlay or focus parameter. 제1항에 있어서, 상기 측정 파라미터는 상기 복수의 기판에 제공되는 피처의 위치 또는 상기 기판 상의 위치의 면외(out-of-plane) 편차인, 센서 시스템의 동작 파라미터의 하나 이상의 최적화된 값을 결정하기 위한 방법.The method of claim 1, wherein the measurement parameter is an out-of-plane deviation of a position of a feature provided on the plurality of substrates or a position on the substrate, and determines one or more optimized values of operating parameters of the sensor system Way to do. 제1항에 있어서, 상기 동작 파라미터는 상기 센서 시스템으로부터의 광원의 파장, 편광 상태, 공간적 코히어런스 상태 또는 시간적 코히어런스 상태와 연관된 파라미터인, 센서 시스템의 동작 파라미터의 하나 이상의 최적화된 값을 결정하기 위한 방법.The one or more optimized values of the operating parameters of the sensor system according to claim 1, wherein the operating parameters are parameters associated with the wavelength, polarization state, spatial coherence state or temporal coherence state of the light source from the sensor system. How to decide. 제1항에 있어서, 상기 품질 파라미터는 컨텍스트 정보 및/또는 측정 데이터에 기초하여 상기 품질 파라미터를 예측하는 시뮬레이션 모델 및/또는 계측 시스템을 이용하여 결정되는, 센서 시스템의 동작 파라미터의 하나 이상의 최적화된 값을 결정하기 위한 방법.The one or more optimized values of operating parameters of a sensor system according to claim 1, wherein the quality parameters are determined using a simulation model and / or a measurement system that predicts the quality parameters based on context information and / or measurement data. How to determine. 제1항에 있어서, 상기 동작 파라미터의 최적화된 값은 상기 측정 파라미터의 제1 좌표와 연관된 제1 값의 세트 및 상기 측정 파라미터의 제2 좌표와 연관된 제2 값의 세트를 포함하는, 센서 시스템의 동작 파라미터의 하나 이상의 최적화된 값을 결정하기 위한 방법.The sensor system of claim 1, wherein the optimized value of the operating parameter comprises a set of first values associated with a first coordinate of the measurement parameter and a set of second values associated with a second coordinate of the measurement parameter. A method for determining one or more optimized values of operating parameters. 반도체 제조 프로세스의 상태를 결정하기 위한 방법으로서,
제1항에 따라 동작 파라미터의 최적화된 값을 결정하는 단계;
결정된 동작 파라미터를 기준 동작 파라미터와 비교하는 단계; 및
비교에 기초하여 상기 상태를 결정하는 단계를 포함하는, 반도체 제조 프로세스의 상태를 결정하기 위한 방법.
As a method for determining the state of the semiconductor manufacturing process,
Determining an optimized value of the operating parameter according to claim 1;
Comparing the determined operating parameter with a reference operating parameter; And
And determining the status based on a comparison.
제1항에 있어서, 상기 비교에 기초하여 상기 동작 파라미터의 하나 이상의 최적화된 값을 결정하는 단계는 상기 기판의 상이한 구역들에 대해 수행되는, 센서 시스템의 동작 파라미터의 하나 이상의 최적화된 값을 결정하기 위한 방법. The method of claim 1, wherein determining one or more optimized values of the operating parameters based on the comparison is performed for different zones of the substrate to determine one or more optimized values of operating parameters of the sensor system. Way for. 제1항에 있어서, 상기 측정 파라미터는 마크의 측정된 위치이고 상기 품질 파라미터는 마크-대-디바이스 시프트이며, 상기 동작 파라미터의 최적화된 값은 기판-대-기판 변동이 최소화되게 하기 위해 상기 품질 파라미터를 최적화하도록 결정되는, 센서 시스템의 동작 파라미터의 하나 이상의 최적화된 값을 결정하기 위한 방법. The quality parameter of claim 1, wherein the measurement parameter is a measured position of a mark, the quality parameter is a mark-to-device shift, and an optimized value of the operating parameter is to minimize substrate-to-substrate variation. A method for determining one or more optimized values of an operating parameter of a sensor system, which is determined to optimize. 제1항에 있어서, 기판의 프로세싱을 제어하는 데에 이용되는 계측 시스템의 동작 파라미터를 최적화하기 위해, 상기 센서 시스템은 프로세싱 전에 기판의 제1 특성을 측정하도록 구성되는 제1 측정 시스템과 연관된 제1 센서 시스템 및 프로세싱 후에 상기 기판의 제2 특성을 측정하도록 구성되는 제2 측정 시스템과 연관된 제2 센서 시스템을 포함하고, 상기 방법은:
상기 동작 파라미터의 복수의 값에 대해, 상기 제1 센서 시스템을 사용하여 획득된 상기 복수의 기판에 대한 측정 파라미터의 제1 세트를 결정하는 단계;
상기 동작 파라미터의 복수의 값에 대해, 상기 제2 센서 시스템을 사용하여 획득된 상기 복수의 기판에 대한 측정 파라미터의 제2 세트를 결정하는 단계; 및
상기 품질 파라미터의 기판-대-기판 변동과, 상기 측정 파라미터의 제1 세트 및 제2 세트 각각에 대한 측정 파라미터의 맵핑의 기판-대-기판 변동을 비교하는 단계를 포함하고,
상기 동작 파라미터의 하나 이상의 최적화된 값을 결정하는 단계는, 상기 제1 측정 시스템과 연관된 동작 파라미터의 제1 세트 및 상기 제2 측정 시스템과 연관된 동작 파라미터의 제2 세트를 동시에 최적화하는 단계를 포함하며, 최적화는 상기 제2 특성의 기판-대-기판 변동을 완화시키는, 센서 시스템의 동작 파라미터의 하나 이상의 최적화된 값을 결정하기 위한 방법.
The sensor system of claim 1, wherein the sensor system is configured to measure a first characteristic of the substrate prior to processing to optimize operating parameters of the metrology system used to control the processing of the substrate. A second sensor system associated with a sensor system and a second measurement system configured to measure a second characteristic of the substrate after processing, the method comprising:
Determining, for a plurality of values of the operating parameter, a first set of measurement parameters for the plurality of substrates obtained using the first sensor system;
For a plurality of values of the operating parameter, determining a second set of measurement parameters for the plurality of substrates obtained using the second sensor system; And
Comparing the substrate-to-substrate variation of the quality parameter and the substrate-to-substrate variation of the mapping of the measurement parameter to each of the first set and the second set of measurement parameters,
Determining one or more optimized values of the operational parameters includes simultaneously optimizing a first set of operational parameters associated with the first measurement system and a second set of operational parameters associated with the second measurement system. And optimization mitigates the substrate-to-substrate variation of the second characteristic, a method for determining one or more optimized values of operating parameters of a sensor system.
제1항에 있어서, 상기 품질 파라미터 및 상기 측정 파라미터는 복수의 기판과 연관된 특정 층과 연관되고, 상기 특정 층은:
i) 상기 특정 층과 연관된 상기 품질 파라미터의 제1 기판-대-기판 변동; 및
ii) 상기 특정 층과 연관된 상기 측정 파라미터들 사이의 변동의 제2 기판-대-기판 변동의 평가에 기초하여 선택되는 것인, 센서 시스템의 동작 파라미터의 하나 이상의 최적화된 값을 결정하기 위한 방법.
The method of claim 1, wherein the quality parameter and the measurement parameter are associated with a specific layer associated with a plurality of substrates, the specific layer:
i) a first substrate-to-substrate variation of the quality parameter associated with the particular layer; And
ii) a method for determining one or more optimized values of operating parameters of a sensor system, which is selected based on an evaluation of a second substrate-to-substrate variation of variation between the measurement parameters associated with the particular layer.
제12항에 있어서, 상기 특정 층은 상기 제1 기판-대-기판 변동 및 상기 제2 기판-대-기판 변동이 임계치를 초과하는 경우에 선택되는, 센서 시스템의 동작 파라미터의 하나 이상의 최적화된 값을 결정하기 위한 방법. The one or more optimized values of the operating parameters of the sensor system of claim 12, wherein the specific layer is selected when the first substrate-to-substrate variation and the second substrate-to-substrate variation exceed a threshold. How to determine. 반도체 제조 프로세스의 상태를 결정하기 위한 방법으로서,
a. 제1항에 따른 방법을 이용하여 동작 파라미터의 최적화된 값을 획득하는 단계;
b. 상기 동작 파라미터의 복수의 값에 대해, 상기 센서 시스템을 사용하여 획득된 추가적인 기판에 대한 측정 파라미터를 획득하는 단계;
c. 상기 측정 데이터의 예상되는 최소 기판-대-기판 변동과 연관되는 상기 동작 파라미터의 새로운 값을 결정하는 단계; 및
d. 상기 동작 파라미터의 최적화된 값과 상기 새로운 값의 비교에 기초하여 반도체 제조 프로세스의 상태를 결정하는 단계를 포함하는, 반도체 제조 프로세스의 상태를 결정하기 위한 방법.
As a method for determining the state of the semiconductor manufacturing process,
a. Obtaining an optimized value of the operating parameter using the method according to claim 1;
b. Obtaining, for a plurality of values of the operating parameter, measurement parameters for additional substrates obtained using the sensor system;
c. Determining a new value of the operating parameter associated with an expected minimum substrate-to-substrate variation of the measurement data; And
d. And determining a state of a semiconductor manufacturing process based on a comparison of the new value with an optimized value of the operating parameter.
적합한 장치에서 실행될 때, 제1항에 따른 방법을 수행하도록 동작 가능한 프로그램 명령을 포함하는 컴퓨터 프로그램.A computer program comprising program instructions operable to perform the method according to claim 1 when executed on a suitable device.
KR1020207007668A 2017-09-28 2018-09-04 lithographic method KR102378617B1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020227009386A KR102445282B1 (en) 2017-09-28 2018-09-04 Lithographic method

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
EP17193637 2017-09-28
EP17193637.0 2017-09-28
PCT/EP2018/073663 WO2019063245A1 (en) 2017-09-28 2018-09-04 Lithographic method

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020227009386A Division KR102445282B1 (en) 2017-09-28 2018-09-04 Lithographic method

Publications (2)

Publication Number Publication Date
KR20200037860A true KR20200037860A (en) 2020-04-09
KR102378617B1 KR102378617B1 (en) 2022-03-23

Family

ID=59974285

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020227009386A KR102445282B1 (en) 2017-09-28 2018-09-04 Lithographic method
KR1020207007668A KR102378617B1 (en) 2017-09-28 2018-09-04 lithographic method

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020227009386A KR102445282B1 (en) 2017-09-28 2018-09-04 Lithographic method

Country Status (3)

Country Link
JP (2) JP2020535484A (en)
KR (2) KR102445282B1 (en)
CN (1) CN111164515B (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102662778B1 (en) * 2023-08-16 2024-04-30 (주)오로스 테크놀로지 Sampling optimization method and sampling optimization system for overlay measurement apparatus

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN114706281B (en) * 2022-05-18 2023-09-19 圆周率半导体(南通)有限公司 Method for improving exposure uniformity of PCB

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20070008427A (en) * 2005-07-12 2007-01-17 에이에스엠엘 네델란즈 비.브이. Method of selecting a grid model for correcting a process recipe for grid deformations in a lithographic apparatus and lithographic assembly using the same
JP2012059853A (en) * 2010-09-08 2012-03-22 Nikon Corp Detection condition optimization method, program creation method, exposure device and mark detection device
WO2017009036A1 (en) * 2015-07-13 2017-01-19 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
WO2017032534A2 (en) * 2015-08-27 2017-03-02 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
JP2017122920A (en) * 2011-04-06 2017-07-13 ケーエルエー−テンカー コーポレイション Method for providing quality metric for improved process control

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH1022207A (en) * 1996-07-08 1998-01-23 Nikon Corp Position detector
US6737208B1 (en) * 2001-12-17 2004-05-18 Advanced Micro Devices, Inc. Method and apparatus for controlling photolithography overlay registration incorporating feedforward overlay information
JP4095391B2 (en) * 2002-09-24 2008-06-04 キヤノン株式会社 Position detection method
JP4072407B2 (en) * 2002-09-24 2008-04-09 キヤノン株式会社 Exposure method
EP3048637B1 (en) * 2004-04-23 2017-08-30 Nikon Corporation Exposure apparatus and expsure method
JP2009145681A (en) * 2007-12-14 2009-07-02 Hitachi Displays Ltd Method of manufacturing display device
JP2011066323A (en) * 2009-09-18 2011-03-31 Toshiba Corp Method for correction of exposure treatment
JP2011159753A (en) * 2010-01-29 2011-08-18 Nikon Corp Detection-condition optimization method, program preparation method, and exposure device
NL2009719A (en) * 2011-12-02 2013-06-05 Asml Netherlands Bv Alignment mark deformation estimating method, substrate position predicting method, alignment system and lithographic apparatus.
US10210606B2 (en) * 2014-10-14 2019-02-19 Kla-Tencor Corporation Signal response metrology for image based and scatterometry overlay measurements

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20070008427A (en) * 2005-07-12 2007-01-17 에이에스엠엘 네델란즈 비.브이. Method of selecting a grid model for correcting a process recipe for grid deformations in a lithographic apparatus and lithographic assembly using the same
JP2012059853A (en) * 2010-09-08 2012-03-22 Nikon Corp Detection condition optimization method, program creation method, exposure device and mark detection device
JP2017122920A (en) * 2011-04-06 2017-07-13 ケーエルエー−テンカー コーポレイション Method for providing quality metric for improved process control
WO2017009036A1 (en) * 2015-07-13 2017-01-19 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
WO2017032534A2 (en) * 2015-08-27 2017-03-02 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102662778B1 (en) * 2023-08-16 2024-04-30 (주)오로스 테크놀로지 Sampling optimization method and sampling optimization system for overlay measurement apparatus

Also Published As

Publication number Publication date
JP2022164661A (en) 2022-10-27
CN111164515B (en) 2022-03-22
JP7465912B2 (en) 2024-04-11
KR102445282B1 (en) 2022-09-19
JP2020535484A (en) 2020-12-03
KR20220041955A (en) 2022-04-01
KR102378617B1 (en) 2022-03-23
CN111164515A (en) 2020-05-15

Similar Documents

Publication Publication Date Title
US10962887B2 (en) Lithographic method
US20210165399A1 (en) Determining a correction to a process
KR102330321B1 (en) Methods and apparatus for calculating substrate model parameters and controlling lithographic processing
KR20180070658A (en) Method and apparatus for reducing the influence of nonlinear behavior
JP7465912B2 (en) Method and computer program for determining conditions of a semiconductor manufacturing process - Patents.com
KR20180027578A (en) Lithographic apparatus and device manufacturing method
US10558130B2 (en) Methods for controlling lithographic apparatus, lithographic apparatus and device manufacturing method
JP7090650B2 (en) How to find the deformation
US20220236647A1 (en) Method for controlling a semiconductor manufacturing process
NL2017296A (en) Methods for controlling lithographic apparatus, lithographic apparatus and device manufacturing method
US10845719B2 (en) Methods for controlling lithographic apparatus, lithographic apparatus and device manufacturing method
US11994845B2 (en) Determining a correction to a process

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant