KR20190117710A - 에치 바이어스 특성 묘사 및 그 사용 방법 - Google Patents

에치 바이어스 특성 묘사 및 그 사용 방법 Download PDF

Info

Publication number
KR20190117710A
KR20190117710A KR1020197027635A KR20197027635A KR20190117710A KR 20190117710 A KR20190117710 A KR 20190117710A KR 1020197027635 A KR1020197027635 A KR 1020197027635A KR 20197027635 A KR20197027635 A KR 20197027635A KR 20190117710 A KR20190117710 A KR 20190117710A
Authority
KR
South Korea
Prior art keywords
etch
pattern
plasma species
bias
substrate
Prior art date
Application number
KR1020197027635A
Other languages
English (en)
Other versions
KR102257919B1 (ko
Inventor
용파 판
레이우 정
무 펭
치안 자오
젠-시앙 왕
Original Assignee
에이에스엠엘 네델란즈 비.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠엘 네델란즈 비.브이. filed Critical 에이에스엠엘 네델란즈 비.브이.
Priority to KR1020217015472A priority Critical patent/KR102376256B1/ko
Publication of KR20190117710A publication Critical patent/KR20190117710A/ko
Application granted granted Critical
Publication of KR102257919B1 publication Critical patent/KR102257919B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/80Etching
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70625Dimensions, e.g. line width, critical dimension [CD], profile, sidewall angle or edge roughness
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/30Structural arrangements specially adapted for testing or measuring during manufacture or treatment, or specially adapted for reliability measurements
    • H01L22/34Circuits for electrically characterising or monitoring manufacturing processes, e. g. whole test die, wafers filled with test structures, on-board-devices incorporated on each die, process control monitors or pad structures thereof, devices in scribe line
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions

Abstract

방법은, 에치 바이어스 모델에 기초하여 패턴화 프로세스의 에치 단계를 사용하여 에치될 패턴에 대한 에치 바이어스를 결정하는 것 - 에치 바이어스 모델은 패턴의 공간 속성과 관련되는 또는 에치 단계의 에치 플라즈마 종 농도와 관련되는 변수를 가지며, 에치 단계의 에치 시간에 적합되는 또는 기초하는 파라미터의 거듭제곱에 대한 자연 지수 함수를 포함하는 수학적 항을 포함하는 공식을 포함함 - ; 및 결정된 에치 바이어스에 기초하여 패턴화 프로세스를 조정하는 것을 수반한다.

Description

에치 바이어스 특성 묘사 및 그 사용 방법
관련 출원에 대한 교차 참조
본 출원은 2017년 2월 24일자로 출원된 발명의 명칭이 "Etch Bias Characterization and Method of Using the Same"인 미국 가출원 번호 제62/463,556호에 기초하고 그 우선권을 주장하는데, 상기 가출원의 개시는 참조에 의해 그 전체가 본원에 통합된다.
분야
본 발명은 일반적으로 디바이스 제조 및 디바이스 제조 프로세스를 구성 및 평가하는 방법에 관한 것이다.
리소그래피 장치는 기판의 타겟 부분 상으로 소망되는 패턴을 적용하는 머신이다. 리소그래피 장치는, 예를 들면, 집적 회로(integrated circuit; IC)의 제조에서 사용될 수 있다. 그 상황에서, IC의 개개의 층에 대응하는 패턴을 생성하기 위해, 대안적으로 마스크 또는 레티클로 칭해지는 패턴화 디바이스가 사용될 수도 있고, 이 패턴은, 방사선 감응성 재료(포토레지스트와 같은 레지스트)의 층을 갖는 기판(예를 들면, 실리콘 웨이퍼) 상의 타겟 부분(예를 들면, 하나의 또는 여러 개의 다이의 부분을 포함함) 상으로 이미지화될 수 있다. 일반적으로, 단일의 기판은, 연속적으로 노출되는 인접한 타겟 부분의 네트워크를 포함할 것이다. 공지된 리소그래피 장치는, 전체 패턴을 타겟 부분 상으로 한 번에 노출시키는 것에 의해 각각의 타겟 부분이 조사되는(irradiated) 소위 스테퍼(steppers), 및 주어진 방향("스캐닝" 방향)에서, 이 방향과 평행하게 또는 반평행하게 기판을 동시에 스캐닝하면서, 빔을 통해 패턴을 스캐닝하는 것에 의해 각각의 타겟 부분이 조사되는 소위 스캐너를 포함한다.
패턴화 디바이스로부터 기판으로 패턴을 전사하기 이전에, 기판은 프라이밍(priming), 레지스트 코팅 및 소프트 베이크(soft bake)와 같은 다양한 프로시져를 거칠 수도 있다. 노광 이후, 기판은 노광 후 베이크(post-exposure bake; PEB), 현상, 하드 베이크(hard bake) 및 전사된 패턴의 측정/검사와 같은 다른 프로시져에 노출될 수도 있다. 이 프로시져의 어레이는, 디바이스, 예를 들면, IC의 개개의 층을 만들기 위한 기초로 사용된다. 그 다음, 기판은 에칭, 이온 주입(도핑), 금속화(metallization), 산화, 화학적 기계적 연마, 등등과 같은 다양한 프로세스를 거칠 수도 있는데, 이들 모두는 디바이스의 개개의 층을 마무리하도록 의도된다. 디바이스에서 여러 개의 층이 필요한 경우, 전체 프로시져 또는 그의 변형이 각각의 층에 대해 반복된다. 결국, 디바이스가 기판 상의 각각의 타겟 부분에 존재할 것이다. 그 다음, 이들 디바이스는 다이싱 또는 쏘잉과 같은 기술에 의해 서로 분리되고, 그러므로, 개개의 디바이스는 캐리어 상에 장착될 수 있거나, 핀에 연결될 수 있거나, 등등으로 될 수 있다. 용어 기판이 기저의 베이스(예를 들면, 실리콘)를 포괄하지만, 그것은 또한, 적용 가능한 경우, 베이스 위에 놓이는 하나 이상의 층을 포괄할 수 있다. 따라서, 기판 안으로 또는 기판 상으로 패턴을 전사하는 것은, 기판 상의 하나 이상의 층 상으로의 패턴의 전사를 포함할 수 있다.
따라서, 반도체 디바이스와 같은 디바이스를 제조하는 것은, 통상적으로, 다수의 제조 프로세스를 사용하여 기판(예를 들면, 반도체 웨이퍼)을 프로세싱하여 디바이스의 다양한 피쳐(feature) 및 다수의 층을 형성하는 것을 수반한다. 그러한 층 및 피쳐는, 통상적으로, 예를 들면, 퇴적, 리소그래피, 에치, 화학적 기계적 연마, 및 이온 주입을 사용하여 제조되고 프로세싱된다. 다수의 디바이스가 기판 상의 복수의 다이 상에 제조될 수도 있고, 그 다음, 개개의 디바이스로 분리될 수도 있다. 이 디바이스 제조 프로세스는 패턴화 프로세스로 간주될 수도 있다. 패턴화 프로세스는, 패턴화 디바이스 상의 패턴을 기판으로 전사하기 위한 패턴화 단계, 예컨대 리소그래피 장치에서 패턴화 디바이스를 사용하는 광학적 및/또는 나노임프린트 리소그래피를 수반하지만, 그러나 옵션 사항으로(optionally), 하나 이상의 관련된 패턴 프로세싱 단계, 예컨대 현상 장치에 의한 레지스트 현상, 베이킹 툴을 사용하는 기판의 베이킹, 에치 장치를 사용하는 패턴을 사용하는 에칭, 등등을 수반한다.
패턴화 프로세스의 에치 바이어스(etch bias)는, 디바이스 패턴의 타겟 치수의 세트로부터의 디바이스 패턴의 편차를 야기할 수도 있다. 에치 바이어스를 결정하는 것은, 예를 들면, 디바이스 패턴의 복잡성, 디바이스 패턴의 스케일, 디바이스 패턴을 패턴화 재료의 층으로부터 기판으로 전사하는 에치 프로세스에서 발생할 수도 있는 화학적 프로세스, 및/또는 디바이스 패턴이 디바이스 패턴으로 에치될 때 기판의 측벽 상에 형성될 수도 있는, 일시적인 또는 그렇지 않은 코팅 때문에, 계산상 복잡할 수도 있다.
따라서, 기판 상의 한 층의 디바이스 패턴을 기판으로 전사하는 에치 프로세스 동안 디바이스 패턴 치수에서의 변화를 결정하는 하나 이상의 방법이 제공된다.
본 개시의 양태는, 에칭에 의해 형성되는 디바이스의 복수의 사이트(site)에서, 하나 이상의 치수, 디바이스 패턴의 일부(예를 들면, 에지)의 하나 이상의 위치, 등등과 같은 디바이스 패턴의 하나 이상의 공간 속성(property)에 대한 값의 세트를 수집하는 것, 컴퓨팅 디바이스를 사용하여, 하나 이상의 적합 파라미터(fitting parameter)의 세트를 갖는 수학적 모델을 공간 속성의 세트에 적합시키는 것, 파라미터로 표현된 모델(parameterized model) - 모델은, 위치에서의 디바이스 패턴의 공간 속성과 관련되는 변수 및/또는 플라즈마 종 농도(plasma species concentration), 및 에치 프로세스 동안의 에치 시간(예를 들면, 에치 시간의 함수)에 기초하는 파라미터의 거듭제곱(power)에 대한 자연 지수 함수와 관련되는 수학적 항(mathematical term)을 포함하는 공식(formula)을 포함함 - 에 기초하여, 에치 프로세스에 대한 디바이스 패턴의 적어도 하나의 위치에서의 디바이스 패턴에 대한 에치 바이어스를 계산하는 것, 및 계산된 에치 바이어스에 기초하여 패턴화 프로세스를 조정하는 것(예를 들면, 패턴화 프로세스의 일부로서 디바이스 패턴을 형성하기 위해 사용되는 패턴화 디바이스의 영역의 경계를 조정하는 것)에 관한 것이다.
한 실시형태에서, 다음의 것을 포함하는 방법이 제공된다: 하드웨어 컴퓨터에 의해, 에치 바이어스 모델에 기초하여 패턴화 프로세스의 에치 단계를 사용하여 에치될 패턴에 대한 에치 바이어스를 결정하는 것 - 에치 바이어스 모델은 패턴의 공간 속성과 관련되는 또는 에치 단계의 에치 플라즈마 종 농도와 관련되는 변수를 포함하고, 에치 단계의 에치 시간에 적합되는 또는 기초하는 파라미터의 거듭제곱에 대한 자연 지수 함수를 포함하는 수학적 항을 포함하는 공식을 포함함 - ; 및 결정된 에치 바이어스에 기초하여 패턴화 프로세스를 조정하는 것.
한 실시형태에서, 다음의 것을 포함하는 방법이 제공된다: 하드웨어 컴퓨터에 의해, 에치 바이어스 모델에 기초하여 패턴화 프로세스의 에치 단계를 사용하여 에치될 패턴에 대한 에치 바이어스를 결정하는 것 - 에치 바이어스 모델은 에치 플라즈마 종 농도 및 패턴화 재료 농도의 함수를 포함함 - ; 및 결정된 에치 바이어스에 기초하여 패턴화 프로세스를 조정하는 것.
한 실시형태에서, 명령어가 기록된 비일시적 컴퓨터 판독 가능 매체를 포함하는 컴퓨터 프로그램 제품이 제공되는데, 그 명령어는 컴퓨터에 의해 실행될 때 본원에서 설명되는 바와 같은 방법을 구현한다.
본 발명의 이들 및 다른 피쳐, 뿐만 아니라, 구조체의 관련 엘리먼트의 기능 및 동작의 방법 및 부품 및 제조의 경제의 조합은, 첨부의 도면을 참조한 하기의 설명 및 첨부된 청구범위의 고려시 더욱 명백하게 될 것인데, 첨부의 도면 모두는 본 명세서의 일부를 형성하며, 첨부의 도면에서, 동일한 참조 번호는 다양한 도면에서의 대응하는 부분을 가리킨다. 그러나, 도면은 단지 예시 및 설명의 목적을 위한 것이며 본 발명의 한계의 정의로서 의도되지 않는다는 것이 명시적으로 이해되어야 한다. 명세서 및 청구범위에 사용될 때, 단수 형태의 "a(한)", "an(한)" 및 "the(그)"는, 문맥 상 명백하게 다르게 지시하지 않는 한, 복수의 지시 대상을 포함한다. 또한, 명세서 및 청구범위에 사용될 때, 용어 "또는"은, 문맥 상 명백하게 다르게 지시하지 않는 한, "및/또는"을 의미한다.
본 발명은, 첨부하는 도면의 도면에서, 제한으로서가 아니라 예로서 예시되면, 첨부의 도면에서 같은 참조 번호는 유사한 엘리먼트를 가리킨다.
도 1은 리소그래피 장치의 실시형태의 개략도를 묘사한다;
도 2는 리소그래피 셀의 실시형태의 개략도를 묘사한다;
도 3은 디바이스의 모델링된 영역의 실시형태의 개략도를 묘사한다;
도 4는 에치 바이어스를 결정하기 위한 방법의 실시형태의 플로우차트를 묘사한다;
도 5는 에치 프로세스 동안 디바이스 피쳐 및 관련 패턴 엘리먼트의 실시형태의 단면도를 묘사한다;
도 6은 디바이스의 실시형태의 모델링된 영역의 개략도를 묘사한다;
도 7은 디바이스의 실시형태의 모델링된 영역의 개략도를 묘사한다; 그리고
도 8은 디바이스의 실시형태의 모델링된 영역의 개략도를 묘사한다.
도 9는 컴퓨터 시스템의 실시형태를 예시하는 블록도를 묘사한다.
도 1은 본원에서 설명되는 기술이 관련하여 활용될 수 있는 리소그래피 장치(lithographic apparatus; LA)를 개략적으로 묘사한다. 장치는, 방사선 빔(B)(예를 들면, 자외선(ultraviolet; UV), 심자외선(deep ultraviolet; DUV) 또는 극자외선(extreme ultraviolet; EUV) 방사선)을 컨디셔닝하도록 구성되는 조명 광학 시스템(일루미네이터(illuminator))(IL), 패턴화 디바이스(예를 들면, 마스크(mask))(MA)를 지지하도록 구성되며 소정의 파라미터에 따라 패턴화 디바이스를 정확하게 위치 결정하도록 구성되는 제1 포지셔너(positioner)(PM)에 연결되는 패턴화 디바이스 지지부 또는 지지 구조체(예를 들면, 마스크 테이블(mask table))(MT); 기판(예를 들면, 레지스트 코팅 웨이퍼)(W)을 유지하도록 구성되며 소정의 파라미터에 따라 기판을 정확하게 위치 결정하도록 구성되는 제2 포지셔너(PW)에 연결되는 하나 이상의 기판 테이블(예를 들면, 웨이퍼 테이블)(WTa, WTb); 및 패턴화 디바이스(MA)에 의해 방사선 빔(B)에 부여되는 패턴을 기판(W)의 타겟 부분(C)(예를 들면, 하나 이상의 다이를 포함함) 상으로 투영하도록 구성되는 투영 광학 시스템(예를 들면, 굴절, 반사, 반사 광학(catoptric) 또는 반사굴절(catadioptric) 광학 시스템)(PS)을 포함한다.
조명 광학 시스템은, 방사선을 지향, 성형 또는 제어하기 위한 다양한 타입의 광학 컴포넌트, 예컨대 굴절, 반사, 자기, 전자기, 정전기 또는 다른 타입의 광학 컴포넌트, 또는 이들의 임의의 조합을 포함할 수도 있다. 이 특정한 경우에, 조명 시스템은 또한 방사선 소스(radiation source; SO)를 포함한다.
패턴화 디바이스 지지부는, 패턴화 디바이스의 방위, 리소그래피 장치의 설계, 및 예를 들면, 패턴화 디바이스가 진공 환경에서 유지되는지 또는 그렇지 않은지의 여부와 같은, 다른 조건에 의존하는 방식으로 패턴화 디바이스를 유지한다. 패턴화 디바이스 지지부는, 패턴화 디바이스를 유지하기 위해 기계적, 진공, 정전기 또는 다른 클램핑 기술을 사용할 수 있다. 패턴화 디바이스 지지부는, 예를 들면, 필요에 따라 고정될 수도 있거나 또는 움직일 수도 있는 프레임 또는 테이블일 수도 있다. 패턴화 디바이스 지지부는, 패턴화 디바이스가, 예를 들면, 투영 시스템에 대하여 소망되는 위치에 있는 것을 보장할 수도 있다. 본원에서 용어 "레티클" 또는 "마스크"의 임의의 사용은, 더욱 일반적인 용어 "패턴화 디바이스"와 동의어로서 간주될 수도 있다.
본원에서 사용되는 용어 "패턴화 디바이스"는, 자신의 단면에 패턴을 갖는 방사선 빔을 부여하기 위해 예컨대 기판의 타겟 부분에 패턴을 생성하기 위해 사용될 수 있는 임의의 디바이스를 가리키는 것으로 광의적으로 해석되어야 한다. 예를 들면, 패턴이 위상 시프팅 피쳐 또는 소위 보조 피쳐를 포함하는 경우, 방사선 빔에 부여되는 패턴은 기판의 타겟 부분에서의 소망되는 패턴에 정확하게 대응하지 않을 수도 있다는 것을 유의해야 한다. 일반적으로, 방사선 빔에 부여되는 패턴은, 집적 회로와 같은, 타겟 부분에서 생성되고 있는 디바이스의 특정한 기능 층에 대응할 것이다.
패턴화 디바이스는 투과성 또는 반사성일 수도 있다. 패턴화 디바이스의 예는, 마스크, 프로그래머블 미러 어레이(programmable mirror array), 및 프로그래머블 LCD 패널을 포함한다. 마스크는 리소그래피에서 널리 알려져 있으며, 이진의, 교대하는 위상 시프트, 및 감쇠 위상 시프트와 같은 마스크 타입뿐만 아니라, 다양한 하이브리드 마스크 타입을 포함한다. 프로그래머블 미러 어레이의 예는, 작은 미러의 매트릭스 배열을 활용하는데, 그 각각은 입사 방사선 빔을 상이한 방향으로 반사시키기 위해 개별적으로 기울어질 수 있다. 기울어진 미러는, 미러 매트릭스에 의해 반사되는 방사선 빔에 패턴을 부여한다. 다른 예로서, 패턴화 디바이스는 LCD 매트릭스를 포함한다.
여기에서 묘사되는 바와 같이, 장치는 (예를 들면, 투과성 패턴화 디바이스를 활용하는) 투과 타입을 갖는다. 그러나, 장치는 (예를 들면, 위에서 언급되는 바와 같은 타입의 프로그래머블 미러 어레이를 활용하거나, 또는 (예를 들면, EUV 시스템의 경우) 반사 마스크를 활용하는) 반사 타입을 가질 수도 있다.
리소그래피 장치는 또한, 투영 시스템과 기판 사이의 공간을 채우기 위해, 기판의 적어도 일부가 상대적으로 높은 굴절률을 갖는 액체, 예를 들면, 물에 의해 덮일 수도 있는 타입을 가질 수도 있다. 침지 액체는 또한, 리소그래피 장치의 다른 공간, 예를 들면, 마스크와 투영 시스템 사이에 적용될 수도 있다. 투영 시스템의 개구수(numerical aperture)를 증가시키기 위한 침지 기술은 기술 분야에 잘 알려져 있다. 본원에서 사용되는 바와 같은 용어 "침지"는, 기판과 같은 구조체가 액체에 잠겨야 한다는 것을 의미하는 것이 아니라, 오히려, 단지, 노광 동안 액체가 투영 시스템과 기판 사이에 위치된다는 것을 의미한다.
도 1을 참조하면, 일루미네이터(IL)는 방사선 소스(SO)(예를 들면, 수은 램프 또는 엑시머 레이저, LPP(laser produced plasma; 레이저 생성 플라즈마) EUV 소스)로부터 방사선 빔을 수신한다. 예를 들면, 소스가 엑시머 레이저인 경우, 소스 및 리소그래피 장치는 별개의 엔티티일 수도 있다. 그러한 경우에, 소스는 리소그래피 장치의 일부를 형성하는 것으로 간주되지 않으며, 방사선 빔은, 예를 들면, 적절한 지향 미러 및/또는 빔 확장기(beam expander)를 포함하는 빔 전달 시스템(BD)의 도움으로 소스(SO)로부터 일루미네이터(IL)로 전달된다. 다른 경우에, 소스는, 예를 들면, 소스가 수은 램프인 경우, 리소그래피 장치의 필수 부분일 수도 있다. 소스(SO) 및 일루미네이터(IL)는, 필요한 경우 빔 전달 시스템(BD)과 함께, 방사선 시스템으로 칭해질 수도 있다.
일루미네이터(IL)는, 방사선 빔의 공간적 및/또는 각도의 강도 분포를 조정하도록 구성되는 조정기(adjuster; AD)를 포함할 수도 있다. 일반적으로, 일루미네이터의 동공 평면에서의 강도 분포의 적어도 외부 및/또는 내부 반경 방향 범위(일반적으로, 각각, σ-외부 및 σ-내부로 지칭됨)가 조정될 수 있다. 또한, 일루미네이터(IL)는 적분기(IN) 및 집광기(condenser)(CO)와 같은 다양한 다른 컴포넌트를 포함할 수도 있다. 일루미네이터는, 방사선 빔을, 그 단면에서 소망되는 균일성 및 강도 분포를 가지도록 컨디셔닝하기 위해 사용될 수도 있다.
방사선 빔(B)은, 패턴화 디바이스 지지부(예를 들면, 마스크 테이블)(MT) 상에 유지되는 패턴화 디바이스(예를 들면, 마스크)(MA) 상에 입사하며, 패턴화 디바이스에 의해 패턴화된다. 패턴화 디바이스(예를 들면, 마스크)(MA)를 관통한 이후, 방사선 빔(B)은 투영 광학 시스템(PS)을 통과하는데, 투영 광학 시스템(PS)은 빔을 기판(W)의 타겟 부분(C) 상으로 포커싱하고, 그에 의해, 타겟 부분(C) 상에 패턴의 이미지를 투영한다. 제2 포지셔너(PW) 및 위치 센서(IF)(예를 들면, 간섭계 디바이스, 선형 인코더, 2-D 인코더 또는 용량성 센서)의 도움으로, 기판 테이블(WT)은, 예를 들면, 방사선 빔(B)의 경로에서 상이한 타겟 부분(C)을 위치 결정하기 위해 정확하게 이동될 수 있다. 유사하게, 제1 포지셔너(PM) 및 다른 위치 센서(이것은 도 1에 명시적으로 묘사되지 않음)는, 예를 들면, 마스크 라이브러리로부터의 기계적 회수 이후, 또는 스캔 동안, 방사선 빔(B)의 경로에 대해 패턴화 디바이스(예를 들면, 마스크)(MA)를 정확하게 위치 결정하기 위해 사용될 수 있다.
패턴화 디바이스(예를 들면, 마스크)(MA) 및 기판(W)은 패턴화 디바이스 정렬 마크(M1, M2) 및 기판 정렬 마크(P1, P2)를 사용하여 정렬될 수도 있다. 비록 예시되는 바와 같은 기판 정렬 마크가 전용 타겟 부분을 점유하지만, 그들은 타겟 부분 사이의 공간에 위치될 수도 있다(이들은 스크라이브 레인 정렬 마크로 알려져 있음). 유사하게, 하나보다 더 많은 다이가 패턴화 디바이스(예를 들면, 마스크)(MA) 상에 제공되는 상황에서, 패턴화 디바이스 정렬 마크는 다이 사이에 위치될 수도 있다. 작은 정렬 마커(alignment marker)가 또한, 디바이스 피쳐 중에서, 다이 내에 포함될 수도 있는데, 이 경우, 마커는 가능한 작아야 하고 인접한 피쳐와는 상이한 이미징 또는 프로세스 조건을 하나도 요구하지 않아야 하는 것이 바람직하다. 정렬 마커를 검출하는 정렬 시스템은 하기에서 추가로 설명된다.
이 예에서의 리소그래피 장치(LA)는, 두 개의 기판 테이블(WTa, WTb) 및 두 개의 스테이션 - 노광 스테이션 및 측정 스테이션 - 을 구비하는 소위 듀얼 스테이지 타입을 갖는데, 두 개의 스테이션 사이에서, 기판 테이블이 교환될 수 있다. 하나의 기판 테이블 상의 하나의 기판이 노광 스테이션에서 노출되는 동안, 다른 기판은 측정 스테이션에서 다른 기판 테이블 상으로 로딩될 수 있고 다양한 준비 단계가 수행될 수 있다. 준비 단계는, 레벨 센서(level sensor; LS)를 사용하여 기판의 표면 제어를 매핑하는 것, 정렬 센서(alignment sensor; AS)를 사용하여 기판 상의 정렬 마커의 위치를 측정하는 것, 임의의 다른 타입의 계측 또는 검사를 수행하는 것, 등등을 포함할 수도 있다. 이것은 장치의 스루풋에서 상당히 증가를 가능하게 한다. 더 일반적으로, 리소그래피 장치는 두 개 이상의 테이블(예를 들면, 두 개 이상의 기판 테이블, 기판 테이블 및 측정 테이블, 두 개 이상의 패턴화 디바이스 테이블, 등등)을 갖는 타입을 가질 수도 있다. 그러한 "다중 스테이지" 디바이스에서, 복수의 다중 테이블이 병렬로 사용될 수도 있거나, 또는 하나 이상의 다른 테이블이 노광을 위해 사용되는 동안 하나 이상의 테이블에 대해 준비 단계가 수행될 수도 있다.
레벨 센서(LS) 및 정렬 센서(AS)가 기판 테이블(WTb)에 인접하여 도시되지만, 추가적으로 또는 대안적으로, 레벨 센서(LS) 및 정렬 센서(AS)는, 기판 테이블(WTa)과 관련하여 측정하기 위해, 투영 시스템(PS)에 인접하여 제공될 수 있다는 것이 인식될 것이다.
묘사된 장치는, 예를 들면, 스텝 모드 또는 스캔 모드를 비롯한, 다양한 모드에서 사용될 수 있다. 리소그래피 장치의 구성 및 동작은 기술 분야의 숙련된 자에게 널리 공지되어 있으며 본 발명의 실시형태의 이해를 위해 추가로 설명될 필요는 없다.
도 2에서 도시되는 바와 같이, 리소그래피 장치(LA)는 리소그래피 셀(lithographic cell; LC) 또는 리소 셀 또는 클러스터로 지칭되는, 리소그래피 시스템의 일부를 형성한다. 리소그래피 셀(LC)은 또한, 기판 상에서 노광 이전 및 노광 이후 프로세스를 수행할 장치를 포함할 수도 있다. 종래에, 이들은 레지스트 층을 퇴적하기 위한 스핀 코터(spin coater; SC), 노출된 레지스트를 현상하기 위한 현상액(developer; DE), 냉각 플레이트(chill plate; CH) 및 베이크 플레이트(bake plate; BK)를 포함한다. 기판 핸들러, 또는 로봇(RO)은, 입력/출력 포트(I/O1, I/O2)로부터 기판을 픽업하고, 그들을 상이한 프로세스 장치 사이에서 이동시키고, 그 다음, 리소그래피 장치의 로딩 베이(loading bay; LB)로 전달한다. 종종 집합적으로 트랙으로 지칭되는 이들 디바이스는, 감독 제어 시스템(supervisory control system; SCS)에 의해 자체 제어되는 트랙 제어 유닛(TCU)의 제어 하에 있는데, 감독 제어 시스템(SCS)은 또한 리소그래피 제어 유닛(LACU)을 통해 리소그래피 장치를 제어한다. 따라서, 상이한 장치는 스루풋 및 프로세싱 효율성을 최대화하도록 동작될 수 있다.
패턴화 프로세스의 실시형태에서, 디바이스 패턴은 패턴화 디바이스로부터 기판(예를 들면, 반도체 기판) 상의 패턴화 재료(예를 들면, 레지스트)의 층으로 전사될 수도 있다. 패턴화 재료의 층 내의 디바이스 패턴은 패턴 전사 프로세스에 의해 패턴화 재료 아래의 재료로 전사될 수도 있다. 한 실시형태에서, 디바이스 패턴은 에칭과 같은 기판 재료 제거 프로세스에 의해 기판으로 전사될 수도 있다. 한 실시형태에서, 에칭은 플라즈마 에칭을 포함한다. 한 실시형태에서, 플라즈마 에칭은 기판의 표면에 인접한 저압 환경에서 이온화된 및 이온화되지 않은 화학 종의 생성을 수반한다. 플라즈마 에칭은, 기판 재료의 제거를 촉진시키기 위해, 기판의 표면 상으로 몇몇 화학 종을 가속시키는 것을 수반할 수도 있다. 플라즈마에서 발생하는 화학 종에 대한 원자 중 일부의 화학 반응에 의해, 기판의 원자 사이의 화학 결합이 약화될 수도 있다. 에칭 프로세스 동안 기판 재료 원자의 제거는, 기판 재료를 향해 가속되며 기판 재료를 타격하는 플라즈마 종에 의한 기판 재료로의 운동 에너지의 전달에 의해 향상될 수도 있어서, 이웃하는 기판 재료 원자에 대한 그리고 플라즈마로부터 유래하는 원자 및/또는 분자에 대한 기판 원자의 진동 운동을 촉진할 수도 있다. 기판 재료의 원자는, 기판 재료로 전달되는 진동 에너지가 기판 재료 원자 사이의 하나 이상의 결합을 끊은 이후 에칭 플라즈마에서 발생하는 원자/분자에 화학적으로 결합될 수도 있고, 한편, 플라즈마 종과 자유롭게 된 기판 재료 원자 사이의 결합은 유지된다. 한 실시형태에서, 에치 레이트(etch rate)는 마스킹 템플릿으로서 사용되는 패턴 엘리먼트 위의 플라즈마의 밀도에 의존할 수도 있다. 한 실시형태에서, 에치 레이트는 또한, 기판 재료의 온도 및 기판을 타격하도록 가속될 수도 있는 플라즈마 종의 운동 에너지에 의존할 수도 있다. 명확화의 목적을 위해, 본 출원이 플라즈마 에치(또는 반응성 이온 에치) 프로세스를 수반하는 실시형태를 논의하지만, 디바이스 피쳐를 생성하는 다른 수단도 또한 구상된다.
디바이스 패턴의 패턴 엘리먼트(에치된 디바이스 피쳐에 대응함), 또는 패턴 엘리먼트 사이의 치수는, 그러한 패턴 전사 프로세스 동안 변경될 수도 있으며, 따라서, 하나 이상의 관련된 디바이스 피쳐의 치수에서의 변경으로 나타날 수도 있다. 특히, 치수에서의 그러한 변화는, 기판의 주 평면에 본질적으로 평행한 방향으로 발생할 수도 있다. 재료의 제거를 수반하는 에치 프로세스의 맥락에서, 이것은 2차원(2D) 에치 바이어스로 지칭될 수도 있다. 그것은, 기판 재료 제거 동안, 디바이스 피쳐의 수직 치수가 확립되어 있는 동안의 디바이스 피쳐의 측면 에칭이다.
그러나, 예상치 못한 또는 바람직하지 않은 에치 바이어스는, 명세 이외의 성능 파라미터를 갖는 디바이스로 나타날 수도 있다. 예를 들면, 디바이스의 치수는 디바이스의 기능에 관련될 수도 있다. 디바이스 피쳐 치수에서의 변동은, 디바이스의 전기 파라미터를 수정할 수도 있다. 전자 디바이스의 몇몇 파라미터는, 디바이스에서의 트랜지스터의 게이트 길이에 따른 게이트 스위칭의 타이밍, 및/또는 전도성 라인의 저항 및/또는 기생 커패시턴스를 포함하는 디바이스 치수에 민감할 수도 있다. 집적 회로에서 절연성 재료의 절연 파괴(dielectric breakdown)는 또한, 절연성 재료의 치수의 함수일 수도 있다. 따라서, 디바이스의 디바이스 피쳐의, 또는 디바이스 피쳐 사이의 치수를 유지하는 것은, 미리 결정된 명세 내에서 디바이스의 기능성(functionality)을 보장하거나 또는 보존하는 것을 도울 수도 있다.
이제, 에치 바이어스는 패턴화 프로세스에 의해 생성되는 기판 상에서 변할 수도 있다. 예를 들면, 에치 바이어스는 기판 상에 형성되고 있는 디바이스 패턴의 밀도에 따라 변할 수도 있다. 즉, 에치 바이어스는 레지스트 패턴의 분리된 영역과는, 조밀한 영역에서 상이할 수도 있다. 추가적으로 또는 대안적으로, 에치 바이어스는 밀도에 관계없이 기판의 상이한 위치에서 상이할 수도 있다. 예를 들면, 에치 바이어스는 기판의 중앙 부분에서 또는 기판의 에지에서 또는 에지 근처에서 상이할 수도 있다.
에치 바이어스를 수학적으로 모델링하는 것은, 최종 디바이스 피쳐 치수의 생성을 향상시킬 수도 있다. 그러한 모델링의 결과는, 다양한 목적을 위해 사용될 수 있다. 예를 들면, 결과는, 설계를 변경하는 것, 파라미터를 제어하는 것, 등등의 면에서 패턴화 프로세스를 조정하기 위해 사용될 수 있다. 예를 들면, 결과는 패턴화 디바이스에 의해 제공되는 패턴 내의 하나 이상의 엘리먼트의 하나 이상의 공간 속성을 조정하기 위해 사용될 수 있는데, 패턴화 디바이스 패턴은 기판 상에 에칭을 위해 사용될 디바이스 패턴을 생성하기 위해 사용된다. 따라서, 일단 조정된 패턴화 디바이스 패턴이 기판으로 전사되면, 에칭 동안 발생될 것으로 예상되는 에치 바이어스를 보상하기 위해, 에칭 이전에, 기판 상의 디바이스 패턴이 유효하게 조정된다. 다른 예로서, 조사량(dose), 초점, 등등의 조정의 면에서 리소그래피 장치에 대해 하나 이상의 조정이 이루어질 수 있다. 이해될 바와 같이, 더 많은 애플리케이션이 있을 수 있다. 따라서, 에치 바이어스를 보상하는 것은, 하나 이상의 균일한 피쳐 사이즈, 하나 이상의 균일한 전기적 속성, 및/또는 하나 이상의 향상된(예를 들면, 소망되는 결과에 더 가까운) 성능 특성(characteristic)을 갖는 디바이스로 나타날 수도 있다.
또한, 에치 바이어스는, 때때로 기판 상에서의 디바이스의 제조에 유해하지만, 때로는, 기판 상에 바람직한 구조체를 생성하기 위해 사용될 수도 있다. 패턴화 디바이스를 제조할 때 어느 정도의 에치 바이어스를 고려하는 것에 의해, 패턴화 디바이스로부터 기판으로의 패턴 전사 프로세스의 광학적 해상도 한계보다 더 작은 치수를 갖는 디바이스 피쳐를 기판 상의 디바이스에 제조하는 것이 가능할 수도 있다. 따라서, 이와 관련하여, 에치 바이어스의 그러한 모델링된 결과는, 설계를 변경하는 것, 파라미터를 제어하는 것, 등등의 면에서 패턴화 프로세스를 조정하기 위해 사용될 수 있다.
따라서, 에치 프로세스에서 에치 바이어스를 모델링하는 것은, 예를 들면, 에치 바이어스를 보상하는 것에 의해, 예컨대, (예를 들면, 패턴 밀도의 함수로서) 에치 프로세스의 에치 바이어스가 될 수도 있는 것을 (정확하게) 예상하도록 패턴화 디바이스를 재단하는 것에 의해 더욱 정확한 디바이스 피쳐를 생성하는 것을 도울 수 있고, 그 결과, (조정된) 리소그래피 이후 에치 프로세스에 의해 생성되는 실제 피쳐는 소망되는 제품 명세에 더 가까울 수도 있다.
그러나, 에치 바이어스를 모델링하는 것은 시간 소모적이고, 경험적인 작업일 수 있다. 그것은 또한 부정확할 수 있다. 예를 들면, 모델링되는 많은 수의 피쳐 때문에, 에치 바이어스를 모델링하는 것은, 전체 디바이스 패턴 레벨(예를 들면, 전체 칩 레벨)에서 수행될 때, 복잡할 수도 있다. 따라서, 에치 바이어스를 빠르고 정확하게 모델링하여, 예를 들면, 패턴화 디바이스 패턴의 전체 칩 분석을 가능하게 하기 위한 기술이 여기에서 제공된다.
본 개시는, 디바이스를 제조하기 위한 패턴화 디바이스를 제조하는 프로세스에서 사용될 수도 있는 2D 에치 바이어스의 모델링을 수행하기 위한 이론적 프레임워크의 설명을 포함한다. 본원에서의 논의가 에치 및 에치 바이어스에 초점을 맞출 것이지만, 기술의 원리는, 한 층의 패턴을 기판으로 전사하는 다른 패턴 전사 프로세스에 적용될 수 있다.
에치 바이어스는 에치 프로세스가 수행된 이후 기판 상에서 측정될 수도 있다. 에치 바이어스는, 에치 이전의 패턴화 층의 패턴 엘리먼트(디바이스 피쳐에 대응하는 패턴 엘리먼트)의 측면의 부분의 위치와 에치 이후의 패턴 엘리먼트의 측면의 대응하는 부분의 위치 사이의 차이일 수도 있다. 유사하게, 에치 바이어스는, 에치 이전의 디바이스 피쳐(패턴 엘리먼트에 대응하는 디바이스 피쳐)의 측면의 부분의 위치와 에치 이후의 디바이스 피쳐의 측면의 대응하는 부분의 위치 사이의 차이일 수도 있다. 에치 바이어스는, 기판의 에치된 영역과 기판의 에치되지 않은 영역 사이의 계면에서 발생할 수도 있다. 에치 바이어스에서, 디바이스 피쳐 또는 디바이스 피쳐에 대응하는 디바이스 패턴 엘리먼트의 실제 치수 또는 임계 치수(critical dimension; CD)는 에치 이후의 디바이스 피쳐 또는 패턴 엘리먼트의 예상된 또는 임계 치수(CD0)와는 상이할 수도 있다. 실시형태에서, 에치 바이어스는 디바이스 피쳐 상의 다수의 위치에서 정의될 수 있다. 트렌치는 트렌치의 양 측에서 에치 바이어스를 가질 수도 있고, 비아는 비아의 양 측에서 에치 바이어스를 가질 수도 있다. 라인(line)은, 예컨대 finFET(핀펫)의 경우, 양측에서 에치 바이어스를 가질 수도 있어서, 최종 라인 치수를, 에치 프로세스 동안 라인을 마스킹하는 패턴 피쳐보다 더 작게 만들 수도 있다.
에치 바이어스는 다양한 조건에 민감할 수도 있다. 예를 들면, 상기에서 논의되는 바와 같이, 에치 바이어스는 디바이스 패턴에서의 국소적인 패턴 밀도에 민감할 수 있다. 추가적으로 또는 대안적으로, 에치 바이어스는, 에칭 플라즈마에서의 화학 조건에, 및/또는 에칭 프로세스 동안의 기판 및/또는 플라즈마의 온도에 민감할 수도 있다.
도 3은 디바이스 패턴의 모델링된 영역(100)의 실시형태의 개략도를 묘사한다. 모델링된 영역(100)은, 기판의 주 표면 위로 연장되며 및/또는 기판 안으로 함몰되는(recessed) 에치된 디바이스 피쳐에 대응하는 디바이스 패턴 엘리먼트를 포함할 수도 있다. 함몰된 디바이스 피쳐의 예는, 트렌치, 비아, 및/또는 패드 개구를 포함할 수도 있다. 돌출하는 디바이스 피쳐의 예는, 게이트 및/또는 finFET용 라인, 또는 이중 패턴화 리소그래피 시나리오와 호환되는 마스킹 라인을 포함할 수도 있다.
한 실시형태에서, 패턴 엘리먼트(102)는 패턴화 층(예를 들면, 레지스트 층) 내의 트렌치일 수도 있거나, 또는 기판의 상부 표면 위로 연장되는 패턴화 층의 라인일 수도 있다. 본원에서의 논의는 패턴화 층 내의 하나 이상의 패턴 엘리먼트에 초점을 맞출 것이지만, 본원에서의 논의는 또한, 마치 그들이 형성되고 있는 것처럼 뿐만 아니라 그들이 형성된 이후 하나 이상의 에치된 디바이스 피쳐의 면에서 관찰될 수 있을 것이다.
패턴 엘리먼트(102)는 패턴 엘리먼트의 제1 측면(104)과 제2 측면(106) 사이에 위치되는 중심선(103)을 가질 수도 있다. 패턴 엘리먼트(102)가 트렌치인 실시형태에서, 패턴 엘리먼트(102)는 제1 측면(104)과 제2 측면(106) 사이에서 제1 치수(108)를 가질 수도 있는데, 그 치수(108)는 패턴 엘리먼트(102)를 사용하여 기판에서 에칭하는 것에 의해 하나 이상의 디바이스 피쳐를 형성하기 위한 에치 프로세스의 시작시 패턴 엘리먼트의 치수에 대응한다. 패턴 엘리먼트(102)는 제1 측면(104)과 제2 측면(106) 사이에서 제2 치수(110)를 가질 수도 있는데, 그 치수(110)는 패턴 엘리먼트(102)를 사용하여 에칭하는 것에 의해 하나 이상의 디바이스 피쳐가 형성된 이후의 패턴 엘리먼트의 치수에 대응한다. 한 실시형태에서, 패턴 엘리먼트(102)가 트렌치인 경우, 제1 치수(108)는 제2 치수(110)보다 더 작을 수도 있다.
한 실시형태에 따르면, 제1 측면(104)은 에치 프로세스의 시작에서 제1 위치(104A)를 그리고 에치 프로세스의 끝에서 제2 위치(104B)를 가질 수도 있는데, 여기서 제1 위치(104A) 및 제2 위치(104B)는 상이한 위치이다. 트렌치에 관련이 있는 것으로서, 상기에서 설명되는 패턴 엘리먼트(102)의 몇몇 특성은, 기판의 상부 표면 위로 상승하는 라인의 특성으로 마찬가지로 여겨질 수도 있다.
패턴 엘리먼트(102)는 제1 측면(104)에서 제1 에치 바이어스(112A)를 그리고 제2 측면(106)에서 제2 에치 바이어스(112B)를 가질 수도 있다. 제1 에치 바이어스(112A) 및 제2 에치 바이어스(112B)의 합은, 하나 이상의 관련된 디바이스 피쳐의 형성 동안 발생하는 패턴 엘리먼트의 치수 바이어스(또는 임계 치수(CD) 바이어스)일 수도 있다. 제1 에치 바이어스(112A) 및 제2 에치 바이어스(112B)는 상이한 크기를 가질 수도 있거나 또는 실질적으로 동일할 수도 있다. 제1 에치 바이어스(112A)와 제2 에치 바이어스(112B) 사이의 차이는, 제1 측면(104) 및/또는 제2 측면(106) 근처의 패턴 엘리먼트의 밀도에 관련될 수도 있다.
에치 바이어스는, 패턴 엘리먼트의 치수가, 에칭 이후, 에칭 이전보다 더 큰 양의 값(positive)일 수도 있거나, 또는 치수가, 에칭 이후, 에칭 이전보다 더 작은 음의 값(negative)일 수도 있다. 한 실시형태에서, 에치 프로세스에 의해 형성되는 라인은, 라인을 형성하는 에치 프로세스 동안, 에치 프로세스가 라인의 측벽을 그 중심 부분을 향해 횡방향으로 함몰시키는 경우, 에칭 이후 음의 값의 에치 바이어스를 가질 수도 있다. 한 실시형태에서, 에치 프로세스에 의해 형성되는 트렌치는, 에치 프로세스가 라인의 측벽을 트렌치의 중앙 부분으로부터 횡방향으로 멀어지게 함몰시키는 경우, 에칭 이후 양의 값의 에치 바이어스를 가질 수도 있다.
한 실시형태에서, 패턴 엘리먼트(102)는, 제1 위치(104A 및 106A)에 각각 있는 제1 측면(104)과 제2 측면(106) 사이에서, 그리고 패턴 엘리먼트의 길이(115) 내에서 측정되는 초기 영역(114A)을 가질 수도 있다. 한 실시형태에서, 최종 영역(114B)은 제2 위치(104B 및 106B) 사이에서 각각 측정될 수도 있다. 실시형태에 따라, 초기 영역(114A)은 최종 영역(114B)보다 더 작을 수도 있거나 및/또는 더 클 수도 있다. 초기 영역(114A) 및 최종 영역(114B)은, 기판 재료 안으로 함몰되는 영역, 또는 기판 재료로부터 돌출하는 영역을 설명하는 디바이스 피쳐의 에치된 영역일 수도 있다.
패턴 엘리먼트(102)는, 패턴 엘리먼트의 측면 상에 평가 포인트(116)를 가질 수도 있다. 도 3은 제1 위치(106A)에 있는 제2 측면(106) 상의 평가 포인트(116)를 도시한다. 소정량의 에치 시간 및 관련된 에치 바이어스 이후, 제2 측면(106)은 평가 포인트(124)에 위치될 수도 있다. 따라서, 에치 바이어스는 평가 포인트(116/124)와 관련하여 하나 이상의 공간 속성의 측정치를 사용하여 측정될 수 있다. 간단한 예로서, 측정 사이트에서의 측정은, 에치 이전에 측정될 수 있는 평가 포인트(116)의 위치 및 에치 이후에 측정될 수 있는 평가 포인트(124)의 위치를 측정하는 것을 수반할 수도 있다. 다른 예로서, 패턴 엘리먼트(102)의 가로 치수는 에치 이전 및 에치 이후에 측정될 수도 있다. 또 다른 예로서, 디바이스 패턴의 치수(118)는, 패턴 엘리먼트 사이에서, 예컨대 제2 패턴 엘리먼트(122) 상의 패턴 엘리먼트(102)와 평가 포인트(120) 사이에서 측정될 수도 있다. 따라서, 디바이스 패턴에서의 예시적인 측정 사이트는, 평가 포인트, 예컨대 평가 포인트(116)와 평가 포인트(120) 사이에서 연장될 수도 있다. 이 치수는 에치 이전 및 그 다음 에치 이후에 측정될 수 있다. 기판 상의 디바이스 패턴은, 디바이스 패턴을 상부에 갖는 기판을 에칭한 이후의 에치 바이어스를 비롯한, 하나 이상의 공간 속성의 값을 측정하기 위한 복수의 측정 사이트(또는 위치)를 디바이스 패턴 내에 가질 수도 있다. 측정 사이트에서의 측정된 디바이스 패턴 공간 속성은, 에치 바이어스를 계산하기 위한 디바이스 패턴의 하나 이상의 공간 속성의 값의 세트에 추가될 수도 있다. 에치 바이어스 계산은, 제조 프로세스 또는 제품 개발 프로세스의 일부로서, 자동화된 프로세스에서 컴퓨팅 디바이스에서 수행될 수도 있다.
도 4는 에치 바이어스를 결정하기 위한 방법(200)의 실시형태의 예시적인 플로우차트를 묘사한다. 동작 202에서, 디바이스 패턴에서의 에치 바이어스를 측정하기 위해, 디바이스 패턴 내의 복수의 사이트가 선택될 수도 있다. 복수의 사이트 내의 사이트는 트렌치, 비아, 패드, 등등에 대응하는 패턴 엘리먼트를 포함할 수도 있다. 한 실시형태에서, 복수의 사이트에서의 측정 사이트는, 트렌치 개구와 같은 패턴 엘리먼트를 가로지를 수도 있다. 한 실시형태에서, 측정 사이트는 두 개의 패턴 엘리먼트, 예컨대 두 개의 트렌치 사이에 놓인 재료를 횡단할 수도 있다.
동작 204에서, 디바이스 패턴의 제1 공간 속성의 값이 디바이스 패턴 내의 복수의 측정 사이트에서 측정될 수도 있다. 디바이스 패턴의 제1 측정은, 디바이스 패턴이 패턴화 디바이스로부터 기판 상의 패턴화 재료의 층으로 전사된 이후 수행될 수도 있다. 패턴화 디바이스로부터 입사되는 방사선 에너지에 패턴화 재료를 노출시키는 것에 의해 레지스트와 같은 패턴화 재료가 패턴화될 수도 있다. 패턴화 재료에 형성되는 디바이스 패턴의 치수를 재단하기 위해, 방사선의 조사량 및/또는 초점이 조정될 수도 있다. 측정치는, 당업자에게 친숙한 프로세스 및 방법에 따라 기판 상의 하나 이상의 디바이스 패턴 공간 속성을 프로빙하기에 적절한 광학적, 전기적 또는 다른 수단에 의해 기록될 수도 있다. 한 실시형태에서, 측정 사이트에서의 제1 공간 속성의 값의 세트는, 컴퓨팅 디바이스의 저장 매체 또는 메모리에 입력된다.
동작 206에서, (예를 들면, 기판 베이스의 및/또는 기판 베이스 위에 놓이는 층의) 기판 재료는, 예를 들면, 에치 프로세스에 의해, 마스킹 템플릿으로서 패턴화 층의 디바이스 패턴을 사용하여 기판으로부터 제거될 수도 있다. 기판 재료 제거는, 플라즈마 에치 프로세스, 화학적 에치 프로세스, 또는 기판 상의 패턴화 재료의 층으로부터 기판 재료로 디바이스 패턴을 전사하는 어떤 다른 재료 제거 프로세스일 수도 있다.
동작 208에서, 기판 재료 제거 프로세스 이후, 디바이스 패턴의 제2 공간 속성의 값이 측정될 수도 있다. 제2 공간 속성의 측정치는, 제1 공간 속성이 측정된 복수의 측정 사이트에서 또는 복수의 측정 사이트 근처에서 측정될 수도 있다. 한 실시형태에서, 측정 사이트에서의 제2 공간 속성의 값의 세트는, 컴퓨팅 디바이스의 저장 매체 또는 메모리에 입력된다.
동작 210에서, 동작 204 및 208에서 제1 및 제2 측정치가 각각 기록된 복수의 사이트의 측정 사이트에 대해 에치 바이어스가 계산될 수도 있다. 예를 들면, 제1 및 제2 속성의 적용 가능한 값 사이의 차이가 계산될 수 있다. 복수의 사이트의 측정 사이트에 대한 에치 바이어스 값은, 데이터 세트에 기록될 수도 있고, 옵션 사항으로, 후속하는 분석 및 프로세싱을 위해 컴퓨터 디바이스 메모리에 저장될 수도 있다. 에치 바이어스 모델링을 용이하게 하기 위해, 측정 사이트의 위치, 측정 사이트에 가까운 하나 이상의 패턴 엘리먼트의 형상, 및/또는 에치 바이어스 계산을 위해 사용될 수도 있는 적용 가능한 패턴 엘리먼트와는 별개의 하나 이상의 패턴 엘리먼트의 치수를 비롯한, 기판 상의 디바이스 패턴의 다른 정보가 데이터 세트에 기록될 수도 있다.
동작 212에서, 하나 이상의 변수 및 하나 이상의 파라미터를 갖는 하나 이상의 수학적 항을 갖는 공식을 갖는 수학적 모델이, 에치 바이어스, 및/또는 데이터 세트 내의 다른 데이터에 적합된다. 한 실시형태에서, 데이터 세트를 공식과 적합시키는 것은, 하나 이상의 공식 적합 파라미터의 계산된 값으로 나타난다. 하나 이상의 변수 및 하나 이상의 파라미터의 추가 설명은 이하 추가로 논의될 것이다.
동작 214에서, 동작 212의 파라미터화된 모델은, 에치 프로세스를 위해, 디바이스 패턴 내의 적어도 하나의 위치에 대한 하나 이상의 에치 바이어스 값을 생성하기 위해 사용된다. 그러한 모델링의 결과는, 다양한 목적을 위해 사용될 수 있다. 예를 들면, 결과는, 설계를 변경하는 것, 파라미터를 제어하는 것, 등등의 면에서 패턴화 프로세스를 조정하기 위해 사용될 수 있다.
결정된 에치 바이어스의 적용의 예로서, 결과는 패턴화 디바이스에 의해 제공되는 패턴 내의 하나 이상의 엘리먼트의 하나 이상의 치수를 조정하기 위해 사용될 수 있는데, 패턴화 디바이스 패턴은 기판 상에 에칭을 위해 사용될 디바이스 패턴을 생성하기 위해 사용된다. 따라서, 일단 조정된 패턴화 디바이스 패턴이 기판으로 전사되면, 에칭 동안 발생될 것으로 예상되는 에치 바이어스를 보상하기 위해, 에칭 이전에, 기판 상의 디바이스 패턴이 유효하게 조정된다. 즉, 한 실시형태에서, 패턴화 디바이스 패턴 피쳐의 치수에 대한 오프셋이, 에치 프로세스에서의 에치 바이어스를 보상하기 위해, 결정된 에치 바이어스에 기초하여 계산된다. 한 실시형태에서, 디바이스 패턴의 치수를 조정하여, 기판 상에 디바이스 패턴을 생성하기 위해 사용되는 패턴화 디바이스의 광학적 해상도 아래에 있는 피쳐 치수와 매치시키기 위해, 결정된 에치 바이어스에 기초하여 패턴화 디바이스 패턴 피쳐의 치수에 대한 오프셋이 계산된다.
결정된 에치 바이어스의 적용의 다른 예로서, 조사량, 초점, 등등의 조정의 면에서 리소그래피 장치에 대한 하나 이상의 조정이 이루어질 수 있다. 이해될 바와 같이, 더 많은 애플리케이션이 있을 수 있다. 따라서, 에치 바이어스를 보상하는 것은, 하나 이상의 균일한 피쳐 사이즈, 하나 이상의 균일한 전기적 속성, 및/또는 하나 이상의 향상된(예를 들면, 소망되는 결과에 더 가까운) 성능 특성(characteristic)을 갖는 디바이스로 나타날 수도 있다.
에치 바이어스의 예측을 행하기 위한 공식은 다양한 정교한 형태를 취할 수도 있다. 한 실시형태에서, 에치 바이어스 모델은, 에칭 이전에 디바이스 패턴의 공간 속성에 관련되는 항을 갖는 공식을 포함할 수도 있다. 한 실시형태에서, 에치 바이어스 모델은 에치 프로세스가 수행된 이후 디바이스 패턴의 공간 속성에 관련되는 항을 갖는 공식을 포함할 수도 있다. 한 실시형태에서, 에치 바이어스 모델은, 패턴화 디바이스에서 측정 사이트를 둘러싸는 패턴화 재료의 영역에 관련되는 항을 갖는 공식을 포함할 수도 있다.
도 5는 에치 프로세스 동안의 디바이스 피쳐 및 관련 디바이스 패턴 엘리먼트(300)의 실시형태의 단면도를 묘사한다. 플라즈마(302)는 패턴화 재료(308)의 층에 의해 피복되는 기판 재료(306)를 포함하는 기판(304) 위에 제공된다. 패턴화 재료는, 예를 들면, 레지스트 현상을 통해 형성되는 개구(310)를 갖는다. 플라즈마(302)는 개구(310) 안으로 이동하고 기판 재료(306) 및 패턴화 재료(308)와 상호 작용한다. 개구(310)는 표면(312)으로부터의 재료의 제거에 의해 제1 방향(314)으로 하방으로 확대되고, 측면(316)으로부터의 재료의 제거를 통해 제2 방향(318)으로 횡방향으로 확장되며, 측면(320)으로부터의 재료의 제거를 통해 제3 방향(322)으로 횡방향으로 확장된다. 제1 방향(314)에서의 재료 제거의 레이트는 제2 방향(318) 및 제3 방향(322)에서의 에칭의 레이트를 초과할 수도 있다. 개구(310)는, 에치 프로세스의 시작에서 제1 폭(324)을, 그리고 에치 프로세스가 종료한 이후 제2 폭(326)을 가질 수도 있다. 개구(310) 위의 플라즈마(302)의 볼륨(328)은, 에치 프로세스, 또는 에치 프로세스로부터 유래되는 에치 바이어스를 모델링하기 위해 사용될 수 있는 플라즈마 밀도를 그 안에 가질 수도 있다.
에치 프로세스 동안 패턴 엘리먼트(트렌치)에 적용되는 플라즈마 표면 농도는, 몰/㎛2와 같은 단위를 갖는 D에 의해 표현될 수도 있다. 본원에 설명되는 에치 바이어스 모델링의 실시형태의 목적을 위해, 플라즈마 표면 농도는, 모델링 계산을 단순화하기 위해 일정한 값으로 근사될 수도 있다. 개구(310)와 같은 패턴 엘리먼트는, 패턴 엘리먼트의 (㎛)와 같은 단위를 갖는 초기 공간 속성(예컨대 초기 임계 치수(CD0))에 기초하여 (㎛2)와 같은 단위를 갖는 영역 A를 가질 수도 있다. 한 실시형태에서, 제1 폭(324)은 패턴 엘리먼트의 초기 공간 속성의 예이다. 초기 영역 A 위에서의 플라즈마의 반응성 종의 원자 또는 분자의 수(Q)(몰)는 다음과 같이 결정될 수도 있다:
Figure pct00001
모델링 프로세스에 대한 추가 근사는, 예컨대 반응성 종이 평형 상태에 있을 때, 트렌치에서의 반응성 종의 수를 상수로서 취급하는 것을 포함할 수도 있는데, 입사 반응성 종의 수는 빠져나가는 반응성 종의 수 및 에칭에 의해 소비되는 및/또는 에치된 표면에 결합되는 반응성 종의 수와 동일하다. 다시 말하면, 반응 종 수의 변화의 레이트는 제로로 설정된다:
Figure pct00002
.
에치 바이어스 모델링을 수행하는 능력을 향상시킬 수도 있는 다른 근사법은, 트렌치에서의 반응성 종의 효과를, 패턴 엘리먼트의 측면 상의 모든 지점에서의 측면 재료 제거에서 마찬가지로 유효한 것으로 취급하는 것을 수반할 수도 있다. 따라서, 영역 A 주위에서 연장되는 패턴 엘리먼트 둘레(L)에 대해, 플라즈마 종 선형 농도(CT)는 다음과 같이 표현될 수도 있고:
Figure pct00003
측면 에치 레이트는 다음과 같이 표현될 수도 있는데:
Figure pct00004
여기서 k는 반응 상수이고, n은 반응 순서이며, CD는 패턴 엘리먼트(이 예에서는 트렌치)의 공간 속성(예를 들면, 치수)이다. 패턴 엘리먼트가 리세스인 경우, 공간 속성은 트렌치의 개구를 가로지르는 치수일 수도 있다. 패턴 엘리먼트가 리세스가 아닌 경우, 공간 속성은, 재료가 기판으로부터 제거될 때, 또는 제거된 이후 남아있는 패턴 엘리먼트의 재료를 가로지르는 치수일 수도 있다.
원, 타원, 및 선형 트렌치와 같은 단순한 기하학적 형상의 경우, 플라즈마 종 선형 농도(CT)는 다음과 같이 CD의 함수로서 정의될 수도 있는데:
Figure pct00005
여기서 CD0은 초기 공간 속성 값(예를 들면, 초기 치수)을 나타내고, CDt는 나중의 에치 시간(t)에서의 공간 속성을 나타내고(따라서 에치 바이어스는
Figure pct00006
일 수 있음), k는 에치 프로세스에 대한 반응 상수이다.
식 [6]과 같은 식을 사용하여, 파라미터 kt는 에치 바이어스 식을 측정 데이터의 세트에 적합시키는 것에 의해 결정될 수 있다. 특히, 파라미터 kt는, 상기에서 설명되는 바와 같이 기판 상의 디바이스 패턴 내의 측정 사이트의 세트(예를 들면, 상이한 평가 포인트(116/124))로부터 수집되는 데이터에 기초하여 CD0의 다양한 값에 대해 결정되는 에치 바이어스 값의 세트에 대해 적합되는 것에 의해 결정될 수 있다. 따라서, 적합에 의해 파라미터화될 때, 이 에치 바이어스 모델은 그것이 적합되는 특정한 에치 프로세스(자신의 에치 시간을 포함함)에 대해 명시된다.
따라서, 한 실시형태에서, 식 [6]의 모델에 따르면, 식 [6]의 모델이 파라미터화된 에치 프로세스를 사용하여 에치되는 디바이스 패턴의 에치 바이어스는, 단지, CD0의 특정한 값을 입력하는 것에 의해 결정될 수 있다. 에치 바이어스 모델은 (동일한 패턴화 프로세스를 사용하는) 상이한 디바이스 패턴에 대해 및/또는 디바이스 패턴의 여러 가지 상이한 패턴 엘리먼트의 상이한 위치에 대해 사용될 수도 있다.
CD0이 쉽게 정의되지 않는 간단하지 않은 기하학적 레이아웃(예를 들면, 랜덤 레이아웃)을 수용하기 위해, 식 [6]은 다음과 같이 재구성될 수 있는데:
Figure pct00007
여기서 t는 에치 시간이고, k는 에치 프로세스에 대한 반응 상수이고, CT0은 초기 플라즈마 종 선형 농도이고, k1은 적합될 교정 파라미터(calibration parameter)이다. 이것은, CD0이, 패턴 엘리먼트 에지 상의 초기 플라즈마 종 선형 농도(CT0)에 대략 비례한다는 것을 인식하는 것에 의해 행해진다(상기에서 CT는 유효하게
Figure pct00008
이다는 것을 유의함). 따라서, 패턴 엘리먼트의 CD0이 알려지지 않은, 또는 잘 정의되지 않은 실시형태에서, CT0를 갖는 CD0의 근사는, 에치 바이어스 계산을 용이하게 할 수도 있다.
따라서, (식 [6]의 모델의 CD0 대신 CT0가 유효하게 모델의 변수일 것이기 때문에) 식 [7]의 적합을 위한 그리고 에치 바이어스의 후속 결정을 위한 CT0을 결정하기 위해, (모델의 파라미터화의 목적을 위해) 에치 바이어스가 측정된 것에 대응하는 또는 (파라미터화된 모델을 사용한 에치 바이어스 계산의 목적을 위해) 에치 바이어스가 소망되는 주목하는 위치에 대응하는 평가 포인트를 둘러싸는 구역(ambit)이 정의될 수 있다. 구역은, 선형 농도를 정의하는 초기 플라즈마의 범위를 유효하게 정한다.
도 6은 디바이스의 실시형태의 모델링된 영역(400)의 개략도를 묘사한다. 모델링된 영역(400)은 초기 영역 둘레(405) 내에 위치되는 영역(404)을 갖는 패턴 엘리먼트(402)(트렌치)를 포함한다. 패턴 엘리먼트(402)는 평가 포인트(410)를 갖는다. 평가(410)는, 모델의 파라미터화를 위해 에치 바이어스가 결정되는 위치에 대응하고 및/또는 파라미터화된 모드를 사용하여 에치 바이어스가 결정되는 위치에 대응한다. 따라서, 초기 플라즈마 종 선형 농도를 정의하기 위해, 구역(406)이 정의된다. 한 실시형태에서, 구역(406)은 반경이다. 한 실시형태에서, 구역(406)은 평가 포인트(410)에 대해 정의되는데, 예를 들면, 평가 포인트(410)는 구역(406)의 중앙 부분에 있다. (평가 포인트(410)가 패턴 엘리먼트(402) 상에 위치되기 때문에) 구역(406)은, 유효하게
Figure pct00009
인 CT0을 계산하기 위해 고려될 패턴 엘리먼트(402)의 영역 및 둘레의 범위를 정의하는 것을 돕는다. 즉, 그것은 평가 포인트(410)에 인접하는 영역을 정의하는 것을 돕는다. 따라서, 도 6에서 보이는 바와 같이, 적용 가능한 영역은 음영 영역(404)인데, 이것은, 보이는 바와 같이, 그 대향 단부에서의 구역(406)에 의해 경계가 정해지고 패턴 엘리먼트(402)의 대향하는 측면에 의해 경계가 정해진다. 도 6에서 보이는 바와 같이, 구역(408)은 제2 패턴 엘리먼트(416)와 중첩하도록 연장되지만, 그러나 그 영역은 포함되지 않는데, 그 이유는, 그것이 패턴 엘리먼트(트렌치)(402)에 연결되지 않기 때문이다. 따라서, 초기 플라즈마 종 선형 농도(CT0)는, 식
Figure pct00010
에서 표면 농도를 명시하는 플라즈마와 조합하여 음영 영역(404)의 영역 및 둘레를 사용하여 계산될 수 있다. 모델의 파라미터화의 목적을 위해, 적합을 위해 평가되는 각각의 에치 바이어스에 대해 초기 플라즈마 종 선형 농도(CT0)가 계산될 것이다. 파라미터화된 모델을 사용한 에치 바이어스 계산의 목적을 위해, 초기 플라즈마 종 선형 농도(CT0)는 주목하는 평가 포인트(410)에 대해 계산될 것이다.
따라서, 식 [7]과 같은 식을 사용하여, 파라미터 kt 및 k1은, 에치 바이어스 식을 측정 데이터의 세트에 피팅하는 것에 의해 결정될 수 있다. 특히, 파라미터 kt 및 k1은, 상기에서 설명되는 바와 같이 기판 상의 디바이스 패턴 내의 측정 사이트(예를 들면, 상이한 평가 포인트(410))의 세트로부터 수집되는, 상기에서 설명되는 바와 같이 계산되는 다양한 초기 플라즈마 종 선형 농도(CT0)에 대해 결정되는 에치 바이어스 값의 세트에 대해 적합되는 것에 의해 결정될 수 있다. 따라서, 적합에 의해 파라미터화될 때, 이 에치 바이어스 모델은, 에치 시간 및 구역을 포함하는, 자신이 적합되는 특정한 에치 프로세스에 대해 명시된다. 구역 사이즈는, 에치 근접 효과가 얼마나 오래 지속되는지를 설명하며, 따라서, 기판 데이터에 기초하여 결정될 수 있다(예를 들면, 결정의 더 높은 또는 가장 높은 계수를 갖는 더 나은 적합을 얻기 위해 상이한 구역 사이즈가 시도될 수 있다).
따라서, 한 실시형태에서, 식 [7]의 모델에 따르면, 식 [7]의 모델이 파라미터화된 에치 프로세스를 사용하여 에치되는 디바이스 패턴의 에치 바이어스는, 단지, 특정한 초기 플라즈마 종 선형 농도(CT0)(이것은 모델의 구역 사이즈를 사용하여 설명되는 바와 같이 계산될 수 있음)를 입력하는 것에 의해 결정될 수 있다.
상기의 에치 바이어스 계산이 플라즈마 종 그 자체로부터의 에치 바이어스 기여에 초점을 맞추었지만, 패턴화 재료(예를 들면, 레지스트 또는 다른 마스킹 재료) 그 자체는 에치 바이어스의 본질(nature)에 영향을 줄 수 있다. 따라서, 에치 바이어스 계산은, 기판의 에치 바이어스에 영향을 주는 패턴화 재료의 하나 이상의 재료를 고려할 수도 있다. 따라서, 패턴화 재료 그 자체의 에치 바이어스 기여는, 반응 상수(k)를, 횡방향에서의 에치 레이트에 관련이 있는 하나 이상의 패턴화 재료 특성과 관련시키는 것에 의해 고려될 수 있다. 따라서, 한 실시형태에서, 식 [7]의 레이트 상수(k)는, 수정된 형태의 Arrhenius(아레니우스) 식으로 대체될 수도 있다. 아레니우스 식의 활성화 에너지(Ea)를 패턴화 재료의 선형 농도(CR)로 대체하는 것 및 지수의 다른 인자를 항 s에 결합하는 것에 의해, 수정된 형태의 아레니우스 식은 다음과 같이 표현될 수도 있고:
Figure pct00011
그러면, 에치 바이어스 수학식 [7]은 다음과 같이 표현될 수도 있는데:
Figure pct00012
여기서 t는 에치 시간이고, CT0은 초기 플라즈마 종 선형 농도(이것은 상기에서 설명되는 바와 같이 계산될 수 있음)이고, k1은 적합될 교정 파라미터이고, A는 반응을 위한 주파수 인자이다.
CT0이 에치되고 있는 패턴 엘리먼트의 노출된 초기 에치 영역에 관련되지만, 패턴화 재료 선형 농도(CR)는 디바이스 패턴의 특정한 평가 포인트에 인접하는 패턴화 재료의 둘레 영역에 관련된다. 즉, 패턴화 재료의 영역은, 에치되고 있는 패턴 엘리먼트의 둘레 상의 포인트에 대응하는 패턴화 재료 선형 농도(CR)를 결정하도록 명시될 수 있다. 다음의 도면은 식 [9]에서 나타내어지는 에치 바이어스 공식을 위한 선형 농도의 패턴화 재료를 제공하기 위한 상이한 예시적인 기술을 설명한다.
도 7은 디바이스의 실시형태의 모델링된 영역(500)의 개략도를 묘사한다. 모델링된 영역(500)은 패턴 엘리먼트(502)를 포함한다. 이 경우, 패턴 엘리먼트(502)는 트렌치이다. 다른 실시형태에서, 패턴 엘리먼트(502)는 상이한 타입의 피쳐일 수도 있다. 모델링된 영역(500)은, 패턴 엘리먼트(502)의 측면(506A 및 506B) 내에 그리고 모델링된 영역(502)의 평가 포인트(510) 주위에 위치되는 구역(508)의 세그먼트(508A 및 508B) 내에 에치된 영역(504)을 포함한다. 구역(508)은, 도 7에서 도시되는 바와 같이, 원형 형상일 수도 있거나, 또는 타원 형상, 달걀 모양(oval)의 형상, 직선 형상, 또는 패턴 엘리먼트의 일부 및 패턴 엘리먼트(502)의 에치된 영역(504)에 인접하는 패턴화 재료의 영역을 둘러싸는 어떤 다른 형상과 같은 다른 닫힌 형상일 수도 있다. 도 7에서, 구역(508)은, 평가 포인트(510)로부터 바깥쪽으로 연장되어 구역 둘레를 설명하는 반경(512)을 가지면서, 구역(508)의 중앙 부분에서 평가 포인트(510)를 갖는다.
도 7은, 패턴 엘리먼트(502)의 측면(506B) 및 구역(508)의 둘레 세그먼트(514A 및 514B)에 의해 한정될 수도 있는 구역(508) 내의 패턴화 재료(예를 들면, 레지스트) 영역(516)을 도시한다. 패턴화 재료 영역(516)은, 구역(508)의 둘레 내에 적어도 부분적으로 속하는 이웃하는 패턴 엘리먼트(524)의 경계(522) 내에 있는 개방 영역(520)을 배제할 수도 있다. 몇몇 실시형태에서, 구역(508)은, 구역(508)의 주변 내에 완전히 또는 부분적으로 위치되며 평가 포인트에 인접하는 따라서 평가 포인트에서의 에치 바이어스에 영향을 주는 패턴화 재료에 대한 패턴화 재료 선형 농도를 계산하기 위해 사용될 수도 있는 패턴화 재료 영역(516)의 사이즈를 감소시키는 복수의 개방 영역 예컨대 개방 영역(520)을 포함할 수도 있다.
도 8은 디바이스의 실시형태의 모델링된 영역(600)의 개략도를 묘사한다. 모델링된 영역(600)은, 제1 측벽(604)을 갖는 제1 패턴 엘리먼트(602), 및 제2 측벽(608)을 갖는 제2 패턴 엘리먼트(606)를 포함할 수도 있다. 평가 포인트(610)는 제1 패턴 엘리먼트(602)의 일단에 있다. 평가 포인트(610)는 제2 패턴 엘리먼트(606)를 향해 연장되는 패턴화 재료 구역(614)의 일측에 놓여 있다. 구역(614)은 제1 패턴 엘리먼트(602)로부터 바깥쪽으로 제1 거리(620)를 그리고 제1 거리(622)에 수직인 제2 거리(622)를 연장한다. 한 실시형태에서, 제1 거리(620)는 측벽(604)의 변 또는 접선에 수직이다. 구역(614)은 패턴화 재료 영역(616) 및 중첩 영역(618)을 포괄할 수도 있다. 중첩 영역(618)은, 패턴 엘리먼트(606)와 같은 다른 패턴 엘리먼트의 적어도 일부를 가로질러 연장되는 구역(614)의 부분에 대응한다. 따라서, 패턴화 재료 영역(616)은 중첩 영역(618)만큼 제외한 구역으로서 정의될 수 있다. 구역(614)은 직선형 구역일 수도 있지만, 그러나 원형, 달걀 모양, 타원형, 또는 적어도 평가 포인트, 예컨대 패턴 엘리먼트(602)의 평가 포인트(610)를 포괄하는 또는 그와 인접하는 어떤 다른 닫힌 형상일 수 있을 것이다. 몇몇 실시형태에서, 구역(614)이 연장되고 중첩 영역(618)과 같은 중첩 영역을 포함하지 않으면(예를 들면, 구역(614)이 측벽(604) 상의 적절한 상이한 평가 포인트로부터 연장되면), 구역(614)은 패턴화 재료로 완전히 채워질 수 있다.
따라서, 영역(516 또는 616)에 대해, 패턴화 재료 선형 농도(CR)가 계산될 수 있다. 그것은, 플라즈마 종 표면 농도 대신 패턴 재료 표면 농도를 사용하는 것을 제외하고는 상기에서 설명되는 CT와 유사한 공식화(formulation)를 사용하여 계산될 수 있다.
그 다음, 식 [9]를 사용하여, 파라미터 At 및 k1은, 에치 바이어스 식을 측정 데이터의 세트에 적합시키는 것에 의해 결정될 수 있다. 특히, 파라미터 At 및 k1은, 상기에서 설명되는 바와 같이 기판 상의 디바이스 패턴 내의 측정 사이트(예를 들면, 상이한 평가 포인트(410, 510, 610))의 세트로부터 수집되는, 상기에서 설명되는 바와 같이 계산되는 다양한 초기 플라즈마 종 선형 농도(CT0) 및 상기에서 설명되는 바와 같이 계산되는 관련된 패턴화 재료 선형 농도(CR)에 대해 결정되는 에치 바이어스 값의 세트에 대해 적합되는 것에 의해 결정될 수 있다. 따라서, 적합에 의해 파라미터화될 때, 이 에치 바이어스 모델은, 에치 시간 및 관련된 구역을 포함하는, 자신이 적합되는 특정한 에치 프로세스에 대해 명시된다. 구역은 기판 데이터에 기초하여 결정될 수 있다(예를 들면, 결정의 더 높은 또는 가장 높은 계수를 갖는 더 나은 적합을 얻기 위해 상이한 구역 사이즈 및/또는 형상이 시도될 수 있다).
따라서, 한 실시형태에서, 식 [9]의 모델에 따르면, 식 [9]의 모델이 파라미터화된 에치 프로세스를 사용하여 에치되는 디바이스 패턴의 에치 바이어스는, 단지, 특정한 초기 플라즈마 종 선형 농도(CT0)(이것은 모델의 구역 사이즈를 사용하여 설명되는 바와 같이 계산될 수 있음) 및 패턴화 재료 선형 농도(CR)(이것은 모델의 구역 사이즈를 사용하여 설명되는 바와 같이 계산될 수 있음)를 입력하는 것에 의해 결정될 수 있다.
상기 공식화에서, 패턴화 재료 층 재료 속성은 반응 상수와 관련된다. 그러나, 아마, 에치 바이어스는, 플라즈마 종 및 패턴화 재료가 반응물로서 참가하는 것과 함께 발생하는 화학 반응일지도 모른다. 그 다음, 횡방향에서의 에치 바이어스는 2차 반응으로서 취급될 수 있는데, 횡방향 에치 레이트는 CT 및 CR 둘 모두에 비례한다.
Figure pct00013
여기서 k는 반응 상수이다.
CT의 감소는 CR의 증가와 동일하거나, 또는 그 반대의 경우도 가능하다는 것이 대략 가정될 수 있다. 따라서, 시간 t에서, CT의 감소(및 CR의 증가)는 x로서 지정될 수 있고, 그 다음, 그것은 다음과 같이 공식화될 수 있는데:
Figure pct00014
여기서 CT0은 시간 0에서의 CT이고 CR0은 시간 0에서의 CR이다. 따라서, t = 0에서 x = 0의 초기 조건을 사용하고, 상기의 미분식을 적분하면 다음을 산출하는데:
Figure pct00015
여기서 CT(t)는 시간 t에서의 CT이고 CR(t)는 시간 t에서 CR이다. 상기의 두 수학식에서, CT 및 CR이 에치 시간의 함수로 표현되기 때문에, 최종 에치 바이어스는 다음과 같이 표현될 수 있는데:
Figure pct00016
여기서 CT 및 CR은 에치 시간에 n 개의 시간 간격에서 평가되고, tn 및 cn은 에치 레이트 계수이다. 측정 데이터를 사용하여, 에치 레이트 계수(cn)는, CT 및 CR을 평가하기 위한 다른 파라미터와 함께, 에치 바이어스 모델을 갖도록 교정될 수 있다.
따라서, 패턴 근접 효과에 기인하는 횡방향 CD 전개(evolvement)를 예측/시뮬레이팅하는 것을 목표로 하는 단순화된 화학 역학에 기초한 에치 바이어스 모델이 제공된다. 에치 바이어스는, 트렌치 내의 플라즈마 종 및 옵션 사항으로 이웃의 에치된 패턴화 재료에 기인한다.
모델에서의 개념은, 플라즈마 종이 에지에 대해 균일하게 작용하여 에치 바이어스를 유도한다는 것이다. 플라즈마 종이 평형 상태를 유지한다는 가정은, 플라즈마를 개시하기 위해 사용되는 강한 RF(무선 주파수) 전자기장의 진동성(oscillatory nature)에 기인하여 사실이 아닐 수도 있다. 그러한 가정의 실패는, 부정확한 에치 시간 추정으로 이어질 수도 있다. 그러나, 횡방향 공간 속성(예를 들면, CD) 변화에 초점을 맞추고 모델에서의 시간 인자가 적합 파라미터이면, 그러한 가정의 실패는 모델의 유효성에 큰 영향을 끼치지 않는다.
에치된 패턴화 재료로부터의 에치 바이어스 기여와 관련하여, 몇몇 접근법이 상기에서 논의되었다. 에치 바이어스에 대한 패턴화 재료의 기여는, 마치 반응을 지배하는 고전적인 아레니우스 식처럼, 반응 상수에서 지수 인자로 취급될 수 있다. 추가적으로 또는 대안적으로, 패턴화 재료는 2차 반응 스킴에서 하나의 반응물로서 취급될 수 있다.
따라서, 에치 프로세스를 위한 에치 바이어스를 모델링하는 것에 대한 물리적 접근법이 본원에서 설명되었다. 그 접근법은, 잠재적인 풀 칩 애플리케이션(full-chip application)을 갖는 다양한 레이아웃에 대한 에치 바이어스를 시뮬레이팅할 수 있다. 한 실시형태에서, 그것은, 트렌치 내의 플라즈마 화학 종이 평형 상태로 유지되고 플라즈마 종이 에지에 대해 균일하게 작용하여 에치 바이어스를 유도한다는 것을 가정한다. 복잡한 레이아웃의 경우, 플라즈마 종의 에지 로딩을 평가하는 방법이 제공되었다. 이 평가는 국소적인 이웃하는 트렌치 영역 및 에지 길이에 기초한다. 또한, 에치 바이어스에 대한 패턴화 재료의 영향은, 여러 가지 방식으로 에치 바이어스 모델에 통합될 수 있다. 그렇게 하는 하나의 방식은 충격을 반응 상수의 지수 인자로 취급하는 것이다. 또 다른 방식은, 시간의 함수로서의 CT 및 CR의 전개가 유도되고 에치 바이어스가 시간에 걸친 CT 및 CR의 시간 적분인 2차 반응 스킴에서 (플라즈마 종과 함께) 패턴화 재료를 반응물로서 취급한다. 이 물리적 접근법을 사용하여 에치 바이어스 모델을 교정하기 위해, 교정 데이터의 세트가 사용된다.
한 실시형태에서, 다음의 것을 포함하는 방법이 제공된다: 하드웨어 컴퓨터에 의해, 에치 바이어스 모델에 기초하여 패턴화 프로세스의 에치 단계를 사용하여 에치될 패턴에 대한 에치 바이어스를 결정하는 것 - 에치 바이어스 모델은 패턴의 공간 속성과 관련되는 또는 에치 단계의 에치 플라즈마 종 농도와 관련되는 변수를 포함하고, 에치 단계의 에치 시간에 적합되는 또는 기초하는 파라미터의 거듭제곱에 대한 자연 지수 함수를 포함하는 수학적 항을 포함하는 공식을 포함함 - ; 및 결정된 에치 바이어스에 기초하여 패턴화 프로세스를 조정하는 것. 한 실시형태에서, 지수 함수의 파라미터는 에치 단계에 대한 반응 상수 및 에치 시간에 적합되거나 또는 기초한다. 한 실시형태에서, 변수는 패턴의 공간 속성을 포함하고 패턴의 공간 속성은 초기 패턴 엘리먼트 치수이다. 한 실시형태에서, 변수는 패턴의 공간 속성을 포함하고, 공식은 수학적 항에 의해 승산되는 변수를 포함한다. 한 실시형태에서, 변수는 패턴의 공간 속성을 포함하고, 공식은
Figure pct00017
의 형태를 포함하는데, 여기서 CD0은 변수이고 패턴의 치수에 대응하며, kt는 에치 단계의 에치 시간 t 및 에치 단계에 대한 반응 상수 k에 대해 적합되는 파라미터이다. 한 실시형태에서, 변수는 에치 플라즈마 종 농도를 포함하고 공식은 교정 파라미터를 더 포함한다. 한 실시형태에서, 변수는 에치 플라즈마 종 농도를 포함하고 공식은 수학적 항에 의해 승산되는 변수를 포함한다. 한 실시형태에서, 변수는 에치 플라즈마 종 농도를 포함하는데, 공식은
Figure pct00018
의 형태를 포함하고, 여기서 k1은 교정 파라미터이고, CT0은 변수이고 에치 플라즈마 종 농도에 대응하며, kt는 에치 단계의 에치 시간 t 및 에치 단계에 대한 반응 상수 k에 대해 적합되는 파라미터이다. 한 실시형태에서, 변수는 에치 플라즈마 종 농도를 포함하고, 에치 플라즈마 종 농도는 패턴 상의 평가 포인트를 둘러싸는 에치된 재료 구역 내에서 패턴의 에치된 영역에 대해 정의되는데, 여기서 에치 플라즈마 종 농도는 에치된 영역에 비례한다. 한 실시형태에서, 에치된 재료 구역은 평가 포인트에 중심을 두는 원형 구역인데, 평가 포인트는 에치된 영역과 기판의 패턴화 재료 영역 사이의 계면에 위치된다. 한 실시형태에서, 변수는 에치 플라즈마 종 농도를 포함하고, 공식은 지수 함수의 거듭제곱에 통합되는 수정된 형태의 아레니우스 식을 포함한다. 한 실시형태에서, 변수는 에치 플라즈마 종 농도를 포함하고 공식은 지수 함수의 거듭제곱에 패턴화 재료 농도를 통합한다. 한 실시형태에서, 변수는 에치 플라즈마 종 농도를 포함하고, 공식은
Figure pct00019
을 포함하는 형태를 가지는데, 여기서 k1은 교정 파라미터이고, CT0은 변수이고 에치 플라즈마 종 농도에 대응하고, CR은 패턴화 재료 농도이고, At는 에치 단계의 에치 시간 t 및 에치 단계의 반응에 대한 주파수 인자 A에 대해 적합되는 파라미터이고, s는 에치 단계에 대한 상수이다. 한 실시형태에서, 패턴화 재료 농도는, 패턴 상의 평가 포인트에 인접하는 패턴의 패턴화 재료 영역에 대해 정의된다. 한 실시형태에서, 패턴화 재료 구역은 직선형이고, 패턴화 재료 구역은 평가 포인트에 인접하거나 또는 중첩하거나 또는 원형이고 평가 포인트를 둘러싼다. 한 실시형태에서, 에치 플라즈마 종 농도는, 패턴 상의 평가 포인트를 둘러싸는 에치된 재료 구역 내의 패턴의 에치된 영역에 대해 정의되는데, 여기서 에치 플라즈마 종 농도는 에치된 영역에 비례한다. 한 실시형태에서, 방법은 다음의 것을 더 포함한다: 패턴 내의 복수의 사이트의 각각에서, 패턴의 공간 속성의 값을 수집하는 것; 및 하드웨어 컴퓨팅 디바이스에 의해 그리고 공간 속성의 값을 사용하여, 파라미터를 생성하도록 공식을 적합시키는 것. 한 실시형태에서, 패턴화 프로세스를 조정하는 것은, 계산된 에치 바이어스에 따라 패턴화 디바이스의 영역의 경계를 조정하는 것을 포함한다. 한 실시형태에서, 패턴화 디바이스의 영역은 패턴화 디바이스를 타격하는 방사선을 수정한다. 한 실시형태에서, 패턴은 디바이스 패턴이다.
한 실시형태에서, 다음의 것을 포함하는 방법이 제공된다: 하드웨어 컴퓨터에 의해, 에치 바이어스 모델에 기초하여 패턴화 프로세스의 에치 단계를 사용하여 에치될 패턴에 대한 에치 바이어스를 결정하는 것 - 에치 바이어스 모델은 에치 플라즈마 종 농도 및 패턴화 재료 농도의 함수를 포함함 - ; 및 결정된 에치 바이어스에 기초하여 패턴화 프로세스를 조정하는 것.
한 실시형태에서, 함수는 패턴화 재료 농도와 승산되는 에치 플라즈마 종 농도를 포함한다. 한 실시형태에서, 함수는, 에치 시간의 소정 수의 시간 간격에 대한 에치 플라즈마 종 농도 및 패턴화 재료 농도의 합산을 포함한다. 한 실시형태에서, 에치 모델은, 에치 단계의 에치 시간에 적합되는 또는 기초하는 파라미터의 거듭제곱에 대한 자연 지수 함수를 포함하는 수학적 항을 포함한다. 한 실시형태에서, 에치 바이어스 모델은
Figure pct00020
을 포함하는 형태를 갖는데, 여기서 CT는 에치 플라즈마 종 농도에 대응하고 에치 시간에 n 개의 수의 시간 간격에서 평가되고, CR은 패턴화 재료 농도에 대응하고 에치 시간에 n 개의 수의 시간 간격에서 평가되며, tn 및 cn은 에치 레이트 계수이다.
기술 분야에서 통상의 지식을 가진 자에 의해 인식될 바와 같이, 본 기술은 시스템, 방법 또는 컴퓨터 프로그램 제품으로 구체화될 수도 있다. 따라서, 본 출원의 양태는, 본원에서 모두 일반적으로 "회로", "모듈" 또는 "시스템"으로 칭해질 수도 있는 전적으로 하드웨어 실시형태, 전적으로 소프트웨어 실시형태(펌웨어, 상주 소프트웨어, 마이크로 코드, 등등을 포함함) 또는 소프트웨어 및 하드웨어 양태를 결합하는 실시형태의 형태를 취할 수도 있다. 또한, 본 출원의 양태는, 컴퓨터 사용 가능 프로그램 코드가 구현되는 임의의 하나 이상의 컴퓨터 판독 가능 매체(들)에서 구현되는 컴퓨터 프로그램 제품의 형태를 취할 수도 있다.
하나 이상의 컴퓨터 판독 가능 매체(들)의 임의의 조합이 활용될 수도 있다. 컴퓨터 판독 가능 매체는 컴퓨터 판독 가능 신호 매체 또는 컴퓨터 판독 가능 저장 매체일 수도 있다. 컴퓨터 판독 가능 저장 매체는, 예를 들면, 전자, 자기, 광학, 전자기, 적외선, 또는 반도체 시스템, 장치, 디바이스, 또는 전술한 것의 임의의 적절한 조합일 수도 있지만, 그러나 이들로 제한되지는 않는다. 컴퓨터 판독 가능 매체의 더욱 특정한 예(비망라적인 목록)는 다음의 것을 포함할 것이다: 하나 이상의 와이어를 구비하는 전기 연결, 휴대용 컴퓨터 디스켓, 하드 디스크, 랜덤 액세스 메모리(random access memory; RAM), 리드 온리 메모리(read-only memory; ROM), 소거 가능 프로그래머블 리드 온리 메모리(예를 들면, EPROM 또는 플래시 메모리), 광섬유, 휴대용 컴팩트 디스크 리드 온리 메모리 CDROM, 광학 스토리지 디바이스, 자기 스토리지 디바이스, 또는 전술한 것의 임의의 적절한 조합. 이 문서의 맥락에서, 컴퓨터 판독 가능 저장 매체는, 명령어 실행 시스템, 장치 또는 디바이스에 의해 또는 그들과 관련하여 사용하기 위한 프로그램을 포함할 수 있거나 또는 저장할 수 있는 임의의 유형의 매체일 수도 있다.
컴퓨터 판독 가능 신호 매체는, 예를 들면, 기저 대역에서 또는 반송파(carrier wave)의 일부로서, 컴퓨터 판독 가능 프로그램 코드가 내부에서 구현된 전파된 데이터 신호를 포함할 수도 있다. 그러한 전파된 신호는, 전자기, 광학, 또는 이들의 임의의 적절한 조합을 포함하는, 그러나 이들로 제한되지 않는, 다양한 형태 중 임의의 것을 취할 수도 있다. 컴퓨터 판독 가능 신호 매체는, 컴퓨터 판독 가능 저장 매체가 아닌 그리고 명령어 실행 시스템, 장치 또는 디바이스에 의해 또는 그들과 관련하여 사용하기 위한 프로그램을 통신, 전파 또는 이송할 수 있는 임의의 컴퓨터 판독 가능 매체일 수도 있다.
컴퓨터 판독 가능 매체 상에서 구현되는 컴퓨터 코드는, 무선, 유선, 광섬유 케이블, 무선 주파수(radio frequency; RF), 등등, 또는 이들의 임의의 적절한 조합을 포함하는 그러나 이들로 제한되지는 않는 임의의 적절한 매체를 사용하여 송신될 수도 있다.
본 출원의 양태에 대한 동작을 수행하기 위한 컴퓨터 프로그램 코드는, Java™, Smalltalk™, C++, 또는 등등과 같은 객체 지향 프로그래밍 언어, 및 "C" 프로그래밍 언어 또는 유사한 프로그래밍 언어와 같은 종래의 절차적 프로그래밍 언어를 비롯한, 하나 이상의 프로그래밍 언어의 임의의 조합으로 작성될 수도 있다. 프로그램 코드는, 유저의 컴퓨터 상에서 전적으로, 유저의 컴퓨터 상에서 부분적으로, 독립형 소프트웨어 패키지로서, 유저의 컴퓨터 상에서 부분적으로 그리고 원격 컴퓨터 상에서 부분적으로, 또는 원격 컴퓨터 또는 서버 상에서 전적으로 실행될 수도 있다. 후자의 시나리오에서, 원격 컴퓨터는, 근거리 통신망(local area network; LAN) 또는 광역 네트워크(wide area network; WAN)를 비롯한, 임의의 타입의 네트워크를 통해 유저의 컴퓨터에 연결될 수도 있거나, 또는 연결은 (예를 들면, 인터넷 서비스 공급자를 사용하여 인터넷을 통해) 외부 컴퓨터에 대해 이루어질 수도 있다.
컴퓨터 프로그램 명령어는 또한, 컴퓨터 또는 다른 프로그래머블 장치 상에서 실행되는 명령어가 본원에서 명시되는 기능/동작 중 하나 이상을 구현하기 위한 프로세스를 제공하도록 일련의 동작 단계로 하여금 컴퓨터, 다른 프로그래머블 장치, 또는 다른 디바이스 상에서 수행되게 하여 컴퓨터 구현 프로세스를 생성하기 위해, 컴퓨터, 다른 프로그래머블 데이터 프로세싱 장치, 또는 다른 디바이스 상으로 로딩될 수도 있다.
상기에서 언급되는 바와 같이, 예시적인 실시형태는 전적으로 하드웨어 실시형태, 전적으로 소프트웨어 실시형태 또는 하드웨어 및 소프트웨어 엘리먼트 둘 모두를 포함하는 실시형태의 형태를 취할 수도 있다는 것이 인식되어야 한다. 하나의 예시적인 실시형태에서, 예시적인 실시형태의 메커니즘은, 펌웨어, 상주 소프트웨어, 마이크로 코드, 등등을 포함하는 그러나 이들로 제한되지는 않는 소프트웨어 또는 프로그램 코드로 구현될 수도 있다.
프로그램 코드를 저장 및/또는 실행하기에 적절한 데이터 프로세싱 시스템은, 시스템 버스를 통해 메모리 엘리먼트에 직접적으로 또는 간접적으로 커플링되는 적어도 하나의 프로세서를 포함할 것이다. 메모리 엘리먼트는 프로그램 코드의 실제 실행 동안 활용되는 로컬 메모리, 대용량 스토리지, 및 실행 동안 코드가 대용량 스토리지로부터 검색되어야 하는 횟수를 감소시키기 위해 적어도 몇몇 프로그램 코드의 일시적 저장을 제공하는 캐시 메모리를 포함할 수 있다.
입력/출력 또는 I/O 디바이스(키보드, 디스플레이, 포인팅 디바이스, 등등을 포함하지만 그러나 이들로 제한되지는 않음)는 직접적으로 또는 개재하는 I/O 컨트롤러를 통해 시스템에 커플링될 수 있다. 네트워크 어댑터는 또한, 데이터 프로세싱 시스템이 개재하는 사설 또는 공용 네트워크를 통해 다른 데이터 프로세싱 시스템 또는 원격 프린터 또는 스토리지 디바이스에 커플링되는 것을 가능하게 하기 위해 시스템에 커플링될 수도 있다. 모뎀, 케이블 모뎀 및 이더넷 카드는, 현재 이용 가능한 타입의 네트워크 어댑터 중 몇몇에 불과하다.
도 9는 본원에서 개시되는 방법 및 플로우 중 임의의 것을 구현함에 있어서 보조할 수 있는 컴퓨터 시스템(1700)의 실시형태를 예시하는 블록도를 도시한다. 컴퓨터 시스템(1700)은, 정보를 통신하기 위한 버스(1702) 또는 다른 통신 메커니즘, 및 정보를 프로세싱하기 위해 버스(1702)와 커플링되는 프로세서(1704)(또는 다수의 프로세서(1704 및 1705))를 포함한다. 컴퓨터 시스템(1700)은 또한, 프로세서(1704)에 의해 실행될 정보 및 명령어를 저장하기 위한, 버스(1702)에 커플링되는 랜덤 액세스 메모리(RAM) 또는 다른 동적 스토리지 디바이스와 같은 메인 메모리(1706)를 포함한다. 메인 메모리(1806)는 또한, 프로세서(1704)에 의해 실행될 명령어의 실행 동안 임시 변수 또는 다른 중간 정보를 저장하기 위해 사용될 수도 있다. 컴퓨터 시스템(1700)은, 프로세서(1704)에 대한 정적인 정보 및 명령어를 저장하기 위한, 버스(1702)에 커플링되는 리드 온리 메모리(ROM)(1708) 또는 다른 정적 스토리지 디바이스를 더 포함한다. 정보 및 명령어를 저장하기 위한 자기 디스크 또는 광학 디스크와 같은 스토리지 디바이스(1710)가 제공되고 버스(1702)에 커플링된다.
컴퓨터 시스템(1700)은, 버스(1702)를 통해, 컴퓨터 유저에게 정보를 디스플레이하기 위한 디스플레이(1712), 예컨대 음극선관(cathode ray tube; CRT) 또는 플랫 패널 또는 터치 패널 디스플레이에 커플링될 수도 있다. 정보 및 커맨드 선택을 프로세서(1704)에게 전달하기 위한 영숫자 및 다른 키를 포함하는 입력 디바이스(1714)가 버스(1702)에 커플링된다. 다른 타입의 유저 입력 디바이스는, 방향 정보 및 커맨드 선택을 프로세서(1704)로 전달하기 위한 그리고 디스플레이(1712) 상에서 커서 이동을 제어하기 위한 커서 제어부(1716), 예컨대 마우스, 트랙볼, 또는 커서 방향 키이다. 이 입력 디바이스는 통상적으로, 디바이스가 평면에서의 위치를 명시하는 것을 허용하는, 제1 축(예를 들면, x) 및 제2 축(예를 들면, y)인 두 개의 축에서 2 자유도를 갖는다. 터치 패널(스크린) 디스플레이도 또한 입력 디바이스로서 사용될 수도 있다.
하나의 실시형태에 따르면, 본원에서 설명되는 프로세스의 일부는, 프로세서(1704)가 메인 메모리(1706)에 포함되는 하나 이상의 명령어의 하나 이상의 시퀀스를 실행하는 것에 응답하여, 컴퓨터 시스템(1700)에 의해 수행될 수도 있다. 그러한 명령어는 스토리지 디바이스(1710)와 같은 다른 컴퓨터 판독 가능 매체로부터 메인 메모리(1706) 안으로 판독될 수도 있다. 메인 메모리(1706)에 포함되는 명령어의 시퀀스의 실행은, 프로세서(1704)로 하여금 본원에서 설명되는 프로세스 단계를 수행하게 한다. 메인 메모리(1706)에 포함되는 명령어의 시퀀스를 실행하기 위해, 멀티 프로세싱 장치(multi-processing arrangement)의 하나 이상의 프로세서가 또한 활용될 수도 있다. 대안적인 실시형태에서, 소프트웨어 명령어 대신에 또는 소프트웨어 명령어와 조합하여, 하드웨어에 내장된 회로부(hard-wired circuitry)가 사용될 수도 있다. 따라서, 본원의 설명은 하드웨어 회로부 및 소프트웨어의 임의의 특정한 조합으로 제한되지는 않는다.
본원에서 사용되는 바와 같은 용어 "컴퓨터 판독 가능 매체"는, 실행을 위해 명령어를 프로세서(1704)에 제공하는 데 참가하는 임의의 매체를 가리킨다. 그러한 매체는, 불휘발성 매체, 휘발성 매체, 및 송신 매체를 포함하는 그러나 이들로 제한되지는 않는 많은 형태를 취할 수도 있다. 불휘발성 매체는, 예를 들면, 스토리지 디바이스(1710)와 같은 광학 또는 자기 디스크를 포함한다. 휘발성 매체는 메인 메모리(1706)와 같은 동적 메모리를 포함한다. 송신 매체는, 버스(1702)를 포함하는 와이어를 비롯한, 동축 케이블, 구리 와이어 및 광섬유를 포함한다. 송신 매체는 또한, 무선 주파수(RF) 및 적외선(IR) 데이터 통신 동안 생성되는 것들과 같은 음파 또는 광파의 형태를 취할 수 있다. 컴퓨터 판독 가능 매체의 일반적인 형태는, 예를 들면, 플로피 디스크, 플렉시블 디스크, 하드 디스크, 자기 테이프, 임의의 다른 자기 매체, CD-ROM, DVD, 임의의 다른 광학 매체, 펀치 카드, 종이 테이프, 구멍의 패턴을 갖는 임의의 다른 물리적 매체, RAM, PROM 및 EPROM, FLASH-EPROM, 임의의 다른 메모리 칩 또는 카트리지, 이하에서 설명되는 바와 같은 반송파, 또는 컴퓨터가 판독할 수 있는 임의의 다른 매체를 포함한다.
실행을 위해 하나 이상의 명령어의 시퀀스를 하나 이상의 프로세서(1704)로 전달함에 있어서, 다양한 형태의 컴퓨터 판독 가능 매체가 수반될 수도 있다. 예를 들면, 명령어는 초기에 원격 컴퓨터의 자기 디스크 상에서 제공될 수도 있다. 원격 컴퓨터는, 명령어를 자신의 동적 메모리에 로딩할 수 있고 모뎀을 사용하여 전화선을 통해 명령어를 전송할 수 있다. 컴퓨터 시스템(1700)에 로컬인 모뎀은 전화선을 통해 데이터를 수신할 수 있고 적외선 송신기를 사용하여 데이터를 적외선 신호로 변환할 수 있다. 버스(1702)에 커플링되는 적외선 검출기는 적외선 신호에서 운반되는 데이터를 수신할 수 있고 버스(1702) 상에 데이터를 배치할 수 있다. 버스(1702)는 데이터를 메인 메모리(1706)로 전달하는데, 프로세서(1704)는, 메인 메모리(1706)로부터, 명령어를 검색 및 실행한다. 메인 메모리(1706)에 의해 수신된 명령어는, 옵션 사항으로, 프로세서(1704)에 의한 실행 이전 또는 이후에 스토리지 디바이스(1710)에 저장될 수도 있다.
컴퓨터 시스템(1700)은 또한, 버스(1702)에 커플링되는 통신 인터페이스(1718)를 포함할 수도 있다. 통신 인터페이스(1718)는 로컬 네트워크(1722)에 연결되는 네트워크 링크(1720)에 양방향 데이터 통신 커플링을 제공한다. 예를 들면, 통신 인터페이스(1718)는, 대응하는 타입의 전화선에 데이터 통신 연결을 제공하기 위한 통합 서비스 디지털 네트워크(integrated services digital network; ISDN) 카드 또는 모뎀일 수도 있다. 다른 예로서, 통신 인터페이스(1718)는, 호환 가능한 LAN에 데이터 통신 연결을 제공하기 위한 근거리 통신망(LAN) 카드일 수도 있다. 무선 링크도 또한 구현될 수도 있다. 임의의 그러한 구현예에서, 통신 인터페이스(1718)는, 다양한 타입의 정보를 나타내는 디지털 데이터 스트림을 반송하는(carry) 전기, 전자기 또는 광학 신호를 전송 및 수신한다.
네트워크 링크(1720)는 통상적으로 하나 이상의 네트워크를 통해 다른 데이터 디바이스로 데이터 통신을 제공한다. 예를 들면, 네트워크 링크(1720)는, 로컬 네트워크(1722)를 통해, 호스트 컴퓨터(1724)에 대한 또는 인터넷 서비스 공급자(Internet Service Provider; ISP)(1726)에 의해 운영되는 데이터 장비에 대한 연결을 제공할 수도 있다. ISP(1726)는, 이어서, 현재 "인터넷"(1728)으로 일반적으로 칭해지는 전세계 패킷 데이터 통신 네트워크를 통해 데이터 통신 서비스를 제공한다. 로컬 네트워크(1722) 및 인터넷(1728) 둘 모두는 디지털 데이터 스트림을 반송하는 전기, 전자기 또는 광학 신호를 사용한다. 다양한 네트워크를 통한 신호 및 컴퓨터 시스템(1700)으로 그리고 컴퓨터 시스템(1700)으로부터 디지털 데이터를 반송하는, 네트워크 링크(1720) 상의 그리고 통신 인터페이스(1718)를 통한 신호는 정보를 운반하는 반송파의 예시적인 형태이다.
컴퓨터 시스템(1700)은, 네트워크(들), 네트워크 링크(1720), 및 통신 인터페이스(1718)를 통해, 메시지를 전송할 수 있고, 프로그램 코드를 비롯한, 데이터를 수신할 수 있다. 인터넷 예에서, 서버(1730)는, 인터넷(1728), ISP(1726), 로컬 네트워크(1722) 및 통신 인터페이스(1718)를 통해 애플리케이션 프로그램에 대해 요청된 코드를 송신할 수도 있을 것이다. 하나의 그러한 다운로드된 애플리케이션은, 예를 들면, 본원에서 설명되는 바와 같은 방법 또는 그 일부를 제공할 수도 있다. 수신된 코드는, 그것이 수신될 때, 프로세서(1704)에 의해 실행될 수 있고, 및/또는 나중의 실행을 위해 스토리지 디바이스(1710)에, 또는 다른 불휘발성 스토리지 디바이스에 저장될 수도 있다. 이러한 방식으로, 컴퓨터 시스템(1700)은 반송파의 형태의 애플리케이션 코드를 획득할 수도 있다.
실시형태는 다음의 조항(clause)을 사용하여 추가로 설명될 수도 있다:
1. 다음의 것을 포함하는 방법:
하드웨어 컴퓨터에 의해, 에치 바이어스 모델에 기초하여 패턴화 프로세스의 에치 단계를 사용하여 에치될 패턴에 대한 에치 바이어스를 결정하는 것 - 에치 바이어스 모델은 패턴의 공간 속성과 관련되는 또는 에치 단계의 에치 플라즈마 종 농도와 관련되는 변수를 포함하고, 에치 단계의 에치 시간에 적합되는 또는 기초하는 파라미터의 거듭제곱에 대한 자연 지수 함수를 포함하는 수학적 항을 포함하는 공식을 포함함 - ; 및
결정된 에치 바이어스에 기초하여 패턴화 프로세스를 조정하는 것.
2. 지수 함수의 파라미터는 에치 단계에 대한 반응 상수 및 에치 시간에 적합되거나 또는 기초하는, 조항 1의 방법.
3. 변수는 패턴의 공간 속성을 포함하고 패턴의 공간 속성은 초기 패턴 엘리먼트 치수인, 조항 1 또는 조항 2의 방법.
4. 변수는 패턴의 공간 속성을 포함하고, 공식은 수학적 항에 의해 승산되는 변수를 포함하는, 조항 1 내지 조항 3 중 임의의 것의 방법.
5. 변수는 패턴의 공간 속성을 포함하고, 공식은
Figure pct00021
의 형태를 포함하되, CD0은 변수이고 패턴의 치수에 대응하며, kt는 에치 단계의 에치 시간 t 및 에치 단계에 대한 반응 상수 k에 대해 적합되는 파라미터인, 조항 1 내지 조항 4 중 임의의 것의 방법.
6. 변수는 에치 플라즈마 종 농도를 포함하고 공식은 교정 파라미터를 더 포함하는, 조항 1 내지 조항 5 중 임의의 것의 방법.
7. 변수는 에치 플라즈마 종 농도를 포함하고 공식은 수학적 항에 의해 승산되는 변수를 포함하는, 조항 1 내지 조항 6 중 임의의 것의 방법.
8. 변수는 에치 플라즈마 종 농도를 포함하되, 공식은
Figure pct00022
의 형태를 포함하고, 여기서 k1은 교정 파라미터이고, CT0은 변수이고 에치 플라즈마 종 농도에 대응하며, kt는 에치 단계의 에치 시간 t 및 에치 단계에 대한 반응 상수 k에 대해 적합되는 파라미터인, 조항 1 내지 조항 7 중 임의의 것의 방법.
9. 변수는 에치 플라즈마 종 농도를 포함하고, 에치 플라즈마 종 농도는 패턴 상의 평가 포인트를 둘러싸는 에치된 재료 구역 내에서 패턴의 에치된 영역에 대해 정의되되, 에치 플라즈마 종 농도는 에치된 영역에 비례하는, 조항 1 내지 조항 8 중 임의의 것의 방법.
10. 에치된 재료 구역은 평가 포인트에 중심을 두는 원형 구역이되, 평가 포인트는 에치된 영역과 기판의 패턴화 재료 영역 사이의 계면에 위치되는, 조항 9의 방법.
11. 변수는 에치 플라즈마 종 농도를 포함하고, 공식은 지수 함수의 거듭제곱에 통합되는 수정된 형태의 아레니우스 식을 포함하는, 조항 1 내지 조항 10 중 임의의 것의 방법.
12. 변수는 에치 플라즈마 종 농도를 포함하고 공식은 지수 함수의 거듭제곱에 패턴화 재료 농도를 통합하는, 조항 1 내지 조항 11 중 임의의 것의 방법.
13. 변수는 에치 플라즈마 종 농도를 포함하고, 공식은
Figure pct00023
을 포함하는 형태를 가지되, k1은 교정 파라미터이고, CT0은 변수이고 에치 플라즈마 종 농도에 대응하고, CR은 패턴화 재료 농도이고, At는 에치 단계의 에치 시간 t 및 에치 단계의 반응에 대한 주파수 인자 A에 대해 적합되는 파라미터이고, s는 에치 단계에 대한 상수인, 조항 1 내지 조항 12 중 임의의 것의 방법.
14. 패턴화 재료 농도는, 패턴 상의 평가 포인트에 인접하는 패턴의 패턴화 재료 영역에 대해 정의되는, 조항 12 또는 조항 13의 방법.
15. 패턴화 재료 구역은 직선형이고, 패턴화 재료 구역은 평가 포인트에 인접하거나 또는 중첩하거나 또는 원형이고 평가 포인트를 둘러싸는, 조항 14의 방법.
16. 에치 플라즈마 종 농도는, 패턴 상의 평가 포인트를 둘러싸는 에치된 재료 구역 내의 패턴의 에치된 영역에 대해 정의되되, 에치 플라즈마 종 농도는 에치된 영역에 비례하는, 조항 12 내지 조항 15 중 임의의 것의 방법.
17. 조항 1 내지 조항 16 중 임의의 것은 방법은: 다음의 것을 더 포함한다:
패턴 내의 복수의 사이트의 각각에서, 패턴의 공간 속성의 값을 수집하는 것; 및
하드웨어 컴퓨팅 디바이스에 의해 그리고 공간 속성의 값을 사용하여, 파라미터를 생성하도록 공식을 적합시키는 것.
18. 패턴화 프로세스를 조정하는 것은, 계산된 에치 바이어스에 따라 패턴화 디바이스의 영역의 경계를 조정하는 것을 포함하는, 조항 1 내지 조항 17 중 임의의 것의 방법.
19. 패턴화 디바이스의 영역은 패턴화 디바이스를 타격하는 방사선을 수정하는, 조항 18의 방법.
20. 패턴은 디바이스 패턴인, 조항 1 내지 조항 19 중 임의의 것의 방법.
21. 다음의 것을 포함하는 방법:
하드웨어 컴퓨터에 의해, 에치 바이어스 모델에 기초하여 패턴화 프로세스의 에치 단계를 사용하여 에치될 패턴에 대한 에치 바이어스를 결정하는 것 - 에치 바이어스 모델은 에치 플라즈마 종 농도 및 패턴화 재료 농도의 함수를 포함함 - ; 및
결정된 에치 바이어스에 기초하여 패턴화 프로세스를 조정하는 것.
22. 함수는 패턴화 재료 농도와 승산되는 에치 플라즈마 종 농도를 포함하는, 조항 21의 방법.
23. 함수는, 에치 시간의 소정 수의 시간 간격에 대한 에치 플라즈마 종 농도 및 패턴화 재료 농도의 합산을 포함하는, 조항 21 또는 조항 22의 방법.
24. 에치 모델은, 에치 단계의 에치 시간에 적합되는 또는 기초하는 파라미터의 거듭제곱에 대한 자연 지수 함수를 포함하는 수학적 항을 포함하는, 조항 21 내지 조항 23 중 임의의 것의 방법.
25. 에치 바이어스 모델은
Figure pct00024
을 포함하는 형태를 가지되, CT는 에치 플라즈마 종 농도에 대응하고 에치 시간에 n 개의 수의 시간 간격에서 평가되고, CR은 패턴화 재료 농도에 대응하고 에치 시간에 n 개의 수의 시간 간격에서 평가되며, tn 및 cn은 에치 레이트 계수인, 조항 21 내지 조항 24 중 임의의 것의 방법.
26. 명령어가 기록된 비일시적 컴퓨터 판독 가능 매체를 포함하는 컴퓨터 프로그램 제품으로서, 명령어는 컴퓨터에 의해 실행될 때 조항 1 내지 조항 25 중 임의의 것의 방법을 구현한다.
본 문서에서 IC의 제조에 대한 특정한 참조가 이루어질 수도 있지만, 본원의 설명은 많은 다른 가능한 애플리케이션을 갖는다는 것이 명백히 이해되어야 한다. 예를 들면, 그것은, 통합된 광학 시스템의 제조, 자기 도메인 메모리에 대한 안내(guidance) 및 검출 패턴, 액정 디스플레이 패널, 박막 자기 헤드, 등등의 제조에서 활용될 수도 있다. 숙련된 기술자는, 그러한 대안적 애플리케이션의 맥락에서, 본 문서에서의 용어 "레티클"/"마스크", "웨이퍼" 또는 "다이"의 임의의 사용이, 더욱 일반적인 용어 "패턴화 디바이스", "기판", 및 "타겟 부분"과, 각각, 상호 교환 가능한 것으로 간주되어야 한다는 것을 인식할 것이다.
본 문서에서, 용어 "방사선" 및 "빔"은, 자외선 방사선(예를 들면, 365, 248, 193, 157 또는 126 nm의 파장을 가짐) 및 EUV(예를 들면, 약 5 내지 100 nm의 범위 내의 파장을 갖는 극자외선 방사선)을 비롯한, 모든 타입의 전자기 방사선을 포함하기 위해 사용된다.
본원에서 개시되는 개념이 실리콘 웨이퍼와 같은 기판 상에서의 이미징을 위한 시스템 및 방법과 함께 사용될 수도 있지만, 개시된 개념은 임의의 타입의 리소그래피 시스템, 예를 들면, 실리콘 웨이퍼 이외의 기판 상에서 이미징을 위해 사용되는 리소그래피 시스템과 함께 사용될 수도 있다는 것이 이해될 수 있을 것이다
블록도에서, 예시된 컴포넌트는 별개의 기능 블록으로서 묘사되지만, 그러나, 실시형태는, 본원에서 설명되는 기능성이 예시되는 바와 같이 구성되는 시스템으로 제한되지는 않는다. 컴포넌트의 각각에 의해 제공되는 기능성은, 현재 묘사되는 것과는 상이하게 구성되는 소프트웨어 또는 하드웨어 모듈에 의해 제공될 수도 있는데, 예를 들면, 그러한 소프트웨어 또는 하드웨어는 혼합될 수도 있거나, 결합될 수도 있거나, 복제될 수도 있거나, 분리될(broke up) 수도 있거나, (예를 들면, 데이터 센터 내에서 또는 지리적으로) 분산될 수도 있거나, 또는 다르게는 상이하게 구성될 수도 있다. 본원에서 설명되는 기능성은, 유형의 비일시적 머신 판독 가능 매체 상에 저장되는 코드를 실행하는 하나 이상의 컴퓨터의 하나 이상의 프로세서에 의해 제공될 수도 있다. 몇몇 경우에, 써드파티 콘텐츠 전달 네트워크는 네트워크를 통해 전달되는 정보의 일부 또는 전부를 호스팅할 수도 있는데, 이 경우, 정보(예를 들면, 콘텐츠)가 공급되거나 또는 다르게는 제공된다고 하는 범위까지, 정보는, 콘텐츠 전달 네트워크로부터 그 정보를 검색하는 명령어를 전송하는 것에 의해 제공될 수도 있다.
구체적으로 달리 언급되지 않는 한, 논의로부터 명백한 바와 같이, 용어 "프로세싱", "컴퓨팅", "계산하는", "결정하는" 또는 등등을 활용하는 논의는, 특수 목적 컴퓨터 또는 유사한 특수 목적 전자 프로세싱/컴퓨팅 디바이스와 같은 특정한 장치의 액션 또는 프로세스를 가리킨다는 것이 본 명세서 전반에 걸쳐 인식된다.
독자는 본 출원이 여러 가지 발명을 설명한다는 것을 인식해야 한다. 그들 발명을 다수의 별개의 특허 출원으로 분리하는 대신, 본 출원인은 이들 발명을 단일의 문서로 그룹화하였는데, 그 이유는, 그들의 관련된 주제가 출원 과정에서의 절약에 적합하기 때문이다. 그러나, 그러한 발명의 뚜렷한 이점과 양태는 혼동되지 않아야 한다. 몇몇 경우에, 실시형태는 본원에서 언급되는 모든 결함을 해결하지만, 그러나, 본 발명은 독립적으로 유용하다는 것, 및 몇몇 실시형태는 그러한 문제의 서브세트만을 해결하거나 또는 본 개시를 재검토하는 기술 분야의 숙련된 자에게 명백할 다른 언급되지 않은 이점을 제공한다는 것이 이해되어야 한다. 비용 제약에 기인하여, 본원에서 개시되는 몇몇 발명은 현재 청구되지 않을 수도 있고, 연속 출원과 같은 나중의 출원에서 또는 본 청구범위를 수정하는 것에 의해 청구될 수도 있다. 마찬가지로, 공간 제약에 기인하여, 본 문서의 발명 섹션의 요약서도 또는 개요도, 모든 그러한 발명의 포괄적인 목록 또는 그러한 발명의 모든 양태를 포함하는 것으로 간주되지 않아야 한다.
설명 및 도면은 본 발명을 개시되는 특정 형태로 제한하도록 의도되는 것이 아니며, 오히려, 의도는, 첨부된 청구범위에 의해 정의되는 바와 같은 본 발명의 취지 및 범위 내에 속하는 모든 수정예, 등가예, 및 대안예를 포괄하는 것이다는 것이 이해되어야 한다.
본 발명의 다양한 양태의 수정예 및 대안적인 실시형태는, 이 설명을 고려한 기술 분야의 숙련된 자에게 명백할 것이다. 따라서, 이 설명 및 도면은 단지 예시적인 것으로 해석되어야 하며, 통상의 숙련자에게 본 발명을 수행하는 일반적인 방식을 교수하는 목적을 위한 것이다. 본원에 도시되고 설명되는 본 발명의 형태는 실시형태의 예로서 간주되어야 한다는 것이 이해되어야 한다. 모두 본 발명의 이 설명의 이점을 가진 이후 기술 분야에서 숙련된 자에게 명백할 것처럼, 엘리먼트 및 재료는, 본원에서 예시되고 설명되는 것들을 대신할 수도 있고, 부품 및 프로세스는 반전 또는 생략될 수도 있고, 소정의 피쳐는 독립적으로 활용될 수도 있으며, 실시형태 또는 실시형태의 피쳐는 결합될 수도 있다. 다음의 청구범위에서 설명되는 바와 같은 본 발명의 취지 및 범위를 벗어나지 않으면서 본원에서 설명되는 엘리먼트에서 변경이 이루어질 수도 있다. 본원에서 사용되는 표제(heading)는 단지 편제의 목적(organizational purpose)을 위한 것이며, 설명의 범위를 제한하기 위해 사용되도록 의도되지는 않는다.
본 출원 전반에 걸쳐 사용될 때, 단어 "할 수도 있는(may)"는, 강제적 의미(즉, 해야함(must)을 의미함)보다는 허용적 의미(즉, ~할 잠재성을 갖는다는 것을 의미함)에서 사용된다. 단어 "포함한다(include)", "포함하는(including)", 및 "포함한다(includes)" 및 등등은, ~를 포함하지만 그러나 ~로 제한되지 않는다는 것을 의미한다. 본 출원 전체에 걸쳐 사용될 때, 단수 형태 "a(한)", "an(한)" 및 "the(그)"는, 내용이 명시적으로 달리 지시하지 않는 한, 복수의 지시 대상을 포함한다. 따라서, 예를 들면, "한(an)" 엘리먼트 또는 "한(a)" 엘리먼트에 대한 언급은, "하나 이상"과 같은 하나 이상의 엘리먼트에 대한 다른 용어 및 어구의 사용에도 불구하고, 두 개 이상의 엘리먼트의 조합을 포함한다. 용어 "또는"은, 달리 지시되지 않는 한, 비배타적이다, 즉, "그리고" 및 "또는" 둘 모두를 포괄한다. 조건부 관계를 설명하는 용어, 예를 들면, "X에 응답하여, Y", "X이면, Y", "X인 경우, Y", "X인 때, Y", 및 등등은, 선행사가 필요한 인과 조건(necessary causal condition)이거나, 선행사가 충분한 인과 조건(sufficient causal condition)이거나, 또는 선행사가 결과의 기여하는 인과 조건(contributory causal condition)인 인과 관계를 포괄하는데, 예를 들면, "조건 Y가 획득되면 상태 X가 발생한다"는 "Y인 경우에만 X가 발생한다" 및 "Y 및 Z인 경우 X가 발생한다"에 대한 총칭이다. 그러한 조건부 관계는, 몇몇 결과가 지연될 수도 있기 때문에 선행사 획득을 즉시 따르는 결과로 제한되지 않으며, 조건문(conditional statement)에서, 선행사는 그들의 결과에 연결된다, 예를 들면, 선행사는 결과 발생 가능성에 관련된다. 복수의 특질(attribute) 또는 기능이 복수의 오브젝트(예를 들면, 단계 A, B, C 및 D를 수행하는 하나 이상의 프로세서)로 매핑되는 진술(statement)은, 달리 지시되지 않는 한, 모든 그러한 특질 또는 기능이 모든 그러한 오브젝트로 매핑되는 것 및 특질 또는 기능의 서브세트가 특질 또는 기능의 서브세트로 매핑되는 것 둘 모두(예를 들면, 모든 프로세서 각각이 단계 A 내지 D를 수행하는 것, 및 프로세서 1이 단계 A를 수행하고, 프로세서 2가 단계 B 및 단계 C의 일부를 수행하고, 프로세서 3이 단계 C의 일부 및 단계 D를 수행하는 경우 둘 모두)를 포괄한다. 또한, 달리 지시되지 않는 한, 하나의 값 또는 액션이 다른 조건 또는 값"에 기초한다"는 진술은, 조건 또는 값이 유일한 요인인 경우 및 조건 또는 값이 복수의 요인 중 하나의 요인인 경우 둘 모두를 포괄한다. 달리 나타내어지지 않는 한, 몇몇 콜렉션(collection)의 "각각의" 인스턴스가 몇몇 속성을 갖는다는 진술은, 더 큰 콜렉션의 몇몇의 다르게는 동일한 또는 유사한 멤버가 그 속성을 갖지 않는 경우를 배제하도록 판독되지 않아야 한다, 즉, 각각(each)은 반드시 각각(each) 및 모든 것(every)을 의미하지는 않는다.
소정의 미국 특허, 미국 특허 출원, 또는 다른 자료(예를 들면, 논문)가 참조에 의해 통합되는 경우, 그러한 미국 특허, 미국 특허 출원, 및 다른 자료의 텍스트는, 본원에서 기술되는 진술 및 도면과 그러한 자료 사이에 어떠한 충돌도 없는 정도까지만 참조에 의해 통합된다. 그러한 충돌의 경우에, 참조에 의해 통합되는 그러한 미국 특허, 미국 특허 출원, 및 다른 자료에서의 임의의 그러한 충돌하는 텍스트는 참조에 의해 본원에 명시적으로 통합되지 않는다.
본 출원의 설명은, 예시 및 설명의 목적을 위해 제시되었으며, 본 발명을 망라하도록 또는 본 발명을 개시되는 형태로 제한하도록 의도되지는 않는다. 많은 수정예 및 변동예가 기술 분야에서 통상의 지식을 가진 자에게는 명백할 것이다. 따라서, 하기에서 설명되는 청구범위의 범위를 벗어나지 않으면서 설명되는 바와 같이 수정이 이루어질 수도 있다는 것이 기술 분야에서 숙련된 자에게는 명백할 것이다.

Claims (15)

  1. 하드웨어 컴퓨터에 의해, 에치 바이어스 모델(etch bias model)에 기초하여 패턴화 프로세스(patterning process)의 에치 단계를 사용하여 에치될 패턴에 대한 에치 바이어스(etch bias)를 결정하는 단계 - 상기 에치 바이어스 모델은 상기 패턴의 공간 속성(property)과 관련되는 또는 상기 에치 단계의 에치 플라즈마 종 농도(etch plasma species concentration)와 관련되는 변수를 포함하고, 상기 에치 단계의 에치 시간에 적합되는(fitted) 또는 기초하는 파라미터의 거듭제곱(power)에 대한 자연 지수 함수(natural exponential function)를 포함하는 수학적 항을 포함하는 공식을 포함함 - ; 및
    상기 결정된 에치 바이어스에 기초하여 상기 패턴화 프로세스를 조정하는 단계를 포함하는, 방법.
  2. 제1항에 있어서,
    상기 지수 함수의 상기 파라미터는 상기 에치 단계에 대한 반응 상수 및 상기 에치 시간에 적합되거나 또는 기초하는, 방법.
  3. 제1항 또는 제2항에 있어서,
    상기 변수는 상기 패턴의 상기 공간 속성을 포함하고 상기 패턴의 상기 공간 속성은 초기 패턴 엘리먼트 치수인, 방법.
  4. 제1항 내지 제3항 중 어느 한 항에 있어서,
    상기 변수는 상기 패턴의 상기 공간 속성을 포함하고, 상기 공식은 상기 수학적 항에 의해 승산되는 상기 변수를 포함하는, 방법.
  5. 제1항 내지 제4항 중 어느 한 항에 있어서,
    상기 변수는 상기 패턴의 상기 공간 속성을 포함하고, 상기 공식은
    Figure pct00025
    의 형태를 포함하되, CD0은 변수이고 상기 패턴의 치수에 대응하며, kt는 상기 에치 단계의 상기 에치 시간 t 및 상기 에치 단계에 대한 반응 상수 k에 대해 적합되는 파라미터인, 방법.
  6. 제1항 내지 제5항 중 어느 한 항에 있어서,
    상기 변수는 상기 에치 플라즈마 종 농도를 포함하고 상기 공식은 교정 파라미터를 더 포함하는, 방법.
  7. 제1항 내지 제6항 중 어느 한 항에 있어서,
    상기 변수는 상기 에치 플라즈마 종 농도를 포함하고 상기 공식은 상기 수학적 항에 의해 승산되는 상기 변수를 포함하는, 방법.
  8. 제1항 내지 제7항 중 어느 한 항에 있어서,
    상기 변수는 상기 에치 플라즈마 종 농도를 포함하되, 상기 공식은
    Figure pct00026
    의 형태를 포함하고, k1은 교정 파라미터이고, CT0은 변수이고 상기 에치 플라즈마 종 농도에 대응하며, kt는 상기 에치 단계의 상기 에치 시간 t 및 상기 에치 단계에 대한 반응 상수 k에 대해 적합되는 파라미터인, 방법.
  9. 제1항 내지 제8항 중 어느 한 항에 있어서,
    상기 변수는 상기 에치 플라즈마 종 농도를 포함하고, 상기 에치 플라즈마 종 농도는 상기 패턴 상의 평가 포인트를 둘러싸는 에치된 재료 구역(etched material ambit) 내에서 상기 패턴의 에치된 영역에 대해 정의되고, 상기 에치 플라즈마 종 농도는 상기 에치 영역에 비례하고, 및/또는 상기 에치된 재료 구역은 상기 평가 포인트에 중심을 두는 원형 구역이되, 상기 평가 포인트는 상기 에치된 영역과 상기 기판의 패턴화 재료 영역 사이의 계면에 위치되는, 방법.
  10. 제1항 내지 제10항 중 어느 한 항에 있어서,
    상기 변수는 상기 에치 플라즈마 종 농도를 포함하고, 상기 공식은 상기 지수 함수의 거듭제곱에 통합되는 수정된 형태의 아레니우스 식(Arrhenius equation)을 포함하는, 방법.
  11. 제1항 내지 제11항 중 어느 한 항에 있어서,
    상기 변수는 상기 에치 플라즈마 종 농도를 포함하고 상기 공식은 상기 지수 함수의 거듭제곱에 패턴화 재료 농도를 통합하고, 및/또는 상기 패턴화 재료 농도는, 상기 패턴 상의 평가 포인트에 인접하는 상기 패턴의 패턴화 재료 영역에 대해 정의되고, 및/또는 상기 에치 플라즈마 종 농도는, 상기 패턴 상의 평가 포인트를 둘러싸는 에치된 재료 구역 내의 상기 패턴의 에치된 영역에 대해 정의되되, 상기 에치 플라즈마 종 농도는 상기 에치된 영역에 비례하는, 방법.
  12. 제1항 내지 제12항 중 어느 한 항에 있어서,
    상기 변수는 상기 에치 플라즈마 종 농도를 포함하고, 상기 공식은
    Figure pct00027
    을 포함하는 형태를 가지되, k1은 교정 파라미터이고, CT0은 상기 변수이고 상기 에치 플라즈마 종 농도에 대응하고, CR은 패턴화 재료 농도이고, At는 상기 에치 단계의 상기 에치 시간 t 및 상기 에치 단계의 반응에 대한 주파수 인자 A에 대해 적합되는 파라미터이고, s는 상기 에치 단계에 대한 상수이고, 및/또는 상기 패턴화 재료 구역은 직선형이고, 상기 패턴화 재료 구역은 상기 평가 포인트에 인접하거나 또는 중첩하거나 또는 원형이고 상기 평가 포인트를 둘러싸는, 방법.
  13. 제1항 내지 제16항 중 어느 한 항에 있어서,
    패턴 내의 복수의 사이트의 각각에서, 상기 패턴의 공간 속성의 값을 수집하는 단계; 및
    하드웨어 컴퓨팅 디바이스에 의해 그리고 상기 공간 속성의 값을 사용하여, 상기 파라미터를 생성하도록 상기 공식을 적합시키는 단계를 더 포함하는, 방법.
  14. 제1항 내지 제17항 중 어느 한 항에 있어서,
    상기 패턴화 프로세스를 조정하는 단계는, 계산된 에치 바이어스에 따라 상기 패턴화 디바이스의 영역의 경계를 조정하는 단계를 포함하고, 및/또는 상기 패턴화 디바이스의 상기 영역은 상기 패턴화 디바이스를 타격하는 방사선을 수정하는, 방법.
  15. 명령어가 기록된 비일시적 컴퓨터 판독 가능 매체를 포함하는 컴퓨터 프로그램 제품으로서,
    상기 명령어는 컴퓨터에 의해 실행될 때 제1항의 방법을 구현하는, 컴퓨터 프로그램 제품.
KR1020197027635A 2017-02-24 2018-02-21 에치 바이어스 특성 묘사 및 그 사용 방법 KR102257919B1 (ko)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020217015472A KR102376256B1 (ko) 2017-02-24 2018-02-21 에치 바이어스 특성 묘사 및 그 사용 방법

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201762463556P 2017-02-24 2017-02-24
US62/463,556 2017-02-24
PCT/EP2018/054212 WO2018153884A1 (en) 2017-02-24 2018-02-21 Etch bias characterization and method of using the same

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020217015472A Division KR102376256B1 (ko) 2017-02-24 2018-02-21 에치 바이어스 특성 묘사 및 그 사용 방법

Publications (2)

Publication Number Publication Date
KR20190117710A true KR20190117710A (ko) 2019-10-16
KR102257919B1 KR102257919B1 (ko) 2021-05-31

Family

ID=61581243

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020217015472A KR102376256B1 (ko) 2017-02-24 2018-02-21 에치 바이어스 특성 묘사 및 그 사용 방법
KR1020197027635A KR102257919B1 (ko) 2017-02-24 2018-02-21 에치 바이어스 특성 묘사 및 그 사용 방법

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020217015472A KR102376256B1 (ko) 2017-02-24 2018-02-21 에치 바이어스 특성 묘사 및 그 사용 방법

Country Status (5)

Country Link
US (2) US11675274B2 (ko)
KR (2) KR102376256B1 (ko)
CN (2) CN113759671A (ko)
TW (1) TWI673770B (ko)
WO (1) WO2018153884A1 (ko)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102025496B1 (ko) 2017-09-05 2019-09-25 이준석 원심분리용 피스톤 및 이를 포함하는 원심분리 장치
CN115274488B (zh) * 2022-09-27 2023-02-10 浙江大学杭州国际科创中心 碳化硅裸片与碳化硅掩膜层刻蚀深度选择比预测方法

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5926690A (en) * 1997-05-28 1999-07-20 Advanced Micro Devices, Inc. Run-to-run control process for controlling critical dimensions
US20070143733A1 (en) * 2005-10-03 2007-06-21 Invarium, Inc. Method of compensating photomask data for the effects of etch and lithography processes
KR20090069093A (ko) * 2007-12-24 2009-06-29 주식회사 하이닉스반도체 반도체 소자 형성 방법
KR20090071736A (ko) * 2007-12-28 2009-07-02 주식회사 하이닉스반도체 식각 바이어스 모델링을 이용한 광학적 근접 효과 보정방법
US7849423B1 (en) * 2006-07-21 2010-12-07 Cadence Design Systems, Inc. Method of verifying photomask data based on models of etch and lithography processes
KR20110138083A (ko) * 2010-06-18 2011-12-26 삼성전자주식회사 식각 근접 보정방법 및 그를 이용한 포토마스크 레이아웃의 생성방법
KR20130096581A (ko) * 2012-02-22 2013-08-30 삼성전자주식회사 식각 근접 보정방법
US20160335384A1 (en) * 2015-05-14 2016-11-17 Synopsys, Inc. 3d resist profile aware etch-bias model

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6893975B1 (en) * 2004-03-31 2005-05-17 Tokyo Electron Limited System and method for etching a mask
US20100269084A1 (en) * 2008-11-24 2010-10-21 Yuri Granik Visibility and Transport Kernels for Variable Etch Bias Modeling of Optical Lithography
US9153478B2 (en) * 2013-03-15 2015-10-06 Taiwan Semiconductor Manufacturing Company, Ltd. Spacer etching process for integrated circuit design
US9733576B2 (en) * 2014-03-17 2017-08-15 Kla-Tencor Corporation Model for accurate photoresist profile prediction
KR101991498B1 (ko) * 2014-12-12 2019-06-20 에이에스엠엘 네델란즈 비.브이. 기판 모델 파라미터를 계산하고 리소그래피 처리를 제어하기 위한 방법 및 장치

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5926690A (en) * 1997-05-28 1999-07-20 Advanced Micro Devices, Inc. Run-to-run control process for controlling critical dimensions
US20070143733A1 (en) * 2005-10-03 2007-06-21 Invarium, Inc. Method of compensating photomask data for the effects of etch and lithography processes
US7849423B1 (en) * 2006-07-21 2010-12-07 Cadence Design Systems, Inc. Method of verifying photomask data based on models of etch and lithography processes
KR20090069093A (ko) * 2007-12-24 2009-06-29 주식회사 하이닉스반도체 반도체 소자 형성 방법
KR20090071736A (ko) * 2007-12-28 2009-07-02 주식회사 하이닉스반도체 식각 바이어스 모델링을 이용한 광학적 근접 효과 보정방법
KR20110138083A (ko) * 2010-06-18 2011-12-26 삼성전자주식회사 식각 근접 보정방법 및 그를 이용한 포토마스크 레이아웃의 생성방법
KR20130096581A (ko) * 2012-02-22 2013-08-30 삼성전자주식회사 식각 근접 보정방법
US20160335384A1 (en) * 2015-05-14 2016-11-17 Synopsys, Inc. 3d resist profile aware etch-bias model

Also Published As

Publication number Publication date
US11675274B2 (en) 2023-06-13
CN110325924A (zh) 2019-10-11
KR102257919B1 (ko) 2021-05-31
CN113759671A (zh) 2021-12-07
US20230314958A1 (en) 2023-10-05
US20190354020A1 (en) 2019-11-21
TWI673770B (zh) 2019-10-01
KR102376256B1 (ko) 2022-03-18
CN110325924B (zh) 2021-09-07
TW201842544A (zh) 2018-12-01
WO2018153884A1 (en) 2018-08-30
KR20210062741A (ko) 2021-05-31

Similar Documents

Publication Publication Date Title
US10795267B2 (en) Model for estimating stochastic variation
TW202034096A (zh) 繞射量測標的
US20230314958A1 (en) Etch bias characterization and method of using the same
TWI660235B (zh) 判定一經圖案化基板之一參數的方法及非暫時性電腦程式產品
TWI824809B (zh) 用於校準模擬製程之方法及其相關非暫時性電腦可讀媒體
KR20190117733A (ko) 패턴화 디바이스, 그 제조 방법, 및 패턴화 디바이스 설계 방법
TW202107198A (zh) 用於圖案化製程模型化的方法
TW201632984A (zh) 使用圖案化裝置形貌誘導相位之方法及設備
US11669020B2 (en) Method and apparatus for pattern fidelity control
TWI700562B (zh) 在一微影製程之對準標記定位
TWI811952B (zh) 度量衡方法及設備
KR20190097232A (ko) 계측 툴 및 계측 툴 사용 방법
TWI709829B (zh) 用於在掃描期間組態光束的空間維度的方法及裝置

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant