KR20190057404A - Wafer positioning for semiconductor processing Pad lift mechanism of pedestal - Google Patents

Wafer positioning for semiconductor processing Pad lift mechanism of pedestal Download PDF

Info

Publication number
KR20190057404A
KR20190057404A KR1020197013676A KR20197013676A KR20190057404A KR 20190057404 A KR20190057404 A KR 20190057404A KR 1020197013676 A KR1020197013676 A KR 1020197013676A KR 20197013676 A KR20197013676 A KR 20197013676A KR 20190057404 A KR20190057404 A KR 20190057404A
Authority
KR
South Korea
Prior art keywords
pedestal
pad
assembly
lift
lift pad
Prior art date
Application number
KR1020197013676A
Other languages
Korean (ko)
Other versions
KR102461066B1 (en
Inventor
폴 콘콜라
카를 에프. 리저
이스워 스리니바산
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US15/291,549 external-priority patent/US9892956B1/en
Priority claimed from US15/366,927 external-priority patent/US10573549B2/en
Priority claimed from US15/367,903 external-priority patent/US9960068B1/en
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Priority to KR1020227037382A priority Critical patent/KR102584339B1/en
Publication of KR20190057404A publication Critical patent/KR20190057404A/en
Application granted granted Critical
Publication of KR102461066B1 publication Critical patent/KR102461066B1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4584Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally the substrate being rotated
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67276Production flow monitoring, e.g. for increasing throughput
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68764Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a movable susceptor, stage or support, others than those only rotating on their own vertical axis, e.g. susceptors on a rotating caroussel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68771Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by supporting more than one semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68785Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68792Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the construction of the shaft

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Automation & Control Theory (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Chemical Vapour Deposition (AREA)
  • Mechanical Treatment Of Semiconductor (AREA)
  • Jigs For Machine Tools (AREA)

Abstract

웨이퍼 상에 막을 증착하기 위해 프로세스 챔버 내에서 사용되는 어셈블리. 페데스탈 어셈블리는 메인 프레임에 이동가능하게 장착된 페데스탈을 포함한다. 리프트 패드는 페데스탈 어셈블리와 함께 이동하고 페데스탈 상에 받쳐진다. 상승 메커니즘은 페데스탈로부터 리프트 패드를 분리하고, 메인 프레임에 고정된 하드 정지부, 페데스탈 어셈블리에 부착된 롤러, 페데스탈 어셈블리에 이동가능하게 부착된 슬라이드, 리프트 패드로부터 연장된 패드 샤프트 및 슬라이드와 상호연결된 리프트 패드 브래킷, 및 리프트 패드 브래킷에 로테이팅 가능하게 부착된 레버를 포함한다. 상부 하드 정지부와 인게이지되지 않을 때, 레버는 롤러 상에 받쳐진다. 페데스탈 어셈블리가 상향으로 이동할 때, 레버는 상부 하드 정지부 및 롤러와 인게이지할 때 핀을 중심으로 로테이팅하고, 프로세스 로테이션 변위만큼 페데스탈로부터 리프트 패드를 분리한다.An assembly for use in a process chamber for depositing a film on a wafer. The pedestal assembly includes a pedestal that is movably mounted on the mainframe. The lift pad moves with the pedestal assembly and rests on the pedestal. The lifting mechanism separates the lift pad from the pedestal and includes a hard stop fixed to the main frame, a roller attached to the pedestal assembly, a slide movably attached to the pedestal assembly, a pad shaft extending from the lift pad, A pad bracket, and a lever rotatably attached to the lift pad bracket. When the upper hard stop is not engaged, the lever is supported on the roller. When the pedestal assembly is moved upward, the lever rotates about the pin as it engages the upper hard stop and the roller and separates the lift pad from the pedestal by the process rotation displacement.

Description

반도체 프로세싱용 웨이퍼 포지셔닝 페데스탈의 패드 상승 메커니즘Wafer positioning for semiconductor processing Pad lift mechanism of pedestal

본 실시예들은 반도체 기판 프로세싱 방법들 및 장비 툴들, 보다 구체적으로, 상이한 웨이퍼 대 페데스탈 배향들의 웨이퍼를 프로세싱하기 위한 웨이퍼 포지셔닝 페데스탈에 관한 것이다. The present embodiments are directed to semiconductor substrate processing methods and apparatus tools, and more particularly, a wafer positioning pedestal for processing wafers of different wafer-to-pedestal orientations.

개선된 막 균일도가 PECVD (plasma-enhanced chemical vapor deposition) 기술 및 플라즈마 인핸스드 ALD (atomic layer deposition) 기술에서 중요하다. PECVD 및 ALD를 구현하는 챔버 시스템들은 불균일 막 증착에 기여하는 하드웨어 시그니처 (signature) 와 연관된다. 예를 들어, 하드웨어 시그니처는 챔버 비대칭과 연관되고 페데스탈 비대칭과 연관될 수 있다. 게다가, 많은 프로세스들은 다양한 근원들 (origin) 의 방위각 불균일도를 경험한다. 소비자들이 웨이퍼 에지에 점점 보다 가깝게 다이 (die) 를 위치시키려고 하기 때문에, 전체 불균일도에 대한 이러한 방위각적 불균일도의 수치의 기여가 증대된다. 대미지 및/또는 불균일 증착 프로파일들을 최소화하기 위한 최선의 노력들에도 불구하고, 종래의 PECVD 스킴 (scheme) 및 플라즈마 ALD 스킴은 여전히 개선을 필요로 한다.Improved film uniformity is important in plasma enhanced chemical vapor deposition (PECVD) techniques and plasma enhanced atomic layer deposition (ALD) techniques. Chamber systems implementing PECVD and ALD are associated with hardware signatures that contribute to non-uniform film deposition. For example, hardware signatures can be associated with chamber asymmetry and with pedestal asymmetry. In addition, many processes experience azimuthal non-uniformity of various origins. Since consumers are attempting to place the die closer and closer to the wafer edge, the contribution of this numerical value of azimuthal non-uniformity to the overall non-uniformity is increased. Despite the best efforts to minimize damage and / or non-uniform deposition profiles, conventional PECVD schemes and plasma ALD schemes still require improvement.

구체적으로, PECVD 및 ALD를 수행하는 멀티-스테이션 모듈들은 방위각 불균일도들 (예를 들어, 세타 방향의 NU) 에 기여할 수도 있는 대형의, 개방된 리액터를 특징으로 한다. 예를 들어, 일부 불균일도들은 리액터의 중심에서 스핀들 이송 메커니즘을 향해 특유의 막 두께 경사 (tilt) 를 발생시킬 수도 있다. 어셈블리 및 컴포넌트 제작 오차들 (tolerances) 에 의해 유발되는 것들을 포함하여 불균일한 물리적 챔버 기하구조들로 인해 불균일도들은 또한 단일-스테이션 모듈들에도 존재한다. Specifically, multi-station modules that perform PECVD and ALD feature large, open reactors that may contribute to azimuthal non-uniformities (e.g., NU in the theta direction). For example, some non-uniformities may cause a unique film thickness tilt towards the spindle transport mechanism at the center of the reactor. Non-uniformities also exist in single-station modules due to non-uniform physical chamber geometries, including those caused by assembly and component manufacturing tolerances.

인습적으로, 증착 불균일도들은 샤워헤드들이 페데스탈들에 평행하지 않게 의도적으로 배향되도록, 샤워헤드들을 물리적으로 틸팅시킴으로써 보상되었다. 훌륭한 해법은 아니지만, 이는 사실상 유효하다. 그러나, 특히, 다이 사이즈가 감소되고 웨이퍼의 에지들이 점점 더 다이들의 소스가 되기 때문에, 이 스킴의 유효성이 더 증대하는 것이 보다 제한된다. Conventionally, deposition non-uniformities were compensated by physically tilting the showerheads so that the showerheads were intentionally oriented not parallel to the pedestals. This is not a good solution, but it is actually valid. However, it is more limited to increase the effectiveness of this scheme, especially since the die size is reduced and the edges of the wafer are increasingly the source of the dies.

하드웨어 시그니처를 로테이팅하지 (rotating) 않고 복수의 배향들에서 웨이퍼를 프로세싱하는 것이 방위각 불균일도를 필터링하는데 (filter out) 유효함을 보였다. 종래 기술에서 가장 기본적인 현재 방법은 웨이퍼를 부분적으로 프로세싱하는 단계, 프로세스 챔버로부터 웨이퍼를 제거하는 단계, 분리된 웨이퍼 핸들러에서 웨이퍼를 로테이팅하는 단계, 및 나중에 새로운 배향 (orientation) 으로 더 프로세싱하기 위해 웨이퍼를 재삽입하는 단계를 포함한다. 이 방법의 주요 장점은 챔버 내부에서 로테이팅하는 하드웨어가 없다는 것이다. 그러나, 이러한 종래 기술의 해법은 쓰루풋, 오염, 및 상당한 추가 하드웨어의 단점들을 갖는다. It has been shown that processing wafers in multiple orientations without rotating hardware signatures is effective to filter out azimuthal unevenness. The most basic current method in the prior art is a method of partially processing a wafer, removing the wafer from the process chamber, rotating the wafer in a separate wafer handler, and later processing the wafer in a new orientation, And reinserting. The main advantage of this method is that there is no hardware to rotate inside the chamber. However, this prior art solution has drawbacks of throughput, contamination, and considerable additional hardware.

종래 기술의 또 다른 해법은 프로세싱 동안 전체 페데스탈을 로테이팅시키는 것이다. 종래 기술의 또 다른 해법은 페데스탈 전체를 프로세싱 동안 로테이팅시키는 것이다. 그러나, 이 해법은 로테이팅의 부정적인 속성, 웨이퍼와 함께 페데스탈과 연관된 불균일도를 갖는다. 그 경우에는, 페데스탈은 무효화할 수도 없고 프로세싱 동안 웨이퍼 상에 나타날 수도 있는 불균일도 시그니처를 가질 수 있다. 또한, 포켓 내에서 웨이퍼의 에지 효과들은 프로세싱 동안 전체 페데스탈이 로테이팅될 때 웨이퍼와 함께 바로 로테이팅되는 또 다른 부류의 불균일도이다. 즉, 불균일도는 (예를 들어, ALD 옥사이드 증착시) 페데스탈 로테이션으로 눈에 띄게 개선되지 않는다. 게다가, 제한된 퍼포먼스에 더하여, 전체 페데스탈을 로테이팅시키는 것은 로테이팅하는 페데스탈을 통해 RF 전력을 통과시키는 희생을 필요로 한다. 이는 충분한 RF전력을 플라즈마로 전달하기 위해 슬립 링 (slip ring) 을 통한 임피던스 매칭을 위해 고가의 회로를 필요로 한다. 전체 페데스탈을 로테이팅시키는 것은 또한 예를 들어 냉각에 사용되는, 가스들 및 유체들의 전달을 복잡하게 한다. 부가적으로, 페데스탈에 존재하는 가열 시스템들이 또한 비용 및 복잡도를 부가하는 로테이션을 필요로 한다. Another solution of the prior art is to rotate the entire pedestal during processing. Another solution of the prior art is to rotate the entire pedestal during processing. However, this solution has a negative property of rotating, a wafer and a non-uniformity associated with the pedestal. In that case, the pedestal can not invalidate and may have non-uniformity signatures that may appear on the wafer during processing. In addition, the edge effects of the wafer within the pocket are another class of irregularity that rotates immediately with the wafer when the entire pedestal is rotated during processing. That is, non-uniformity is not noticeably improved by pedestal rotation (e.g., during ALD oxide deposition). In addition, in addition to limited performance, rotating the entire pedestal requires sacrificing RF power through a rotating pedestal. This requires expensive circuitry for impedance matching through a slip ring to deliver sufficient RF power to the plasma. Rotating the entire pedestal also complicates the delivery of gases and fluids, for example used for cooling. Additionally, the heating systems present on the pedestal also require a rotation that adds cost and complexity.

이러한 맥락에서 본 개시가 발생한다. This disclosure occurs in this context.

본 실시예들은 단일-스테이션 시스템 및 멀티-스테이션 시스템에서 PECVD 프로세스 및 ALD 프로세스 동안 개선된 막 균일도를 제공하는 것에 관한 것이다. 본 개시의 실시예들은 페데스탈의 로테이션 없이 웨이퍼의 로테이팅을 제공하고, 이는 유리하게 챔버 비대칭 및 페데스탈 비대칭 모두를 필터링한다. These embodiments are directed to providing improved film uniformity during PECVD and ALD processes in single-station and multi-station systems. Embodiments of the present disclosure provide for rotation of the wafer without rotation of the pedestal, which advantageously filters both chamber asymmetry and pedestal asymmetry.

본 개시의 실시예들은 웨이퍼 상에 막을 증착하기 위해 프로세스 챔버에 사용하기 위한 어셈블리를 포함한다. 어셈블리는 메인 프레임에 이동가능하게 장착된 페데스탈을 가진 페데스탈 어셈블리를 포함한다. 어셈블리는 페데스탈 어셈블리와 함께 이동하고 페데스탈의 페데스탈 상단 표면 상에 받쳐지도록 구성된 리프트 패드를 포함한다. 어셈블리는 페데스탈로부터 리프트 패드를 분리하도록 구성된 리프트 패드 상승 메커니즘 (lift pad raising mechanism) 을 포함하고, 리프트 패드 상승 메커니즘은 상부 하드 정지부 (upper hard stop), 제 1 롤러, 슬라이드, 리프트 패드 브래킷 및 레버를 포함한다. 상부 하드 정지부는 메인 프레임에 대해 고정된다. 제 1 롤러는 페데스탈 어셈블리에 부착된다. 슬라이드는 페데스탈 어셈블리에 이동가능하게 부착된다. 리프트 패드 브래킷은 슬라이드와 상호연결되고 중심 축 (central axis) 을 따라 리프트 패드로부터 연장하는 패드 샤프트와 상호연결된다. 레버는 핀을 통해 리프트 패드 브래킷에 로테이션 가능하게 부착되고, 레버는 상부 하드 정지부와 인게이지할 때 (engaged with) 가 아니면 중립적인 포지션 (neutral position) 에서 제 1 롤러 상에 받쳐진다. 리프트 패드 메커니즘에 관하여, 페데스탈 어셈블리가 상향으로 이동할 때, 레버는 제 1 롤러와 상부 하드 정지부와 인게이지할 때 핀을 중심으로 로테이팅하도록, 그리고 프로세스 로테이션 변위 (rotation displacement) 만큼 페데스탈 상단 표면으로부터 리프트 패드를 분리하도록 구성된다. Embodiments of the present disclosure include an assembly for use in a process chamber for depositing a film on a wafer. The assembly includes a pedestal assembly having a pedestal that is movably mounted to the mainframe. The assembly includes a lift pad configured to move with the pedestal assembly and to be supported on the pedestal pedestal upper surface of the pedestal. The assembly includes a lift pad raising mechanism configured to separate the lift pad from the pedestal and the lift pad lift mechanism includes an upper hard stop, a first roller, a slide, a lift pad bracket, . The upper hard stop is fixed relative to the main frame. The first roller is attached to the pedestal assembly. The slide is movably attached to the pedestal assembly. The lift pad bracket is interconnected with the slide and is interconnected with a pad shaft extending from the lift pad along a central axis. The lever is rotatably attached to the lift pad bracket via a pin and the lever is supported on the first roller in a neutral position unless engaged with the upper hard stop. With respect to the lift pad mechanism, when the pedestal assembly is moved upward, the lever is rotated about the pin as it engages the first roller and upper hard stop, and is rotated from the pedestal upper surface by a process rotation displacement And is configured to separate the lift pad.

본 개시의 다른 실시예들은 웨이퍼 상에 막을 증착하기 위해 프로세스 챔버 내에서 사용하기 위한 어셈블리를 포함한다. 어셈블리는 메인 프레임에 이동가능하게 장착된 페데스탈을 가진 페데스탈 어셈블리를 포함한다. 어셈블리는 페데스탈 어셈블리와 함께 이동하고 페데스탈의 페데스탈 상단 표면 상에 받쳐지도록 구성된 리프트 패드를 포함한다. 어셈블리는 페데스탈로부터 리프트 패드를 분리하도록 구성된 리프트 패드 상승 메커니즘을 포함하고, 리프트 패드 상승 메커니즘은 상부 하드 정지부, 하부 정지부, 제 1 롤러, 제 2 롤러, 슬라이드, 리프트 패드 브래킷 및 레버를 포함한다. 상부 하드 정지부는 메인 프레임에 대해 고정된다. 하부 하드 정지부는 메인 프레임에 대해 고정되고, 메인 프레임을 기준으로 상부 하드 정지부 아래에 위치해 있다. 제 1 롤러는 페데스탈 어셈블리에 부착된다. 제 2 롤러는 페데스탈 어셈블리에 부착된다. 슬라이드는 페데스탈 어셈블리에 이동가능하게 부착된다. 리프트 패드 브래킷은 슬라이드와 상호연결되고 중심 축을 따라 리프트 패드로부터 연장하는 패드 샤프트와 상호연결된다. 레버는 핀을 통해 리프트 패드 브래킷에 로테이션 가능하게 부착되고, 레버는 상부 하드 정지부와 인게이지할 때가 아니면 중립적인 포지션에서 제 1 롤러 상에 받쳐진다. 리프트 패드 상승 메커니즘에 관하여, 페데스탈 어셈블리가 상향으로 이동할 때, 레버는 제 1 롤러와 상부 하드 정지부와 인게이지할 때 핀을 중심으로 로테이팅하도록, 그리고 프로세스 로테이션 변위만큼 페데스탈 상단 표면으로부터 리프트 패드를 분리하도록 구성된다. 리프트 패드 상승 메커니즘에 관하여, 페데스탈 어셈블리가 하향으로 이동할 때, 레버는 제 2 롤러와 하부 하드 정지부와 인게이지할 때 핀을 중심으로 로테이팅하도록, 그리고 엔드-이펙터 액세스 변위만큼 페데스탈부터 리프트 패드를 분리하도록 구성된다. Other embodiments of the present disclosure include an assembly for use in a process chamber for depositing a film on a wafer. The assembly includes a pedestal assembly having a pedestal that is movably mounted to the mainframe. The assembly includes a lift pad configured to move with the pedestal assembly and to be supported on the pedestal pedestal upper surface of the pedestal. The assembly includes a lift pad lift mechanism configured to separate the lift pad from the pedestal and the lift pad lift mechanism includes an upper hard stop, a lower stop, a first roller, a second roller, a slide, a lift pad bracket, and a lever . The upper hard stop is fixed relative to the main frame. The lower hard stop is fixed relative to the main frame and is positioned below the upper hard stop relative to the main frame. The first roller is attached to the pedestal assembly. The second roller is attached to the pedestal assembly. The slide is movably attached to the pedestal assembly. The lift pad bracket is interconnected with the slide, which is interconnected with the slide and extends from the lift pad along the central axis. The lever is rotatably attached to the lift pad bracket via a pin and the lever is supported on the first roller in a neutral position unless it is engaged with the upper hard stop. With respect to the lift pad elevation mechanism, when the pedestal assembly is moved upward, the lever is rotated to rotate about the pin when engaging the first roller and the upper hard stop, and to lift the lift pad from the pedestal upper surface by the process rotation displacement Respectively. With respect to the lift pad lifting mechanism, when the pedestal assembly moves downward, the lever rotates about the pin as it engages the second roller and the bottom hard stop, and lifts the lift pad from the pedestal to the end- Respectively.

본 개시의 다른 실시예들은 웨이퍼 상에 막을 증착하기 위해 프로세스 챔버 내에서 사용하기 위한 어셈블리를 포함한다. 어셈블리는 메인 프레임에 이동가능하게 장착된 페데스탈을 포함한 페데스탈 어셈블리를 포함한다. 어셈블리는 페데스탈 어셈블리와 함께 이동하고 페데스탈의 페데스탈 상단 표면 상에 받쳐지도록 구성된 리프트 패드를 포함한다. 어셈블리는 페데스탈 내에 구성된 복수의 페데스탈 샤프트들을 통해 연장하는 복수의 리프트 핀들을 포함하는 리프트 핀 어셈블리를 포함한다. 어셈블리는 페데스탈로부터 리프트 패드를 분리하도록 구성된 리프트 패드 상승 메커니즘을 포함하고, 리프트 패드 상승 메커니즘은 상부 하드 정지부, 제 1 롤러, 슬라이드, 리프트 패드 브래킷 및 레버를 포함한다. 상부 하드 정지부는 메인 프레임에 대해 고정된다. 제 1 롤러는 페데스탈 어셈블리에 부착되도록 구성된다. 슬라이드는 페데스탈 어셈블리에 이동가능하게 부착되도록 구성된다. 리프트 패드 브래킷은 슬라이드와 상호연결되고 중심 축을 따라 리프트 패드로부터 연장하는 패드 샤프트와 상호연결되도록 구성된다. 레버는 핀을 통해 리프트 패드 브래킷에 로테이팅 가능하게 부착되도록 구성되고, 레버는 상부 하드 정지부와 인게이지할 때가 아니면 중립적인 포지션에서 제 1 롤러 상에 받쳐진다. 리프트 패드 상승 메커니즘에 관하여, 페데스탈 어셈블리가 상향으로 이동할 때, 레버는 제 1 롤러와 상부 하드 정지부와 인게이지할 때 핀을 중심으로 로테이팅하도록, 그리고 프로세스 로테이션 변위만큼 페데스탈 상단 표면으로부터 리프트 패드를 분리하도록 구성된다. Other embodiments of the present disclosure include an assembly for use in a process chamber for depositing a film on a wafer. The assembly includes a pedestal assembly including a pedestal that is movably mounted to the mainframe. The assembly includes a lift pad configured to move with the pedestal assembly and to be supported on the pedestal pedestal upper surface of the pedestal. The assembly includes a lift pin assembly including a plurality of lift pins extending through a plurality of pedestal shafts configured within the pedestal. The assembly includes a lift pad lift mechanism configured to separate the lift pad from the pedestal and the lift pad lift mechanism includes an upper hard stop, a first roller, a slide, a lift pad bracket, and a lever. The upper hard stop is fixed relative to the main frame. The first roller is configured to be attached to the pedestal assembly. The slide is configured to be movably attached to the pedestal assembly. The lift pad bracket is configured to interconnect with a pad shaft interconnected with the slide and extending from the lift pad along the central axis. The lever is configured to be rotatably attached to the lift pad bracket via a pin and the lever is supported on the first roller in a neutral position unless it is engaged with the upper hard stop. With respect to the lift pad elevation mechanism, when the pedestal assembly is moved upward, the lever is rotated to rotate about the pin when engaging the first roller and the upper hard stop, and to lift the lift pad from the pedestal upper surface by the process rotation displacement Respectively.

다른 실시예에서, 웨이퍼 상에 막을 증착하기 위해 프로세스 챔버 내에서 사용되기 위한 어셈블리가 기술된다. 어셈블리는 메인 프레임에 페데스탈을 포함하는 페데스탈 어셈블리를 이동가능하게 장착하는 수단, 페데스탈 어셈블리와 함께 페데스탈의 페데스탈 상단 표면 상에 받쳐지도록 구성된 리프트 패드를 이동하기 위한 수단, 및 페데스탈로부터 리프트 패드를 분리하기 위한 수단을 포함한다. 페데스탈로부터 리프트 패드를 분리하는 수단은 메인 프레임에 대하여 상부 하드 정지부를 고정하는 수단; 페데스탈 어셈블리에 제 1 롤러를 부착하기 위한 수단; 페데스탈 어셈블리에 슬라이드를 이동가능하게 부착하기 위한 수단; 중심 축을 따라 리프트 패드로부터 연장하는 패드 샤프트인, 패드 샤프트로 그리고 슬라이드로 리프트 패드 브래킷을 상호연결하기 위한 수단; 및 상부 하드 정지부와 인게이지할 때가 아니면 중립적인 포지션에서 제 1 롤러 상에 받쳐지는 레버인, 레버를 핀을 통해 리프트 패드 브래킷에 로테이팅 가능하게 부착하는 수단을 포함하며, 페데스탈 어셈블리가 상향으로 이동할 때, 레버는 제 1 롤러 및 상부 하드 정지부가 인게이지할 때 핀을 중심으로 로테이팅하고, 그리고 프로세스 로테이션 변위만큼 페데스탈 상단 표면으로부터 리프트 패드를 분리하도록 구성된다. In another embodiment, an assembly for use in a process chamber for depositing a film on a wafer is described. The assembly includes means for movably mounting a pedestal assembly including a pedestal to a mainframe, means for moving a lift pad configured to be supported on a pedestal pedestal upper surface of the pedestal with the pedestal assembly, Means. The means for detaching the lift pad from the pedestal may comprise means for securing the upper hard stop relative to the main frame; Means for attaching the first roller to the pedestal assembly; Means for movably attaching the slide to the pedestal assembly; Means for interconnecting the lift pad brackets to and from the pad shaft, the pad shafts extending from the lift pads along the central axis; And means for rotatably attaching a lever to the lift pad bracket via a pin, the lever being a lever that rests on the first roller in a neutral position when not in engagement with the upper hard stop, wherein the pedestal assembly When moving, the lever is configured to rotate about the pin when it comes to the first roller and top hard stop, and to separate the lift pad from the pedestal top surface by the process rotation displacement.

어셈블리는 실시예들을 더 포함한다. 일 실시예에서, 어셈블리는 또한 페데스탈에 페데스탈 브래킷을 부착하기 위한 수단 및 메인 프레임에 대해 중심 축을 따라 페데스탈을 이동시키도록 구성된 페데스탈 브래킷인, 페데스탈 브래킷을 메인 프레임에 이동가능하게 부착하기 위한 수단; 페데스탈과 함께 이동하도록 구성된 중심 샤프트인, 중심 샤프트를 중심 축을 따라 페데스탈로부터 연장하기 위한 수단을 포함하고, 패드 샤프트는 중심 샤프트 내에 포지셔닝 되고, 페데스탈로부터 리프트 패드를 분리하도록 구성된다. 또한, 일 실시예에서 레버가 핀을 중심으로 로테이팅할 때, 리프트 패드가 중심 축을 따라 페데스탈 상단 표면에 상대적으로 상향으로 이동하게 구성되도록 리프트 패드 브래킷 및 슬라이드는 같이 페데스탈 어셈블리에 대해 상향으로 이동한다. 또한, 일 실시예에서 핀을 중심으로 레버가 로테이팅할 때, 리프트 패드 및 페데스탈 어셈블리는 2:1 비로 이동한다. 또한, 일 실시예에서 레버가 상부 하드 정지부와 인게이지하지 않고 중립적 포지션에 있을 때 레버와 페데스탈 어셈블리 사이에 상대적인 운동 (relative movement) 은 없다. 또한, 일 실시예에서 리프트 패드를 이동하기 위한 수단은 중심 축으로부터 패드 상단 표면을 연장하기 위한 수단 및 페데스탈 상단 표면 상에 패드 바닥면 (bottom surface) 을 받치기 위한 수단을 더 포함하며, 패드 상단 표면은 그 위에 위치될 때 웨이퍼를 지지하도록 구성된다. 또한, 일 실시예에서 패드 상단 표면의 직경은 웨이퍼 직경보다 작다. 또한, 일 실시예에서 패드 상단 표면의 직경은 웨이퍼 직경과 대략 비슷하도록 이루어진다. 또한, 일 실시예에서 리프트 패드를 이동하기 위한 수단은 적어도 제 1 각도 배향 (angular orientation) 및 제 2 각도 배향 사이의 페데스탈로부터 분리될 때 페데스탈 상단 표면에 상대적으로 리프트 패드를 로테이팅 시키는 것을 포함한다. 또한, 일 실시예에서 패드 샤프트로 그리고 슬라이드로 리프트 패드 브래킷을 상호연결하기 위한 수단은 패드 샤프트에 상호연결된 페로시일 (ferroseal) 어셈블리와 리프트 패드 브래킷을 상호연결하기 위한 수단을 포함하고, 페로시일 어셈블리는 패드 샤프트가 로테이팅하거나 로테이팅하지 않는 동안 패드 샤프트 주변에 진공 시일 (vacuum seal) 을 제공하도록 구성된다. The assembly further includes embodiments. In one embodiment, the assembly also includes means for attaching a pedestal bracket to the pedestal and means for movably attaching a pedestal bracket to the main frame, the pedestal bracket being configured to move the pedestal along a central axis relative to the main frame; And a means for extending the central shaft from the pedestal along a central axis, the central shaft being configured to move with the pedestal, the pad shaft being positioned within the central shaft and configured to separate the lift pad from the pedestal. Also, in one embodiment, the lift pad bracket and the slide are moved upwardly relative to the pedestal assembly so that when the lever rotates about the pin, the lift pad is configured to move relative to the pedestal upper surface along the central axis . Also, in one embodiment, when the lever rotates about the pin, the lift pad and pedestal assembly move in a 2: 1 ratio. Also, in one embodiment, there is no relative movement between the lever and the pedestal assembly when the lever is in the neutral position without engaging the upper hard stop. In addition, in one embodiment the means for moving the lift pad further comprises means for extending the pad top surface from the central axis and means for supporting the pad bottom surface on the pedestal top surface, The surface is configured to support the wafer when positioned thereon. Also, in one embodiment, the diameter of the pad top surface is less than the wafer diameter. Also, in one embodiment, the diameter of the pad top surface is approximately equal to the wafer diameter. Also, in one embodiment, the means for moving the lift pad includes rotating the lift pad relative to the pedestal upper surface when separated from the pedestal at least between a first angular orientation and a second angular orientation . Also, in one embodiment, the means for interconnecting the lift pad brackets to the pad shafts and to the slides include means for interconnecting the lift pad brackets with a ferroseal assembly interconnected to the pad shafts, Is configured to provide a vacuum seal around the pad shaft while the pad shaft does not rotate or rotate.

또 다른 실시예에서, 웨이퍼 상에 막을 증착하기 위해 프로세스 챔버 내에서 사용되기 위한 또 다른 어셈블리가 기술된다. 어셈블리는 메인 프레임에 페데스탈을 포함하는 페데스탈 어셈블리를 이동가능하게 장착하는 수단, 페데스탈 어셈블리와 함께 리프트 패드를 이동시키고 페데스탈의 페데스탈 상단 표면 상에 리프트 패드를 받치기 위한 수단, 페데스탈로부터 리프트 패드를 분리하기 위한 수단을 포함한다. 페데스탈로부터 리프트 패드를 분리하기 위한 수단은 작동될 때, 페데스탈 어셈블리에 대하여 레버 어셈블리를 전환 (translate) 하기 위한 수단; 레버 어셈블리로 상호연결된 페로시일 어셈블리, 패드 샤프트 주변에 진공 시일을 제공하는 페로시일 어셈블리를 위한 수단 및 패드 샤프트 주위를 페로시일 어셈블리로 둘러싸기 위한 수단; 그리고 레버 어셈블리가 작동되는 때 페로시일 어셈블리에 인가되는 모먼트를 오프셋하도록 페로시일 어셈블리의 맞은편들에 동일한 힘을 가하는 요크 어셈블리 (yoke assembly) 를 위한 수단 및 레버 어셈블리로 요크 어셈블리를 상호연결하기 위한 수단을 포함한다. In another embodiment, another assembly for use in a process chamber for depositing a film on a wafer is described. The assembly includes means for movably mounting a pedestal assembly including a pedestal to the mainframe, means for moving the lift pad with the pedestal assembly and for supporting the lift pad on the pedestal pedestal top surface, separating the lift pad from the pedestal Lt; / RTI > Means for separating the lift pad from the pedestal when actuated comprises means for translating the lever assembly relative to the pedestal assembly; Means for a ferro-seal assembly interconnected with a lever assembly, means for a ferro-seal assembly providing a vacuum seal around the pad shaft, and means for surrounding the peripheries of the pad-shaft assembly; And means for a yoke assembly applying the same force to the opposite sides of the ferro-seal assembly to offset the moment applied to the ferro-seal assembly when the lever assembly is actuated, and means for interconnecting the yoke assembly with the lever assembly Means.

어셈블리는 다른 실시예들을 포함한다. 일 실시예에서, 레버 어셈블리를 병진하기 위한 수단은 메인 프레임에 대하여 상부 하드 정지부를 고정하는 수단; 페데스탈 어셈블리에 제 1 롤러를 부착하는 수단; 페데스탈 어셈블리에 이동가능하게 슬라이드를 부착하는 수단; 중심 축을 따라 리프트 패드로부터 연장하는 패드 샤프트인, 패드 샤프트에 그리고 슬라이드에 리프트 패드 브래킷을 상호연결하기 위한 수단; 및 상부 하드 정지부와 인게이지하지 않을 때 중립적 포지션 내의 제 1 롤러 상에 받쳐지는 레버인, 레버를 핀을 통해 리프트 패드 브래킷에 로테이팅 가능하게 부착하는 수단을 포함하고, 페데스탈 어셈블리가 상향으로 이동할 때, 제 1 롤러 및 상부 하드 정지부와 인게이지할 때 핀을 중심으로 레버를 로테이팅하기 위한 수단은, 그리고 프로세스 로테이션 변위만큼 페데스탈 상단 표면으로부터 리프트 패드를 분리한다. 페로시일 어셈블리의 제 1 단부 맞은편의 제 2 단부에 위치한 제 1 커넥터 암 및 제 2 커넥터 암을 포함하고, 제 1 커넥터 암 및 제 2 커넥터 암은 패드 샤프트와 등거리에서 페로시일 어셈블리의 맞은편들에 위치하는, 페로시일 어셈블리의 제 1 단부에 있는 패드 샤프트로 페로시일 어셈블리를 부착하기 위한 수단; 제 1 커넥터 암 및 제 2 커넥터 암에서 페로시일 어셈블리에 요크 어셈블리를 컨택트하기 위한 수단, 및 제 1 커넥터 암 및 제 2 커넥터 암으로 동일한 힘을 인가하는 요크 어셈블리를 위한 수단을 포함하고, 제 1 커넥터 암 및 제 2 커넥터 암은 중심 축에 대해 180도 이격되게 위치한다. 일 실시예에서, 페로시일 어셈블리와 요크 어셈블리를 컨택트하기 위한 수단은 핀 축에 대해 로테이팅 가능한 요크 베이스인, 요크 베이스를 제 2 핀을 통해 리프트 패드 브래킷에 로테이팅 가능하게 부착하기 위한 수단; 방사상 변위 (radial displacement) 만큼 핀으로부터 오프셋되고, 핀 축에 대해 로테이팅 가능한 요크 암인, 요크 암을 요크 베이스로 부착하고 핀 축에 평행하게 요크 베이스로부터 연장하는 수단; 및 제 2 커넥터 암과 컨택트하도록 구성된 제 2 포크 연장부, 제 1 커넥터 암과 컨택트하도록 구성된 제 1 포크 연장부를 포함하는 포크 단인, 포크 단을 상기 요크 베이스로부터 이격된 요크 암에 제공하는 수단을 포함한다. 일 실시예에서, 페데스탈로부터 리프트 패드를 분리하기 위한 수단은 둘 모두 중심 축을 중심으로 패드 샤프트들 로테이팅 시키도록 구성된, 벨트를 통해 로테이션 모터를 패드 샤프트로 부착하기 위한 수단; 및 패드 샤프트에 부착되고 벨트에 페로시일 어셈블리의 벨트에 의해 구동된 디스크를 부착하기 위한 수단을 더 포함한다. 일 실시예에서, 페데스탈 어셈블리를 이동가능하게 장착하기 위한 수단은 메인 프레임에 대해서 중심 축을 따라 페데스탈을 이동시키도록 구성된 페데스탈 브래킷인, 페데스탈 브래킷을 페데스탈에 부착하기 위한 수단 및 메인 프레임에 페데스탈 브래킷을 이동가능하게 부착하기 위한 수단; 페데스탈과 함께 이동하도록 구성된 중심 샤프트인, 중심 샤프트를 중심 축을 따라 페데스탈로부터 연장하기 위한 수단; 중심 샤프트 내에서 포지셔닝된 패드 샤프트인, 패드 샤프트를 사용해 페데스탈로부터 리프트 패드를 분리하기 위한 수단을 포함한다. 일 실시예에서, 레버가 핀을 중심으로 로테이팅할 때, 리프트 패드가 중심 축을 따라 페데스탈 상단 표면에 상대적으로 상향으로 이동하게 구성되도록, 페데스탈 어셈블리에 대해 리프트 패드 브래킷을 상향으로 그리고 같이 이동가능하게 슬라이딩하기 위한 수단은. 다른 실시예에서, 레버가 상부 하드 정지부와 인게이지하지 않고 중립적 포지션에 있을 때 레버와 페데스탈 어셈블리 사이에 상대적인 운동은 없다. 다른 실시예에서, 패드 상단 표면의 직경은 웨이퍼 직경보다 작다. 다른 실시예에서, 리프트 패드를 페데스탈로부터 분리하기 위한 수단은 적어도 제 1 각도 배향과 제 2 각도 배향 사이에서 페데스탈로부터 분리될 때 페데스탈 상단 표면에 상대적으로 리프트 패드를 로테이팅 시키기 위한 수단을 포함한다. The assembly includes other embodiments. In one embodiment, the means for translating the lever assembly comprises means for securing the upper hard stop relative to the main frame; Means for attaching a first roller to the pedestal assembly; Means for movably attaching the slide to the pedestal assembly; Means for interconnecting the lift pad bracket to the pad shaft and to the slide, the pad shaft being a pad shaft extending from the lift pad along the central axis; And means for rotatably attaching a lever to the lift pad bracket via a pin, the lever being a lever that rests on a first roller in a neutral position when not engaged with the upper hard stop, wherein the pedestal assembly is moved upward The means for rotating the lever about the pin when engaging the first roller and the upper hard stop, and separating the lift pad from the pedestal upper surface by the process rotation displacement. A first connector arm and a second connector arm located at a second end of the first end of the ferro-seal assembly, the first connector arm and the second connector arm being located at opposite sides of the ferro- Means for attaching the ferro-seal assembly to the pad shaft at a first end of the ferro-seal assembly; Means for contacting the yoke assembly with the ferrule assembly in the first connector arm and the second connector arm and means for the yoke assembly applying the same force to the first connector arm and the second connector arm, The arm and the second connector arm are positioned 180 degrees apart from the central axis. In one embodiment, the means for contacting the ferro-seal assembly with the yoke assembly comprises means for rotatably attaching the yoke base to the lift pad bracket via the second pin, the yoke base being rotatable relative to the pin shaft; A means for attaching a yoke arm to the yoke base and extending from the yoke base parallel to the pin axis, the yoke arm offset from the pin by a radial displacement and rotatable relative to the pin axis; And means for providing a forked end to the yoke arm spaced from the yoke base, the forked end comprising a second fork extension configured to contact the second connector arm and a first fork extension configured to contact the first connector arm do. In one embodiment, the means for separating the lift pad from the pedestal comprises means for attaching the rotation motor to the pad shaft via a belt, both configured to rotate the pad shafts about a central axis; And means for attaching a disc attached to the pad shaft and driven by the belt of the ferro-seal assembly to the belt. In one embodiment, the means for movably mounting the pedestal assembly is a pedestal bracket configured to move the pedestal along a central axis with respect to the mainframe, comprising means for attaching a pedestal bracket to the pedestal and means for moving the pedestal bracket Means for possible attachment; Means for extending a central shaft from the pedestal along a central axis, the central shaft being configured to move with the pedestal; And means for separating the lift pad from the pedestal using a pad shaft, the pad shaft being positioned within the central shaft. In one embodiment, the lift pad bracket is moved upwardly and together with respect to the pedestal assembly such that when the lever rotates about the pin, the lift pad is configured to move relative to the pedestal upper surface along the central axis Means for sliding. In another embodiment, there is no relative motion between the lever and the pedestal assembly when the lever is in neutral position without engaging the upper hard stop. In another embodiment, the diameter of the pad top surface is less than the wafer diameter. In another embodiment, the means for separating the lift pad from the pedestal includes means for rotating the lift pad relative to the pedestal upper surface when separated from the pedestal at least between the first angular orientation and the second angular orientation.

이들 및 다른 장점들은 전체 개시 및 청구항들을 판독함으로써 당업자에게 인식될 것이다. These and other advantages will be recognized by those skilled in the art by reading the full disclosure and claims.

실시예들은 첨부된 도면들과 함께 취해진 이하의 기술을 참조하여 가장 잘 이해될 수도 있다.
도 1은 예를 들어, 웨이퍼 상에 막들을 형성하기 위해 웨이퍼를 프로세싱하도록 사용되는 기판 프로세싱 시스템을 예시한다.
도 2는 일 실시예에 따라, 4 개의 프로세싱 스테이션들이 제공된, 멀티-스테이션 프로세싱 툴의 평면도를 예시한다.
도 3은 일 실시예에 따른, 인바운드 로드록 및 아웃바운드 로드록을 갖는 멀티-스테이션 프로세싱 툴의 실시예의 개략도를 도시한다.
도 4는 본 개시의 일 실시예에 따른, 리프트 패드가 웨이퍼와 매칭하도록 대략 사이즈가 결정되는, 리프트 패드 및 페데스탈 구성을 포함하는 기판 프로세싱 시스템을 예시된다.
도 5a는 본 개시의 일 실시예에 따른, 도 4의 기판 프로세싱 시스템의 단면도이다. 도 5b는 본 개시의 일 실시예에 따른, 리프트 패드가 웨이퍼를 매칭하도록 대략 사이즈가 결정되고, 페데스탈 및 리프트 패드가 웨이퍼 전달을 목적으로 리프트 핀 연장을 허용하는 레벨에 있는, 리프트 패드 및 페데스탈 구성을 도시하는 도 4의 기판 프로세싱 시스템의 단면도이다.
도 5c는 본 개시의 일 실시예에 따른, MCA들 (minimum contact areas) 을 설정하는 패드 갭을 포함하는 리프트 패드와 페데스탈 사이의 인터페이스의 도면이다.
도 6은 본 개시의 일 실시예에 따른, 리프트 패드가 웨이퍼보다 작은, 리프트 패드 및 페데스탈 구성을 포함하는, 기판 프로세싱 시스템을 예시한다.
도 7a는 본 개시의 일 실시예에 따른, 리프트 패드가 웨이퍼보다 작은, 리프트 패드 및 페데스탈 구성을 포함하는, 도 6의 기판 프로세싱 시스템의 사시도이다.
도 7b는 본 개시의 일 실시예에 따른, 리프트 패드가 웨이퍼보다 작은, 리프트 패드 및 페데스탈 구성을 포함하는, 도 6의 기판 프로세싱 시스템의 단면도이다.
도 7c는 본 개시의 일 실시예에 따른, 리프트 패드가 웨이퍼보다 작은, 리프트 핀 어셈블리를 포함하는 리프트 패드 및 페데스탈 구성을 포함하는, 도 6의 기판 프로세싱 시스템의 단면도이다.
도 7d는 본 개시의 일 실시예에 따른, 리프트 패드가 웨이퍼보다 작은, 리프트 패드 및 페데스탈 구성을 포함하는, 도 6의 기판 프로세싱 시스템의 리프트 패드 대 페데스탈 인터페이스의 단면도이다.
도 7e는 본 개시의 일 실시예에 따른 리프트 패드 및 페데스탈 구성을 포함하는 도 6의 기판 프로세싱 시스템 내의 리프트 패드의 상단 표면의 사시도이다.
도 7f는 본 개시의 일 실시예에 따른, 리프트 패드 및 페데스탈 구성을 포함하는, 도 6의 기판 프로세싱 시스템의 리프트 패드의 하단 표면의 사시도이다.
도 8은 본 개시의 일 실시예에 따른, 웨이퍼 상에 막을 증착하기 위해 구성된 프로세스 챔버를 동작시키는 방법을 예시하는 흐름도이고, 방법은 프로세싱 동안 프로세스 챔버 내에서 페데스탈의 로테이션 없이 웨이퍼를 로테이팅시키기 위해 제공되고, 유리하게 챔버 비대칭 및 페데스탈 비대칭 모두를 필터링한다.
도 9a 및 도 9b는 본 개시의 일 실시예에 따른, 리프트 패드가 웨이퍼와 매칭하도록 대략 사이즈가 결정되고, 프로세싱 동안 프로세스 챔버 내에서 페데스탈의 로테이션 없이 웨이퍼의 로테이션을 포함하고, 유리하게 챔버 비대칭 및 페데스탈 비대칭 모두를 필터링하는, 리프트 패드 및 페데스탈 구성의 모션 시퀀스를 예시하는 도면들이다.
도 9c는 본 개시의 일 실시예에 따른, 리프트 패드가 웨이퍼로 대략 사이즈가 결정되고, 제 1 프로세스 시퀀스, 로테이션 시퀀스, 및 제 2 프로세스 시퀀스 동안 리프트 패드 및 페데스탈 구성의 페데스탈에 대해 리프트 패드의 배향을 예시하는 도면이다.
도 10a 및 도 10b는 본 개시의 일 실시예에 따른, 리프트 패드가 웨이퍼보다 작고, 리프트 패드는 (예를 들어, 엔드-이펙터 암을 통한) 웨이퍼의 전달을 허용하도록 구성되고, 프로세싱 동안 프로세스 챔버 내에서 페데스탈의 로테이션 없이 웨이퍼의 로테이션을 포함하고, 유리하게 챔버 비대칭 및 페데스탈 비대칭 모두를 필터링하는, 리프트 패드 및 페데스탈 구성의 모션 시퀀스를 예시하는 도면들이다.
도 10c는 본 개시의 일 실시예에 따른, 리프트 패드가 웨이퍼보다 작고, 프로세싱 동안 프로세스 챔버 내에서 페데스탈의 로테이션 없이 웨이퍼의 로테이션을 포함하고, 유리하게 챔버 비대칭 및 페데스탈 비대칭 모두를 필터링하는, 리프트 핀 어셈블리를 포함하는 리프트 패드 및 페데스탈 구성의 모션 시퀀스를 예시하는 도면이다.
도 10d는 본 개시의 일 실시예에 따른, 제 1 프로세스 시퀀스, 로테이션 시퀀스, 및 제 2 프로세스 시퀀스 동안 리프트 패드가 웨이퍼보다 작은, 리프트 패드 및 페데스탈 구성의 페데스탈에 대한 리프트 패드의 배향을 예시하는 도면이다.
도 11a는 본 개시의 일 실시예에 따른 리프트 패드 및 페데스탈 구성을 포함하는 기판 프로세싱 시스템의 사시도로서, 쇼트 스트로크 리프트 패드 상승 메커니즘을 예시하고, 리프트 패드는 웨이퍼와 실질적으로 크기가 비슷하거나 웨이퍼보다 작을 수도 있다.
도 11b는 본 개시의 일 실시예에 따른 리프트 패드 및 페데스탈 구성을 포함하는 도 11a의 기판 프로세싱 시스템의 사시도로서, 쇼트 스트로크 리프트 패드 상승 메커니즘의 컴포넌트들을 예시한다.
도 12a는 본 개시의 일 실시예에 따른 도 11a 및 도 11b의 리프트 패드 및 페데스탈 구성을 포함하는 기판 프로세싱 시스템의 리프트 패드 상승 메커니즘의 사시도이다.
도 12b는 본 개시의 일 실시예에 따른 도 11a 및 도 11b의 리프트 패드 및 페데스탈 구성의 쇼트 스트로크 패드 상승 메커니즘의 모션 시퀀스를 예시하는 도면으로서, 리프트 패드의 로테이션을 수용하기 위한 페데스탈의 상향 운동을 통한 리프트 패드의 상승을 예시하고, 웨이퍼 전달을 위한 엔드-이펙터의 진입을 용이하게 하기 위한 페데스탈의 하방향 운동을 통한 리프트 패드의 상승을 예시한다.
도 13은 도 12a의 리프트 패드 상승 메커니즘의 사시도이며, 보다 구체적으로는 본 개시의 일 실시예에 따른 페데스탈에 대해 리프트 패드의 운동을 제공하는 요크 및 슬라이드 사이의 인터페이스를 도시한다.
도 14a는 본 개시의 일 실시예에 따른, 도 12a의 리프트 패드 상승 메커니즘의 사시도로, 보다 상세하게는 페데스탈에 대한 리프트 패드의 운동을 제공하는 페로시일 어셈블리와 요크 사이의 인터페이스를 도시한다.
도 14b는 본 개시의 일 실시예에 따른, 페로시일 어셈블리와 인터페이싱 (interfacing) 하는 도 14a의 요크의 사시도이다.
도 14c 는 본 개시의 일 실시예에 따른, 페로시일 어셈블리의 운동을 리프트 패드의 운동으로 전환하도록 리프트 패드의 패드 샤프트 및 페로시일 어셈블리 사이의 연계 (linkage) 를 제공하는 클램핑 메커니즘의 사시도이다.
도 14d는 본 개시의 일 실시예에 따른 도 14c의 클램핑 메커니즘 내의 클램프의 사시도이다.
도 15a는 본 개시의 일 실시예에 따른, 웨이퍼보다 작거나 웨이퍼와 실질적으로 비슷한 사이즈를 가질 수도 있는 리프트 패드인, 리프트 패드의 로테이션을 수용하도록 페데스탈의 상향 운동을 통해 페데스탈에 대해 리프트 패드의 상승을 제공하도록 하는 또 다른 쇼트 스트로크 상승 메커니즘을 예시하고, 리프트 핀 어셈블리가 웨이퍼 전달을 제공하는, 리프트 패드 및 페데스탈 구성을 포함하는 기판 프로세싱 시스템의 사시도이다.
도 15b는 본 개시의 일 실시예에 따른 리프트 패드 및 페데스탈 구성을 포함하는 도 15a의 기판 프로세싱 시스템의 사시도이고, 쇼트 스트로크 패드 상승 메커니즘의 컴포넌트들을 예시한다.
도 15c는 본 개시의 일 실시예에 따른 도 15a의 리프트 패드 상승 메커니즘의 사시도로서, 보다 구체적으로는, 페데스탈에 대해 리프트 패드의 운동을 제공하는 페로시일 어셈블리와 레버 사이의 인터페이스를 도시한다.
도 15d는 본 개시의 일 실시예에 따른 도 15a의 리프트 패드 상승 메커니즘의 사시도로, 보다 상세하게는, 페데스탈에 대해 리프트 패드의 운동을 제공하는 페데스탈 브래킷 및 요크 사이의 인터페이스를 도시한다.
도 16a는 본 개시의 일 실시예에 따른, 페데스탈로부터 리프트 패드를 분리하기 직전 시점에 도 15a의 리프트 패드 상승 메커니즘의 운동을 예시하는 도면이다.
도 16b는 본 개시의 일 실시예에 따른, 페데스탈로부터 리프트 패드를 분리한 이후의 시점에 도 15a의 리프트 패드 상승 메커니즘의 운동을 예시하는 도면이다.
도 17a는 본 개시의 일 실시예에 따른, 리프트 패드 및 페데스탈 구성의 고온 베어링 어셈블리를 예시하는 도면이다.
도 17b는 본 개시의 일 실시예에 따른, 도 17a의 고온 베어링 어셈블리의 사시도이다.
도 17c는 본 개시의 일 실시예에 따른, 고온 베어링 어셈블리의 환상 형상 (annular shape) 을 갖는 외측 사파이어 부싱을 도시한다.
도 17d는 본 개시의 일 실시예에 따른, 고온 베어링 어셈블리의 환상 형상을 갖는 내측 사파이어 부싱을 도시한다.
도 18은 이상에서 기술한 시스템들을 제어하기 위한 제어 모듈을 도시한다.
Embodiments may best be understood by reference to the following description taken in conjunction with the accompanying drawings.
Figure 1 illustrates a substrate processing system used to process wafers, for example, to form films on a wafer.
Figure 2 illustrates a top view of a multi-station processing tool, with four processing stations provided, according to one embodiment.
3 illustrates a schematic diagram of an embodiment of a multi-station processing tool having an inbound loadlock and an outbound loadlock, according to one embodiment.
Figure 4 illustrates a substrate processing system including a lift pad and pedestal configuration, wherein the lift pad is sized approximately to match the wafer, in accordance with one embodiment of the present disclosure.
5A is a cross-sectional view of the substrate processing system of FIG. 4, in accordance with one embodiment of the present disclosure; Figure 5B is a schematic diagram of a lift pad and pedestal configuration in which the lift pad is sized to match the wafer and the pedestal and lift pads are at a level that allows extension of the lift pins for wafer transfer purposes, Lt; RTI ID = 0.0 > 4 < / RTI >
5C is a diagram of an interface between a lift pad and a pedestal that includes a pad gap to establish minimum contact areas (MCAs), according to one embodiment of the present disclosure.
6 illustrates a substrate processing system, in accordance with one embodiment of the present disclosure, wherein the lift pad comprises a lift pad and pedestal configuration that is smaller than the wafer.
7A is a perspective view of the substrate processing system of FIG. 6, including a lift pad and pedestal configuration, wherein the lift pad is smaller than the wafer, in accordance with an embodiment of the present disclosure.
FIG. 7B is a cross-sectional view of the substrate processing system of FIG. 6, including a lift pad and pedestal configuration, wherein the lift pad is smaller than the wafer, in accordance with one embodiment of the present disclosure.
7C is a cross-sectional view of the substrate processing system of FIG. 6, including a lift pad and pedestal configuration, including a lift pin assembly, wherein the lift pad is smaller than the wafer, in accordance with an embodiment of the present disclosure.
7D is a cross-sectional view of the lift pad-pedestal interface of the substrate processing system of FIG. 6, including a lift pad and pedestal configuration, wherein the lift pad is smaller than the wafer, in accordance with an embodiment of the present disclosure.
7E is a perspective view of the top surface of the lift pad in the substrate processing system of FIG. 6 including a lift pad and pedestal configuration in accordance with one embodiment of the present disclosure;
Figure 7F is a perspective view of the lower surface of the lift pad of the substrate processing system of Figure 6, including a lift pad and pedestal configuration, in accordance with one embodiment of the present disclosure;
Figure 8 is a flow chart illustrating a method of operating a process chamber configured to deposit a film on a wafer, in accordance with one embodiment of the present disclosure, the method comprising: rotating the wafer in a process chamber during processing without rotation of the pedestal And advantageously filters both chamber asymmetry and pedestal asymmetry.
FIGS. 9A and 9B illustrate an embodiment of the present invention, including a rotation of the wafer without rotation of the pedestal within the process chamber during processing, wherein the lift pad is approximately sized to match the wafer, Are diagrams illustrating the motion sequence of a lift pad and pedestal configuration, filtering both pedestal asymmetry.
FIG. 9C is a schematic diagram of an embodiment of the present invention wherein the lift pad is roughly sized with the wafer and the orientation of the lift pad relative to the pedestal of the lift pad and pedestal configuration during the first process sequence, the rotation sequence, Fig.
10A and 10B illustrate an embodiment of the present invention wherein the lift pad is smaller than the wafer and the lift pad is configured to allow transfer of the wafer (e.g., via the end-effector arm) Are diagrams illustrating the motion sequence of the lift pad and pedestal configuration, including rotation of the wafer without the rotation of the pedestal, and advantageously filtering both chamber asymmetry and pedestal asymmetry.
FIG. 10C is a side elevational view of an embodiment of the present invention, wherein the lift pad is smaller than the wafer and includes a rotation of the wafer without rotation of the pedestal within the process chamber during processing and advantageously filters both chamber asymmetry and pedestal asymmetry, Lt; RTI ID = 0.0 > pedestal < / RTI >
10D is a diagram illustrating the orientation of lift pads for a pedestal of a lift pad and pedestal configuration where the lift pad is smaller than the wafer during the first process sequence, the rotation sequence, and the second process sequence, according to one embodiment of the present disclosure. to be.
11A is a perspective view of a substrate processing system including a lift pad and pedestal configuration in accordance with one embodiment of the present disclosure, illustrating a short stroke lift pad lift mechanism wherein the lift pad is substantially similar in size to the wafer or smaller than the wafer It is possible.
FIG. 11B is a perspective view of the substrate processing system of FIG. 11A including a lift pad and pedestal configuration in accordance with one embodiment of the present disclosure, illustrating components of a short stroke lift pad lift mechanism.
12A is a perspective view of a lift pad lifting mechanism of a substrate processing system including a lift pad and a pedestal configuration of Figs. 11A and 11B according to one embodiment of the present disclosure; Fig.
FIG. 12B is a diagram illustrating a motion sequence of the lift pad and pedestal configuration short stroke pad lift mechanism of FIGS. 11A and 11B according to one embodiment of the present disclosure, wherein the upward movement of the pedestal to accommodate the rotation of the lift pad To illustrate the lift of the lift pad through downward motion of the pedestal to facilitate entry of the end-effector for wafer transfer.
FIG. 13 is a perspective view of the lift pad lift mechanism of FIG. 12A, and more specifically, illustrates the interface between the yoke and the slide to provide movement of the lift pad relative to the pedestal in accordance with one embodiment of the present disclosure.
FIG. 14A is a perspective view of the lift pad lift mechanism of FIG. 12A, and more particularly, the interface between the ferro-seal assembly and the yoke to provide movement of the lift pad relative to the pedestal, in accordance with one embodiment of the present disclosure;
14B is a perspective view of the yoke of FIG. 14A interfacing with a ferro-seal assembly, in accordance with one embodiment of the present disclosure;
14C is a perspective view of a clamping mechanism that provides a linkage between the pad shaft of the lift pad and the ferro-seal assembly to convert motion of the ferro-seal assembly into movement of the lift pad, in accordance with one embodiment of the present disclosure.
Figure 14d is a perspective view of a clamp in the clamping mechanism of Figure 14c according to one embodiment of the present disclosure.
15A is a cross-sectional view of an elevation of a lift pad relative to a pedestal through upward motion of the pedestal to accommodate rotation of the lift pad, which is a lift pad that may be smaller than the wafer or may have a size substantially similar to the wafer, In which the lift pin assembly provides wafer transfer, illustrating another short-stroke lift mechanism that allows the lift pin assembly to provide wafer transfer.
15B is a perspective view of the substrate processing system of FIG. 15A including a lift pad and pedestal configuration in accordance with one embodiment of the present disclosure, illustrating the components of the short stroke pad lift mechanism.
15C is a perspective view of the lift pad lift mechanism of FIG. 15A according to one embodiment of the present disclosure, and more particularly, illustrates the interface between the lever and the ferro-seal assembly that provides movement of the lift pad relative to the pedestal.
15D is a perspective view of the lift pad lift mechanism of FIG. 15A according to one embodiment of the present disclosure, and more particularly, the interface between a pedestal bracket and a yoke that provides movement of the lift pad relative to the pedestal.
16A is a diagram illustrating movement of the lift pad lifting mechanism of FIG. 15A just prior to detaching the lift pad from the pedestal, in accordance with one embodiment of the present disclosure.
FIG. 16B is a view illustrating movement of the lift pad lifting mechanism of FIG. 15A at a point subsequent to separation of the lift pad from the pedestal, in accordance with one embodiment of the present disclosure.
17A is a diagram illustrating a high temperature bearing assembly in a lift pad and pedestal configuration, in accordance with one embodiment of the present disclosure.
Figure 17B is a perspective view of the high temperature bearing assembly of Figure 17A, in accordance with one embodiment of the present disclosure;
Figure 17C illustrates an outer sapphire bushing having an annular shape of a high temperature bearing assembly, according to one embodiment of the present disclosure.
17D illustrates an inner sapphire bushing having an annular shape of a high temperature bearing assembly, according to one embodiment of the present disclosure.
Figure 18 shows a control module for controlling the systems described above.

이하의 상세한 기술이 예시의 목적들로 많은 구체적인 상세들을 포함하지만, 임의의 당업자는 이하의 상세들에 대한 많은 변형들 및 변화들이 본 개시의 범위 내에 있다는 것을 인식할 것이다. 이에 따라, 이하에 기술된 본 개시의 양태들은 이하의 기술을 따르는 청구범위에 대한 어떠한 일반성도 손실하지 않고, 청구범위에 대한 제한들을 암시하지 않고 제시되었다. Although the following detailed description contains many specific details for purposes of illustration, it will be apparent to those skilled in the art that many modifications and variations of the details below are within the scope of this disclosure. Accordingly, aspects of the disclosure described below have been presented without necessarily implying any loss of generality to the claims following the description and without limiting the claims.

일반적으로 말하면, 본 개시의 다양한 실시예들은 단일-스테이션 시스템 및 멀티-스테이션 시스템에서 웨이퍼 프로세싱 (예를 들어, PECVD 프로세스 및 ALD 프로세스) 동안 개선된 막 균일도를 제공하는 시스템들 및 방법들을 기술한다. 구체적으로, 본 개시의 실시예들은 챔버 비대칭 및 페데스탈 비대칭 모두를 필터링하기 위해 페데스탈을 로테이팅시키지 않고 웨이퍼를 로테이팅시키기 위해 제공된다. 그런 식으로, 챔버 비대칭 및 페데스탈 비대칭으로 인한 방위각 불균일도들은 프로세싱 (예를 들어, PECVD, ALD, 등) 동안 전체 웨이퍼에 걸친 막 균일도를 달성하도록 최소화된다. Generally speaking, the various embodiments of the present disclosure describe systems and methods that provide improved film uniformity during wafer processing (e.g., PECVD and ALD processes) in single-station and multi-station systems. In particular, embodiments of the present disclosure are provided for rotating a wafer without rotating the pedestal to filter both chamber asymmetry and pedestal asymmetry. In this way, azimuthal non-uniformities due to chamber asymmetry and pedestal asymmetry are minimized to achieve film uniformity across the entire wafer during processing (e.g., PECVD, ALD, etc.).

다양한 실시예들의 일반적인 이해와 함께, 실시예들의 예시적인 상세들은 이제 다양한 도면들을 참조하여 기술될 것이다. 하나 이상의 도면들에서 유사하게 번호가 붙은 실시예들 및/또는 컴포넌트들은 일반적으로 동일한 구성 및/또는 기능성을 갖도록 의도된다. 또한, 도면들은 축척대로 도시되지 않을 수도 있고 신규한 개념들을 예시하고 강조하도록 의도된다. 본 실시예들은 이들 구체적인 상세들 중 일부 또는 전부가 없이 실시될 수도 있다는 것이 자명할 것이다. 다른 예들에서, 공지의 프로세스 동작들은 본 실시예들을 불필요하게 모호하게 하지 않도록 상세히 기술되지 않았다. With a general understanding of the various embodiments, exemplary details of the embodiments will now be described with reference to the various figures. Similarly numbered embodiments and / or components in one or more of the figures are generally intended to have the same configuration and / or functionality. In addition, the drawings may not be drawn to scale and are intended to illustrate and emphasize the novel concepts. It will be appreciated that the embodiments may be practiced without some or all of these specific details. In other instances, well-known process operations have not been described in detail so as not to unnecessarily obscure the embodiments.

도 1은 ALD (atomic layer deposition) 프로세스들에서 형성된 바와 같이, 기판들 위에 막들을 증착하도록 사용될 수도 있는 반응기 시스템 (100) 을 예시한다. 이들 리액터들은 2 이상의 가열기들을 활용할 수도 있고, 공통 단말 구성들이 균일도 또는 커스텀 (custom) 설정들을 위해 온도들을 제어하도록 이 예시적인 예에서 사용될 수도 있다. 보다 구체적으로, 도 1은 웨이퍼 (101) 를 프로세싱하기 위해 사용되는, 기판 프로세싱 시스템 (100) 을 예시한다. 시스템은 하부 챔버 부분 (102b) 및 상부 챔버 부분 (102a) 을 갖는 챔버 (102) 를 포함한다. 중심 컬럼이 일 실시예에서 전력 공급된 (powered) 전극인, 페데스탈 (140) 을 지지하도록 구성된다. 페데스탈 (140) 은 매칭 네트워크 (106) 를 통해 전력 공급부 (104) 에 전기적으로 커플링된다. 전력 공급부는 제어 모듈 (110), 예를 들어, 제어기에 의해 제어된다. 제어 모듈 (110) 은 프로세스 입력 및 제어부 (108) 를 실행함으로써 기판 프로세싱 시스템 (100) 을 동작시키도록 구성된다. 프로세스 입력 및 제어부 (108) 는 웨이퍼 (101) 위에 막들을 증착하거나 형성하기 위한 것과 같은 프로세스 레시피들, 예컨대 전력 레벨들, 타이밍 파라미터들, 프로세스 가스들, 웨이퍼 (101) 의 기계적 운동, 등을 포함할 수도 있다. Figure 1 illustrates a reactor system 100 that may be used to deposit films on substrates, such as formed in atomic layer deposition (ALD) processes. These reactors may utilize two or more heaters and common terminal configurations may be used in this illustrative example to control temperatures for uniformity or custom settings. More specifically, FIG. 1 illustrates a substrate processing system 100, which is used for processing wafers 101. The system includes a chamber 102 having a lower chamber portion 102b and an upper chamber portion 102a. The central column is configured to support the pedestal 140, which in this embodiment is a powered electrode. The pedestal 140 is electrically coupled to the power supply 104 through the matching network 106. The power supply is controlled by the control module 110, for example, a controller. The control module 110 is configured to operate the substrate processing system 100 by executing a process input and control 108. Process inputs and controls 108 include process recipes such as those for depositing or forming films on the wafer 101, such as power levels, timing parameters, process gases, mechanical motion of the wafer 101, You may.

중심 컬럼은 리프트 핀들 (미도시) 을 또한 포함하고, 리프트 핀들 각각은 리프트 핀 제어부 (122) 에 의해 제어될 때 대응하는 리프트 핀 액추에이션 링 (120) 에 의해 액추에이팅된다. 리프트 핀들은 엔드-이펙터로 하여금 웨이퍼를 픽킹하고 (pick) 엔드-이펙터에 의해 배치된 후, 웨이퍼 (101) 를 하강시키게 하는 페데스탈 (140) 로부터 웨이퍼 (101) 를 상승시키도록 사용된다. 기판 프로세싱 시스템 (100) 은 프로세스 가스 (114), 예를 들어, 설비로부터 가스 화학물질 공급부들에 연결되는 가스 공급 매니폴드 (112) 를 더 포함한다. 수행될 프로세싱에 따라, 제어 모듈 (110) 은 가스 공급 매니폴드 (112) 를 통해 프로세스 가스 (114) 의 전달을 제어한다. 이어서 선택된 가스들은 샤워헤드 (150) 내로 흐르고 웨이퍼 (101) 를 대면하는 샤워헤드 (150) 면 (face) 과 페데스탈 (140) 에 걸쳐 받쳐지는 웨이퍼 (101) 사이에 규정된 공간 볼륨 내에 분산된다. ALD 프로세스들에서, 가스들은 흡수 또는 흡수된 반응물질들과의 반응을 위해 선택된 반응물질들일 수 있다. The center column also includes lift pins (not shown), and each of the lift pins is actuated by a corresponding lift pin actuating ring 120 when controlled by a lift pin control 122. The lift pins are used to lift the wafer 101 from the pedestal 140 which causes the end-effector to pick up the wafer and place the wafer 101 down by the end-effector. The substrate processing system 100 further includes a process gas 114, for example, a gas supply manifold 112 that is connected to gas chemical feeds from the installation. In accordance with the processing to be performed, the control module 110 controls the delivery of the process gas 114 through the gas supply manifold 112. The selected gases then flow into the showerhead 150 and are dispersed within a defined volume of space between the showerhead 150 face facing the wafer 101 and the wafer 101 supported across the pedestal 140. In ALD processes, gases may be selected reactants for reaction with absorbed or absorbed reactants.

또한, 가스들은 미리 혼합되거나 (premix) 미리 혼합되지 않을 수도 있다. 적절한 밸브 및 질량 유량 (mass flow) 제어 매커니즘들이 프로세스의 증착 및 플라즈마 처리 페이즈들 동안 올바른 가스들이 전달되는 것을 보장하기 위해 채용될 수도 있다. 프로세스 가스들은 유출구를 통해 챔버를 나간다. 진공 펌프 (예를 들어, 1 또는 2 단계 기계적 드라이 펌프 및/또는 터보분자 펌프) 가 프로세스 가스들을 인출하고 쓰로틀 밸브 또는 펜둘럼 밸브와 같은, 폐루프 제어된 플로우 제한 디바이스에 의해 반응기 내에서 적합하게 저 압력을 유지한다. In addition, the gases may not premix or premix. Appropriate valve and mass flow control mechanisms may be employed to ensure proper gas delivery during process deposition and plasma treatment phases of the process. The process gases exit the chamber through the outlet. A vacuum pump (such as a one- or two-stage mechanical dry pump and / or turbo molecular pump) draws process gasses and is suitable for use in a reactor by a closed loop controlled flow restriction device, such as a throttle valve or pendulum valve Maintain low pressure.

페데스탈 (140) 의 외측 영역을 둘러싸는 캐리어 링 (200) 이 또한 도시된다. 캐리어 링 (200) 은 페데스탈 (140) 의 중심에서 웨이퍼 지지 영역으로부터 단차 다운된 부분 (step down) 인 캐리어 링 지지 영역 위에 놓이도록 구성된다. 캐리어 링은 디스크 구조체의 외측 에지 측, 예를 들어, 외측 반경, 및 디스크 구조체의 웨이퍼 에지 측, 예를 들어, 웨이퍼 (101) 가 놓이는 곳에 가장 가까운 내측 반경을 포함한다. 캐리어 링의 웨이퍼 에지 측은 캐리어 링 (200) 이 스파이더 포크들 (180) 에 의해 리프팅될 때 웨이퍼 (101) 를 리프팅하도록 구성되는 복수의 컨택트 지지 구조체들을 포함한다. 따라서 캐리어 링 (200) 은 웨이퍼 (101) 와 함께 리프팅되고 예를 들어, 멀티-스테이션 시스템에서 또 다른 스테이션으로 로테이팅될 수 있다. 다른 실시예들에서, 챔버는 단일-스테이션 챔버이다. A carrier ring 200 surrounding the outer region of the pedestal 140 is also shown. The carrier ring 200 is configured to rest on a carrier ring support area that is a step down from the wafer support area at the center of the pedestal 140. The carrier ring includes the outer edge side of the disc structure, e.g., the outer radius, and the inner radius closest to the wafer edge side of the disc structure, e.g., where the wafer 101 is located. The wafer edge side of the carrier ring includes a plurality of contact support structures configured to lift the wafer 101 when the carrier ring 200 is lifted by the spider forks 180. Thus, the carrier ring 200 may be lifted with the wafer 101 and rotated, for example, from a multi-station system to another station. In other embodiments, the chamber is a single-station chamber.

도 2는 4 개의 프로세싱 스테이션들이 제공되는, 멀티-스테이션 프로세싱 툴의 평면도를 예시한다. 이 평면도는 (예를 들어, 상단 챔버 부분 (102a) 이 예시를 위해 제거된) 하부 챔버 부분 (102b) 의 평면도이고, 4 개의 스테이션들이 스파이더 포크들 (226) 에 의해 액세스된다. 스파이터 포크 각각 또는 포크는 제 1 암 및 제 2 암을 포함하고, 암 각각은 페데스탈 (140) 의 측면 각각의 일부를 둘러싸도록 포지셔닝된다. 이 도면에서, 스파이더 포크들 (226) 은 캐리어 링 (200) 아래에 있는 것들을 수송하기 위해 (convey) 대시-선으로 도시된다. 인게이지먼트 (engagement) 및 로테이션 메커니즘 (220) 을 사용하는 스파이더 포크들 (226) 은 캐리어 링들 (200) (즉, 캐리어 링들 (200) 의 하부 표면으로부터) 을 스테이션들로부터 동시에 상승 및 리프팅하고, 이어서 다른 플라즈마 프로세싱, 처리 및/또는 막 증착이 각각의 웨이퍼들 (101) 상에서 발생할 수 있도록 다음 위치로 (캐리어 링들 중 적어도 하나는 웨이퍼 (101) 를 지지함) 캐리어 링들 (200) 을 하강시키기 전에 적어도 하나 이상의 스테이션들을 로테이팅하도록 구성된다. Figure 2 illustrates a top view of a multi-station processing tool, in which four processing stations are provided. This plan view is a top view of the lower chamber portion 102b (e.g., the upper chamber portion 102a is removed for illustration) and four stations are accessed by the spider forks 226. Each of the spar forks or the forks includes a first arm and a second arm, each of which is positioned to surround a portion of each side of the pedestal 140. In this figure, spider forks 226 are shown as dash-lines conveying things underneath carrier ring 200. Spider forks 226 using engagement and rotation mechanisms 220 simultaneously lift and lift the carrier rings 200 (i.e., from the lower surface of the carrier rings 200) from the stations, (At least one of the carrier rings supports the wafer 101) before the carrier rings 200 are lowered to the next position so that other plasma processing, processing and / or film deposition may occur on each of the wafers 101 And is configured to rotate at least one or more stations.

도 3은 인바운드 로드록 (302) 및 아웃바운드 로드록 (304) 을 갖는 멀티-스테이션 프로세싱 툴 (300) 의 실시예의 개략도를 도시한다. 대기압에서 로봇 (306) 이 대기 포트 (310) 를 통해 인바운드 로드록 (302) 내로 포드 (pod) (308) 를 통해 로딩된 카세트로부터 기판들을 이동시키도록 구성된다. 인바운드 로드록 (302) 은 대기 포트 (310) 가 폐쇄될 때, 인바운드 로드록 (302) 이 펌핑다운될 수도 있도록 진공 소스 (미도시) 에 커플링된다. 인바운드 로드록 (302) 은 또한 프로세싱 챔버 (102b) 와 인터페이싱된 챔버 이송 포트 (316) 를 포함한다. 따라서, 챔버 이송 포트 (316) 가 개방될 때, 또 다른 로봇 (미도시) 이 프로세싱을 위해 인바운드 로드록 (302) 으로부터 제 1 프로세스 스테이션의 페데스탈 (140) 로 기판을 이동시킬 수도 있다. 3 illustrates a schematic diagram of an embodiment of a multi-station processing tool 300 having an inbound load lock 302 and an outbound load lock 304. As shown in FIG. At atmospheric pressure, the robot 306 is configured to move substrates from the cassette loaded through the pod 308 into the inbound load lock 302 via the standby port 310. The inbound load lock 302 is coupled to a vacuum source (not shown) such that when the standby port 310 is closed, the inbound load lock 302 may be pumped down. The inbound load lock 302 also includes a chamber transfer port 316 interfaced with the processing chamber 102b. Thus, when the chamber transfer port 316 is open, another robot (not shown) may move the substrate from the inbound load lock 302 to the pedestal 140 of the first process station for processing.

도시된 프로세싱 챔버 (102b) 는 도 3에 도시된 실시예에서 1 내지 4로 번호가 붙여진 4 개의 프로세스 스테이션들을 포함한다. 일부 실시예들에서, 프로세싱 챔버 (102b) 는 기판들이 진공 브레이크 (vacuum break) 및/또는 공기 노출을 경험하지 않고 프로세스 스테이션들 사이에서 캐리어 링 (200) 을 사용하여 이송될 수도 있도록 저압 분위기를 유지하도록 구성될 수도 있다. 도 3에 도시된 프로세스 스테이션 각각은 프로세스 스테이션 기판 홀더 (스테이션 1에 대해318로 도시됨) 및 프로세스 가스 전달 라인 유입구들을 포함한다. The illustrated processing chamber 102b includes four process stations numbered from one to four in the embodiment shown in FIG. In some embodiments, the processing chamber 102b maintains a low pressure atmosphere so that the substrates may be transported using the carrier ring 200 between process stations without experiencing vacuum breaks and / or air exposure. . Each of the process stations shown in Figure 3 includes a process station substrate holder (shown as 318 for station 1) and process gas delivery line inlets.

도 3은 또한 프로세싱 챔버 (102b) 내에서 기판들을 이송하기 위한 스파이더 포크들 (226) 을 도시한다. 스파이더 포크들 (226) 은 로테이팅하고 일 스테이션으로부터 또 다른 스테이션으로 웨이퍼들의 이송을 인에이블한다. 이송은 웨이퍼를 리프팅하고, 웨이퍼 및 캐리어를 함께 다음 스테이션으로 로테이팅하는, 외측 하부 표면으로부터 캐리어 링들 (200) 을 리프팅하도록 스파이더 포크들 (226) 을 인에이블링함으로써 발생한다. 일 구성에서, 스파이더 포크들 (226) 은 프로세싱 동안 고 레벨의 열을 견디도록 세라믹 재료로 이루어진다. Figure 3 also shows spider forks 226 for transferring substrates within the processing chamber 102b. Spider forks 226 rotate and enable the transfer of wafers from one station to another. The transfer occurs by enabling the spider forks 226 to lift the carrier rings 200 from the outer lower surface, lifting the wafer and rotating the wafer and carrier together to the next station. In one configuration, spider forks 226 are made of a ceramic material to withstand high levels of heat during processing.

웨이퍼 포지셔닝 리프트 패드 및 페데스탈 구성 Wafer positioning lift pad and pedestal configuration

도 4는 본 개시의 일 실시예에 따른, 리프트 패드 및 페데스탈 구성 (400) 을 포함하는 기판 프로세싱 시스템을 예시하고, 리프트 패드 (430) 는 상부에 배치된 웨이퍼 (미도시) 와 매칭하도록 대략 사이즈가 결정된다. 일부 실시예들에서, 리프트 패드 (430) 는 캐리어 링 어셈블리와의 통합을 허용하도록 대략 사이즈가 결정된다. 리프트 패드 및 페데스탈 구성 (400) 은 멀티-스테이션 툴 및 단일-스테이션 프로세싱 툴을 포함하는, 도 1 내지 도 3의 시스템들 내에서 구현될 수도 있다. FIG. 4 illustrates a substrate processing system including a lift pad and pedestal configuration 400, according to one embodiment of the present disclosure, wherein the lift pad 430 is of a substantially size (not shown) to match a wafer Is determined. In some embodiments, the lift pad 430 is sized approximately to allow integration with the carrier ring assembly. The lift pad and pedestal configuration 400 may be implemented within the systems of FIGS. 1-3, including a multi-station tool and a single-station processing tool.

리프트 패드 및 페데스탈 구성 (400) 은 리프트 패드 제어부 (455) 에 의해 제어되는 리프트 패드 (430), 및 페데스탈 제어부 (450) 에 의해 제어되는 페데스탈 (140') 을 포함한다. 중심 샤프트 (510') 는 페데스탈 (140') 에 커플링되고, 패드 샤프트 (560) 는 리프트 패드 (430) 에 커플링된다. 페데스탈 제어부 (450) 는 페데스탈 (140') 의 운동을 유도하기 위해 중심 샤프트 (510') 의 운동을 제어한다. 예를 들어, 페데스탈 제어부 (450) 는 프리-프로세싱 (pre-processing), 프로세싱, 및 포스트-프로세싱 (post-processing) 시퀀스들 동안 (예를 들어, 중심 축을 따라 상하로) 페데스탈 (140') 의 운동을 제어한다. 리프트 패드 제어부 (455) 는 리프트 패드 (430) 의 운동을 유도하기 위해 리프트 패드 샤프트 (560) 의 운동을 제어한다. 예를 들어, 리프트 패드 제어부 (455) 는 프리-프로세싱, 프로세싱, 및 포스트-프로세싱 시퀀스들 동안 (예를 들어, 중심 축 (471) 을 따라 상하로, 그리고 중심 축 (471) 을 중심으로 로테이팅하게 (rotationally)) 리프트 패드 (430) 의 운동을 제어한다. 구체적으로, 리프트 패드 및 페데스탈 구성 (400) 은 전체 페데스탈 (140') 을 로테이팅할 때와 비교하여 상당히 감소된 하드웨어 로테이션 시그니처를 갖는 웨이퍼의 로테이션을 제공한다. 즉, 웨이퍼가 로테이팅하는 동안 페데스탈 (140') 및/또는 챔버 (미도시) 는 리프트 패드 (430) 에 대하여 고정된 채로 남아 있기 때문에, 페데스탈 및 챔버 기반 비대칭들은 모두 필터링되어, 프로세싱 동안 웨이퍼 상에서 나타나는 하드웨어 페데스탈 및 챔버 시그니처들을 상당히 감소시킨다. 즉, 페데스탈 시그니처에 의해 도입된 불균일도들은 웨이퍼 프로세싱 동안 페데스탈을 로테이팅하지 않고, 리프트 패드를 사용한 웨이퍼 로테이션을 통해, 웨이퍼 도처에서 대칭적으로 분포될 수 있다. The lift pad and pedestal configuration 400 includes a lift pad 430 controlled by a lift pad control 455 and a pedestal 140 'controlled by a pedestal control 450. The center shaft 510 'is coupled to the pedestal 140' and the pad shaft 560 is coupled to the lift pad 430. The pedestal control unit 450 controls the motion of the center shaft 510 'to induce the motion of the pedestal 140'. For example, the pedestal control unit 450 may control the pedestal 140 'during pre-processing, processing, and post-processing sequences (e.g., up and down along the center axis) Control the motion. The lift pad control 455 controls the movement of the lift pad shaft 560 to induce movement of the lift pad 430. For example, the lift pad control 455 may be used during pre-processing, processing, and post-processing sequences (e.g., rotating up and down along the center axis 471 and around the center axis 471) And rotationally) movements of the lift pad 430. In particular, the lift pad and pedestal configuration 400 provides rotation of the wafer with a significantly reduced hardware rotation signature compared to when rotating the entire pedestal 140 '. That is, pedestal 140 and / or chamber (not shown) remain fixed with respect to lift pad 430 while the wafer rotates, so that pedestal and chamber based asymmetries are all filtered so that on the wafer during processing Significantly reducing the hardware pedestal and chamber signatures that appear. That is, the non-uniformities introduced by the pedestal signature can be distributed symmetrically across the wafer, through wafer rotation using a lift pad, without rotating the pedestal during wafer processing.

리프트 패드 및 페데스탈 구성 (400) 은 페데스탈 (140') 상에 배치될 때, (예를 들어, 전도를 통해) 페데스탈 (140') 을 직접적으로 가열하고, 리프트 패드 (430) 를 간접적으로 가열하도록 사용되는 복수의 가열 엘리먼트들 (470) 을 포함한다. 이에 더하여, 리프트 패드 및 페데스탈 구성 (400) 은 일부 프로세스 모듈들에서, 페데스탈 (140') 을 냉각하기 위해 선택가능하게 복수의 냉각 엘리먼트들 (480) 을 포함한다. The lift pad and pedestal configuration 400 may be configured to directly heat the pedestal 140 '(e.g., via conduction) and indirectly heat the lift pad 430 when placed on the pedestal 140' And a plurality of heating elements 470 used. In addition, the lift pad and pedestal configuration 400 includes a plurality of cooling elements 480, optionally in some process modules, to cool the pedestal 140 '.

리프트 패드 및 페데스탈 구성 (400) 은 이전에 기술된 바와 같이, 리프트 핀 제어부 (122) 에 의해 제어된 복수의 리프트 핀들을 갖는 동축 리프트 핀 어셈블리 (415) 를 포함하는 것으로 도시되는 중심 컬럼을 포함한다. 예를 들어, 리프트 핀들은 웨이퍼 전달 시퀀스들 동안 엔드-이펙터로 하여금 웨이퍼를 픽업하고 엔드-이펙터에 의해 배치된 후 웨이퍼를 하강시키게 하도록 리프트 패드 (430) 및 페데스탈 (140') 로부터 웨이퍼를 상승시키기 위해 사용된다. The lift pad and pedestal configuration 400 includes a center column that is shown to include a coaxial lift pin assembly 415 having a plurality of lift pins controlled by a lift pin control 122, . For example, the lift pins can be used to lift the wafer from the lift pad 430 and the pedestal 140 'to cause the end-effector to pick up the wafer and lower the wafer after being placed by the end-effector during the wafer transfer sequences .

리프트 패드 및 페데스탈 구성 (400) 은 벨로우즈 (420) 를 포함한다. 벨로우즈 (420) 는 리프트 핀 어셈블리 (415), 페데스탈, 또는 리프트 패드에 개별적으로 커플링되고, 리프트 핀들, 페데스탈, 또는 리프트 패드의 운동을 위해 구성된다. 이에 더하여, 리프트 패드 및 페데스탈 구성 (400) 은 벨트-풀리 장치 (belt-pulley arrangement) (427) 에 로테이션 모터를 포함한다. 또한, 페로시일 (ferroseal) (425) 이 진공 분위기에서 리프트 패드 (430) 의 로테이션을 용이하게 한다. 또한, 일 실시예에서, 웨이퍼 사이즈의 리프트 패드 (430) 는 정전 척 (ESC) 과 호환된다. ESC (570) 는 ESC (570) 가 활성인 포지션에 웨이퍼를 홀딩하도록 정전 홀딩 힘을 유도하기 위해 고 전압으로 바이어스된 전극들을 포함하도록 구성된다. 또한, 일 실시예에서, 리프트 패드 및 페데스탈 구성 (400) 은, 특히, 리프트 패드 (430) 가 페데스탈 (140') 상에 받쳐지도록 이동될 때 리프트 패드 (430) 와 페데스탈 (140') 사이에 균일한 갭을 촉진하는 순응형 샤프트 섹션 (compliant shaft section)(435) 을 포함한다. The lift pad and pedestal configuration 400 includes a bellows 420. The bellows 420 is individually coupled to lift pin assembly 415, pedestal, or lift pad and is configured for movement of lift pins, pedestal, or lift pads. In addition, the lift pad and pedestal arrangement 400 includes a rotation motor in a belt-pulley arrangement 427. In addition, ferroseal 425 facilitates rotation of lift pad 430 in a vacuum environment. Further, in one embodiment, the wafer size lift pad 430 is compatible with an electrostatic chuck (ESC). ESC 570 is configured to include electrodes biased at a high voltage to induce an electrostatic holding force such that ESC 570 holds the wafer in the active position. In addition, in one embodiment, the lift pad and pedestal configuration 400 may be configured to move between the lift pad 430 and the pedestal 140 ', particularly when the lift pad 430 is moved to be supported on the pedestal 140' And a compliant shaft section 435 that promotes a uniform gap.

도 4에 도시된 바와 같이, 일 실시예에서, 볼 스크루 (ball screw) (437) (예를 들어, 왼쪽으로 돌리는) 가 프로세싱의 일 시퀀스 동안 페데스탈 (140') 의 반대방향으로 리프트 핀들을 구동하도록 구성된다. 예를 들어, 볼 스크루 (437) 는 페데스탈 (140') 이 웨이퍼 전달을 위해 최하단 (bottom-most) 포지션 근방으로 또는 최하측 포지션으로 이동되는 동안 리프트 핀들을 연장하기 위해 웨이퍼 전달 시퀀스 동안 인게이지될 수도 있다. 볼 스크루 (443) (예를 들어, 오른쪽으로 돌리는) 가 Z 방향으로 중심 축을 따라 페데스탈을 이동시키도록 사용된다. 예를 들어, 볼 스크루 (443) 는 Z-모터 (445) 를 사용하여 중심 축을 따라 Z-방향으로 페데스탈 (140') 을 구동하도록 구성된다. 이에 더하여, 쇼트-스트로크 (short-stroke) 커플링 메커니즘 (440) 이 도시된다. As shown in Figure 4, in one embodiment, a ball screw 437 (e.g., turning to the left) drives the lift pins in the opposite direction of the pedestal 140 'during one sequence of processing . For example, the ball screw 437 may be engaged during the wafer transfer sequence to extend the lift pins while the pedestal 140 'is moved to the bottom-most position or to the lowermost position for wafer transfer It is possible. A ball screw 443 (e.g., turning to the right) is used to move the pedestal along the central axis in the Z direction. For example, the ball screw 443 is configured to drive the pedestal 140 'in the Z-direction along the central axis using the Z-motor 445. In addition, a short-stroke coupling mechanism 440 is shown.

도 5a는 본 개시의 일 실시예에 따른, 도 4의 기판 프로세싱 시스템의 단면도이다. 구체적으로, 도 5a는 리프트 패드 (430) 가 웨이퍼 (미도시) 와 매칭하도록 대략 사이즈가 결정되는 리프트 패드 및 페데스탈 구성 (400) 을 예시한다. 5A is a cross-sectional view of the substrate processing system of FIG. 4, in accordance with one embodiment of the present disclosure; Specifically, FIG. 5A illustrates a lift pad and pedestal configuration 400 that is approximately sized to match the lift pad 430 with a wafer (not shown).

단지 예시들을 목적으로, 페데스탈 (140') 은 제작하는 동안 복수의 가열 엘리먼트들 (470) 및 복수의 냉각 엘리먼트들 (480) 을 수용하기 위해 3 개의 세그먼트들로 형성된다. 페데스탈 (140') 은 일 엘리먼트로 간주되고, 임의의 적합한 제작 프로세스를 사용하여 형성될 수도 있다는 것이 인식된다. For illustrative purposes only, the pedestal 140 'is formed of three segments to accommodate a plurality of heating elements 470 and a plurality of cooling elements 480 during fabrication. It is appreciated that the pedestal 140 'is considered to be one element and may be formed using any suitable fabrication process.

도 5a에 도시된 바와 같이, 페데스탈 (140') 및 리프트 패드 (430) 는 웨이퍼 전달 목적들을 위해 리프트 핀들 (557) 의 연장을 허용하는 레벨에 있다. 리프트 핀들 (557) 각각은 운동을 초래하도록 (effect) 대응하는 리프트 핀 지지부 (555) 에 커플링되고, 리프트 핀 지지부들 (555) 의 운동이 리프트 핀 제어부 (122) 에 의해 제어된다. 일 실시예에서, 페데스탈 (140') 은 중심 축 (471) 을 따라 이동하는 Z를 따라 최하단 포지션에 있다. 5A, pedestal 140 'and lift pad 430 are at a level that allows extension of lift pins 557 for wafer transfer purposes. Each of the lift pins 557 is coupled to a corresponding lift pin support 555 to effect motion and the movement of the lift pin supports 555 is controlled by a lift pin control 122. In one embodiment, the pedestal 140 'is in the lowermost position along Z moving along the central axis 471.

이전에 기술된 바와 같이, 페데스탈 제어부 (450) 는 중심 샤프트 (510') 의 운동을 제어한다. 페데스탈 (140') 이 중심 샤프트 (510') 에 커플링되기 때문에, 중심 샤프트 (510') 의 운동이 페데스탈 (140') 로 전환된다 (translate). 이에 더하여, 리프트 패드 제어부 (455) 는 이전에 기술된 바와 같이, 패드 샤프트 (560) 의 운동을 제어한다. 리프트 패드 (430) 가 패드 샤프트 (560) 에 커플링되기 때문에, 패드 샤프트 (560) 의 운동이 리프트 패드 (430) 로 전환된다. As described previously, the pedestal control unit 450 controls the motion of the center shaft 510 '. Since the pedestal 140 'is coupled to the center shaft 510', the motion of the center shaft 510 'translates to the pedestal 140'. In addition, the lift pad control 455 controls the motion of the pad shaft 560, as previously described. Since the lift pad 430 is coupled to the pad shaft 560, the movement of the pad shaft 560 is switched to the lift pad 430.

도 5b는 본 개시의 일 실시예에 따른, 도 4 및 도 5a 및 도 5b에서 이전에 도입된, 리프트 패드 및 페데스탈 구성 (400) 을 포함하는 어셈블리 (500B) 를 도시하는 도 4의 기판 프로세싱 시스템의 단면도이다. 리프트 패드 (430) 는 웨이퍼 (미도시) 와 매칭하도록 대략 사이즈가 결정된다. 여전히 또 다른 실시예에서, 리프트 패드 (430) 의 직경은 캐리어 링 (미도시) 을 수용하도록 사이즈가 결정된다. 리프트 패드 및 페데스탈 구성 (500A) 은 챔버 비대칭 및 페데스탈 비대칭으로 인한 방위각 불균일도들을 필터링하기 위해 페데스탈을 로테이팅하지 않고 리프트 패드를 사용하여 웨이퍼를 로테이팅함으로써 단일-스테이션 시스템 및 멀티-스테이션 시스템에서 증착 프로세스들 (예를 들어, PECVD, ALD, 등) 동안 개선된 막 균일도를 제공한다. 구체적으로, 로테이팅하는 리프트 패드 (430) 는 전체 페데스탈 (140') 보다 훨씬 보다 박형이고, 따라서 리프트 패드 (430) 의 로테이션 시그니처는 가열기 엘리먼트들 (470) 및 냉각 엘리먼트들 (480) 을 포함하는 페데스탈 (140') 의 로테이션 시그니처보다 훨씬 보다 작다 (비대칭 하드웨어가 불균일도들에 기여함). 즉, 페데스탈 시그니처에 의해 도입된 불균일도들은 웨이퍼 프로세싱 동안 페데스탈을 로테이팅하지 않고, 리프트 패드를 사용한 웨이퍼 로테이션을 통해, 웨이퍼 도처에서 대칭적으로 분포될 수 있다. Figure 5B is a side view of the substrate processing system 400 of Figure 4 showing an assembly 500B including a lift pad and pedestal configuration 400, previously introduced in Figures 4 and 5A and 5B, according to one embodiment of the present disclosure. Fig. The lift pad 430 is approximately sized to match the wafer (not shown). In yet another embodiment, the diameter of the lift pad 430 is sized to receive a carrier ring (not shown). The lift pad and pedestal configuration 500A can be used in single-station and multi-station systems by rotating the wafer using a lift pad without rotating the pedestal to filter azimuthal variations due to chamber asymmetry and pedestal asymmetry Provides improved film uniformity during processes (e.g., PECVD, ALD, etc.). In particular, the rotating lift pad 430 is much thinner than the entire pedestal 140 ', so that the rotation signature of the lift pad 430 includes heater elements 470 and cooling elements 480 Is much smaller than the rotation signature of pedestal 140 '(asymmetric hardware contributes to non-uniformities). That is, the non-uniformities introduced by the pedestal signature can be distributed symmetrically across the wafer, through wafer rotation using a lift pad, without rotating the pedestal during wafer processing.

어셈블리 (500B) 에서, 페데스탈 (140') 은 페데스탈 (140') 의 중심 축 (471) 으로부터 연장하는 페데스탈 상단 표면 (533) 을 포함한다. 상단 표면 (533) 은 페데스탈 (140') 과 리프트 패드 (430) 사이에 인터페이스를 제공하기 위해, 패드 샤프트 (510') 와 리프트 패드 (430) 간의 커플링을 용이하게 하도록 구성된 축 (471) 에 대해 중심의 리세스, 및 외측 림 (509) 을 형성하는 리세스와 같은 하나 이상의 리세스들을 포함할 수도 있다. 페데스탈 (140') 은 일반적으로 위에서 보았을 때 원형 형상을 갖고 페데스탈 직경으로 연장하는 것으로 기술될 수도 있지만, 페데스탈 (140') 의 풋프린트 (footprint) 는 캐리어 링 지지부 및 엔드-이펙터 액세스, 등과 같은 상이한 피처들을 수용하도록 정확한 원으로부터 가변할 수도 있다. In assembly 500B, the pedestal 140 'includes a pedestal top surface 533 extending from the central axis 471 of the pedestal 140'. The upper surface 533 is coupled to a shaft 471 configured to facilitate coupling between the pad shaft 510 'and the lift pad 430 to provide an interface between the pedestal 140' and the lift pad 430 And recesses that form the lateral rim 509. In one embodiment, While the pedestal 140 'may generally be described as having a circular shape as viewed from above and extending to a pedestal diameter, the footprint of the pedestal 140' may be different, such as a carrier ring support and end-effector access, It may vary from the correct circle to accommodate the features.

도시된 바와 같이, 페데스탈 (140') 은 페데스탈 (140') 의 운동을 제어하기 위해 구성되는, 액추에이터 (515) 로 연결된다. 구체적으로, 페데스탈 제어부 (450) 는 페데스탈 (140') 의 운동을 제어하기 위해 액추에이터 (515) 에 커플링된다. 즉, 중심 샤프트 (510') 가 중심 샤프트 (510') 가 액추에이터 (515) 와 페데스탈 (140') 사이에서 연장하도록 액추에이터 (515) 및 페데스탈 (140') 에 커플링된다. 중심 샤프트 (510') 는 중심 축 (471) 을 따라 페데스탈 (140') 을 이동시키도록 구성된다. 이와 같이, 액추에이터 (515) 의 운동이 중심 샤프트 (510') 의 운동으로 전환되고, 이는 결국 페데스탈 (140') 의 운동으로 전환된다. As shown, the pedestal 140 'is connected to an actuator 515, which is configured to control the motion of the pedestal 140'. Specifically, the pedestal control unit 450 is coupled to the actuator 515 to control the motion of the pedestal 140 '. That is, the center shaft 510 'is coupled to the actuator 515 and the pedestal 140' such that the center shaft 510 'extends between the actuator 515 and the pedestal 140'. The center shaft 510 'is configured to move the pedestal 140' along the central axis 471. In this way, the motion of the actuator 515 is converted into the motion of the center shaft 510 ', which eventually switches to the motion of the pedestal 140'.

이에 더하여, 페데스탈 (140') 은 단지 예시들을 목적으로 3 개의 세그먼트들 (140a', 140b', 및 140c') 을 갖는 것으로 도시된다. 예를 들어, 페데스탈 (140') 은 복수의 가열 엘리먼트들 (470) 및/또는 복수의 냉각 엘리먼트들 (480) 을 제작하는 동안 형성을 수용하기 위한 3 개의 세그먼트들로 형성될 수도 있다. 이전에 개시된 바와 같이, 페데스탈 (140') 이 일 엘리먼트로 간주되고, 임의의 적합한 제작 프로세스들을 사용하여 형성될 수도 있다고 인식된다. In addition, the pedestal 140 'is shown having three segments 140a', 140b ', and 140c' for illustrative purposes only. For example, the pedestal 140 'may be formed of three segments to accommodate formation during fabrication of the plurality of heating elements 470 and / or the plurality of cooling elements 480. As previously disclosed, it is recognized that the pedestal 140 'is considered to be one element and may be formed using any suitable fabrication process.

어셈블리 (500B) 에서, 리프트 패드 (430) 는 중심 축 (471) 으로부터 연장하는 패드 상단 표면 (575) 을 포함한다. 일 실시예에서, 패드 상단 표면 (575) 은 패드 직경 (577) 으로 연장한다. 리프트 패드 (430) 는 페데스탈 상단 표면 (533) 상에 받쳐지도록 구성되는 패드 하단 표면 (543) 을 포함한다. 이에 더하여, 패드 상단 표면 (575) 은 웨이퍼가 상단에 위치될 때 웨이퍼를 지지하도록 구성된다. In assembly 500B, the lift pad 430 includes a pad top surface 575 that extends from the center axis 471. In one embodiment, pad top surface 575 extends to pad diameter 577. The lift pad 430 includes a pad bottom surface 543 configured to be supported on the pedestal top surface 533. In addition, the pad top surface 575 is configured to support the wafer when the wafer is positioned at the top.

이에 더하여, 리프트 패드 (430) 는 이전에 기술된 바와 같이 ESC (electrostatic chuck) 호환가능하다. 예를 들어, ESC 어셈블리 (570) 는 패드 상단 표면 (575) 아래에 배치된다. 정전 척 어셈블리 (570) 는 챔버 플로우 교란들 (disturbances) 로 인해 웨이퍼 운동을 방지하고 척에 대한 (즉, 리프트 패드 상단 표면 (575) 에 대한) 웨이퍼의 컨택트를 최대화한다. 풀-웨이퍼 ESC와 결합된 웨이퍼로 대략 사이즈가 결정된 리프트 패드 (430) 의 이점은 최소 웨이퍼 후면 (backside) 증착을 발생시킨다. 이에 더하여, 풀-웨이퍼 ESC는 트위스트 (twist) 및/또는 로테이팅하기 위해 디클램핑 (declamping) 을 요구하지 않는다. In addition, the lift pad 430 is ESC (electrostatic chuck) compatible as previously described. For example, the ESC assembly 570 is disposed below the pad top surface 575. Electrostatic chuck assembly 570 prevents wafer motion due to chamber flow disturbances and maximizes the contact of the wafer with respect to the chuck (i.e., with respect to the lift pad top surface 575). The advantage of the lift pad 430, which is roughly sized with the wafer combined with the full-wafer ESC, results in minimal wafer backside deposition. In addition, the full-wafer ESC does not require declamping to twist and / or rotate.

도시된 바와 같이, 리프트 패드 (430) 는 리프트 패드 (430) 의 운동을 제어하기 위해 구성되는, 액추에이터 (515) 에 연결된다. 리프트 패드 제어부 (455) 는 리프트 패드 (430) 의 운동을 제어하기 위해 액추에이터 (515) 에 커플링된다. 즉, 패드 샤프트 (560) 가 액추에이터 (515) 와 페데스탈 (140') 사이에서 연장하도록 패드 샤프트 (560) 는 액추에이터 (515) 및 페데스탈 (140') 에 커플링된다. 패드 샤프트 (560) 는 페데스탈 (140') 에 연결되는 중심 샤프트 (510') 내에 구성된다. 구체적으로, 패드 샤프트 (560) 는 중심 축 (471) 을 따라 페데스탈 (140') 을 이동시키도록 구성된다. 이와 같이, 액추에이터 (515) 의 운동은 패드 샤프트 (560) 의 운동으로 전환되고, 이는 결국 리프트 패드 (430) 의 운동으로 전환된다. 일 실시예에서, 액추에이터 (515) 는 리프트 패드 (430) 및 페데스탈 (140') 모두의 운동을 제어한다. As shown, the lift pad 430 is connected to an actuator 515, which is configured to control the movement of the lift pad 430. The lift pad control 455 is coupled to the actuator 515 to control the movement of the lift pad 430. That is, the pad shaft 560 is coupled to the actuator 515 and the pedestal 140 'such that the pad shaft 560 extends between the actuator 515 and the pedestal 140'. The pad shaft 560 is configured in a center shaft 510 'that is connected to the pedestal 140'. Specifically, the pad shaft 560 is configured to move the pedestal 140 'along the central axis 471. As such, the motion of the actuator 515 is converted to the motion of the pad shaft 560, which eventually translates into the motion of the lift pad 430. In one embodiment, the actuator 515 controls the movement of both lift pad 430 and pedestal 140 '.

구체적으로, 패드 샤프트 (560) 는 페데스탈 (140') 로부터 리프트 패드 (430) 를 분리하도록 구성되고, 또한 도 9a 내지 도 9c와 관련하여 이하에 보다 완전히 기술될 것이다. 예를 들어, 리프트 패드 (430) 는 리프트 패드 (430) 가 리프트 패드 (430) 의 로테이션을 목적으로 프로세스 로테이션 변위만큼 페데스탈 상단 표면 (533) 으로부터 분리되도록 페데스탈 (140') 이 상향 포지션에 있을 때, 중심 축 (471) 을 따라 페데스탈 상단 표면 (533) 에 대해 위로 이동하도록 구성된다. 일 실시예에서, 리프트 패드 (430) 는 페데스탈 (140') 이 최상단 상향 포지션에 도달할 때 페데스탈 상단 표면 (533) 에 대해 위로 이동한다. 또한, 리프트 패드 (430) 가 페데스탈 상단 표면 (533) 으로부터 분리될 때, 리프트 패드 (430) 는 적어도 제 1 각도 배향과 제 2 각도 배향 사이 (예를 들어, 0 °와 180 ° 사이) 에서 페데스탈 (140') 의 페데스탈 상단 표면 (533) 에 대해 로테이팅하도록 구성된다. 패드 샤프트 (560) 는 또한 페데스탈 (140') 상에 받쳐지게 리프트 패드 (430) 를 하강시키도록 구성된다. 구체적으로, (도 5c에 도시된) 플렉서블 커플러 (435) 가 패드 샤프트 (560) 내에 포지셔닝되고, 그리고 페데스탈 (140') 위로 균일하게 리프트 패드 (430) 를 포지셔닝하도록 구성된다. Specifically, the pad shaft 560 is configured to separate the lift pad 430 from the pedestal 140 ', and will be more fully described below with respect to Figures 9A-9C. For example, the lift pad 430 may be positioned in the upward position when the pedestal 140 'is in an upward position such that the lift pad 430 is separated from the pedestal top surface 533 by a process rotation displacement for the purpose of rotation of the lift pad 430 , And is configured to move upward with respect to the pedestal upper surface 533 along the central axis 471. In one embodiment, the lift pad 430 moves upward relative to the pedestal upper surface 533 when the pedestal 140 'reaches the uppermost up position. In addition, when the lift pad 430 is separated from the pedestal upper surface 533, the lift pad 430 can be moved between at least a first angular orientation and a second angular orientation (e.g., between 0 and 180 degrees) To the pedestal upper surface 533 of the pedestal 140 '. The pad shaft 560 is also configured to lower the lift pad 430 to rest on the pedestal 140 '. Specifically, a flexible coupler 435 (shown in Figure 5C) is positioned within the pad shaft 560 and is configured to evenly position the lift pad 430 over the pedestal 140 '.

리프트 패드 (430) 로테이션을 준비하기 위해, 리프트 패드 (430) 는 일 실시예에서 페데스탈 (140') 에 대하여 상향으로 이동한다. 즉, 리프트 패드 (430) 는 리프트 패드 (430) 가 프로세스 로테이션 변위 (940) 만큼 (도 9b 참조) 페데스탈 상단 표면 (533) 으로부터 분리되도록, 그리고 리프트 패드 (430) 상에 배치된 웨이퍼가 또한 페데스탈 (140') 로부터 분리되도록 웨이퍼 프로세싱 동안 페데스탈 (140') 이 상향 포지션 (예를 들어, 최상단 상향 포지션) 에 있을 때 중심 축 (471) 을 따라 페데스탈 상단 표면 (533) 에 대해 위로 이동하도록 구성된다. 구체적으로, 리프트 패드 (430) 가 페데스탈 (140') 로부터 분리될 때, 리프트 패드 (430) 는 페데스탈 상단 표면 (533) 에 대해 적어도 제 1 각도 배향과 제 2 각도 배향 사이에서 페데스탈 상단 표면 (533) 에 대해 로테이팅하도록 구성된다. 이 로테이션은 프로세싱 동안 페데스탈의 하드웨어 시그니처의 효과들을 감소시키고, 또한 프로세싱 동안 챔버 하드웨어 시그니처의 효과들을 감소시킨다. 부가적으로, 포커스 링 (미도시) 은 웨이퍼와 함께 로테이팅하지 않아, 프로세싱 동안 웨이퍼 상에서 하드웨어 시그니처를 감소시킨다. To prepare the lift pad 430 for rotation, the lift pad 430 moves upward relative to the pedestal 140 'in one embodiment. That is, the lift pad 430 is positioned so that the lift pad 430 is separated from the pedestal upper surface 533 by the process rotation displacement 940 (see FIG. 9B), and the wafer placed on the lift pad 430 is also moved to the pedestal Is configured to move up relative to the pedestal upper surface 533 along the central axis 471 when the pedestal 140 'is in the upward position (e.g., the uppermost up position) during wafer processing to separate the pedestal 140' . Specifically, when the lift pad 430 is separated from the pedestal 140 ', the lift pad 430 is moved between at least a first angular orientation and a second angular orientation relative to the pedestal upper surface 533, As shown in FIG. This rotation reduces the effects of pedestal hardware signatures during processing and also reduces the effects of chamber hardware signatures during processing. Additionally, the focus ring (not shown) does not rotate with the wafer, reducing hardware signatures on the wafer during processing.

어셈블리 (500) 는 복수의 리프트 핀들 (557) 을 포함하는 리프트 핀 어셈블리를 포함한다. 예시의 목적들로, 본 개시의 일 실시예에 따른, 페데스탈 (140') 및 리프트 패드 (430) 는 웨이퍼 전달의 목적들로 리프트 핀 (557) 연장을 허용하는 레벨에 있다. 구체적으로, 리프트 핀들 (557) 은 웨이퍼를 반송하는 엔드-이펙터 암 (미도시) (캐리어 링을 사용하거나 캐리어 링을 사용하지 않는) 이 웨이퍼를 리프트 핀들 (557) 로 전달하거나 리프트 핀들 (557) 로부터 웨이퍼를 수용할 포지션으로 궤도 수정될 수 있도록, 페데스탈 (140') 내에 배치된 복수의 페데스탈 샤프트들 (518) 을 통해 그리고 리프트 패드 (430) 의 복수의 리프트 패드 샤프트들 (519) 을 통해 리프트 패드 (430) 로부터 연장된다. 대응하는 페데스탈 샤프트들 (518) 및 패드 샤프트들 (519) 이 리프트 핀 (557) 을 수용하도록 배열되고 구성된다. 도시된 바와 같이, 하나 이상의 리프트 핀 샤프트들 및 대응하는 리프트 핀들은 웨이퍼 전달 동안 웨이퍼를 리프팅하고 배치하거나 제거하도록 리프트 핀 어셈블리 내에 구성될 수도 있다. 도시된 바와 같이, 리프트 핀들 (557) 각각은 운동을 초래하도록 대응하는 리프트 핀 지지부 (555) 에 커플링된다. 리프트 핀 지지부들 (555) 은 리프트 핀 액추에이터 (550) 에 커플링된다. 이에 더하여, 리프트 핀 제어부 (122) 는 리프트 핀들 (557) 의 운동을 초래하도록 리프트 핀 액추에이터 (550) 의 운동을 제어한다. The assembly 500 includes a lift pin assembly including a plurality of lift pins 557. For illustrative purposes, pedestal 140 'and lift pad 430, according to one embodiment of the present disclosure, are at a level that allows extension of lift pin 557 for wafer transfer purposes. Specifically, the lift pins 557 are used to transfer the wafers to lift pins 557 or lift pins 557 (not using a carrier ring or using a carrier ring) Through a plurality of pedestal shafts 518 disposed in the pedestal 140 'and through a plurality of lift pad shafts 519 of the lift pad 430 so that the orbit can be modified to a position to receive the wafer from the lift pedestal 140' Extending from pad 430. Corresponding pedestal shafts 518 and pad shafts 519 are arranged and configured to receive lift pins 557. As shown, the one or more lift pin shafts and corresponding lift pins may be configured within the lift pin assembly to lift and position or remove the wafer during wafer transfer. As shown, each of the lift pins 557 is coupled to a corresponding lift pin support 555 to effect movement. The lift pin supports 555 are coupled to a lift pin actuator 550. In addition, the lift pin control 122 controls the movement of the lift pin actuator 550 to effect movement of the lift pins 557.

리프트 핀 지지부 (555) 는 임의의 형상 (예를 들어, 환형 링 워셔 (annular ring washer), 환형 베이스 (annular base) 로부터 연장하는 암, 등) 일 수도 있다. 구체적으로, 리프트 핀 어셈블리의 동작 동안, 리프트 핀 (557) 은 리프트 핀 지지부 (555) 에 부착되고, 리프트 핀 샤프트 내에서 웨이퍼 전달 및 프로세싱 동안 리프트 패드 상단 표면 (575) 위로 웨이퍼를 상승시키고 그리고/또는 패드 상단 표면 (575) 상에 받쳐지도록 웨이퍼를 하강시키기 위해 이동하도록 포지셔닝된다. The lift pin support 555 may be of any shape (e.g., an annular ring washer, an arm extending from an annular base, etc.). Specifically, during operation of the lift pin assembly, the lift pin 557 is attached to the lift pin support 555 and lifts the wafer over the lift pad top surface 575 during wafer transfer and processing within the lift pin shaft and / Or to lower the wafer so that it is supported on pad top surface 575.

도 5c는 본 개시의 일 실시예에 따른, 특히, 프로세스 시퀀스들 동안 갭을 제어하고 그리고/또는 기계적으로 설정하기 위해 MCA들 (minimum contact areas) 을 설정하는 패드 갭을 포함하는 리프트 패드 (140) 와 페데스탈 (430) 사이의 인터페이스의 도면이다. 이는 패드의 균일한 온도 및 임피던스 제어를 발생시킨다. 도 5c에 도시된 인터페이스는 도 5a 및 도 5b에 도시된 리프트 패드들과 페데스탈들 사이의 인터페이스들의 예시이다. 5C illustrates a lift pad 140, in accordance with one embodiment of the present disclosure, including a pad gap that sets MCAs (minimum contact areas) for controlling and / or mechanically setting gaps during process sequences. And the pedestal 430. In the example of FIG. This results in uniform temperature and impedance control of the pad. The interface shown in Fig. 5C is an example of the interfaces between the lift pads and the pedestals shown in Figs. 5A and 5B.

이는 증착 프로세스들 동안 리프트 패드 (430) 와 페데스탈 (140') 사이의 갭이 균일하고 작아지는데 유리하다. 예를 들어, PECVD 및 ALD 프로세싱은 예를 위해 온도 및 플라즈마 임피던스로 인한 불균일도 시그니처들을 나타낼 수 있다. 양 인자들은 모두 웨이퍼와 페데스탈 사이의 갭에 민감하다. 갭의 사이즈를 최소화하는 것, 및 리프트 패드 및 페데스탈 구성에 걸쳐 갭의 균일도를 제어하는 것은 온도 및 플라즈마 임피던스에 의해 유발된 시그니처들을 감소시킨다. This is advantageous in that the gap between the lift pad 430 and the pedestal 140 'is uniform and small during the deposition processes. For example, PECVD and ALD processing may represent non-uniformity signatures due to temperature and plasma impedance for example. Both factors are sensitive to the gap between the wafer and the pedestal. Minimizing the size of the gaps and controlling the uniformity of the gaps over the lift pad and pedestal configurations reduces the signatures caused by temperature and plasma impedance.

구체적으로, 작은 갭은 리프트 패드 (430) 와 페데스탈 (140') 사이에서 RF (radio frequency) 에너지의 저 임피던스 커플링을 허용한다. 이에 더하여, 작은 갭은 보다 낮은 내열성을 제공하여, 가열 및/또는 냉각으로 하여금 페데스탈 (140') 로부터 리프트 패드 (430) 로 용이하게 수행되게 한다. 또한, 리프트 패드 (430) 와 페데스탈 (140') 사이의 균일한 갭은 균일한 열 전달 및 균일한 RF 커플링을 보장한다. Specifically, the small gap allows low impedance coupling of radio frequency (RF) energy between lift pad 430 and pedestal 140 '. In addition, the small gaps provide lower heat resistance, allowing heating and / or cooling to be easily performed from the pedestal 140 'to the lift pad 430. In addition, a uniform gap between lift pad 430 and pedestal 140 'ensures uniform heat transfer and uniform RF coupling.

도시된 바와 같이, 페데스탈 상단 표면 (533) 은 상단에 형성된 복수의 패드 지지부들 (595) (예를 들어, MCA들을 설정하는 패드 갭) 을 포함하고, 패드 지지부들은 페데스탈 상단 표면 (533) 위로 패드 지지 레벨에서 리프트 패드 (430) 를 지지하도록 구성된다. 페데스탈 (140') 의 세그먼트들 (140a' 및 140b') 이 도 5c에 도시된다. 이전에 기술된 바와 같이, 패드 지지부들 (595) 은 리프트 패드 (430) 와 페데스탈 (140') 사이의 균일하고 작은 갭을 제공하여, 리프트 패드 (430) 와 페데스탈 (140') 사이의 균일한 열 전달 및 균일한 RF 커플링을 보장한다. 보다 구체적으로, 리프트 패드 (430) 의 하단 표면 (543) 은 페데스탈 (430) 의 복수의 패드 지지부들 (595) 상에 받쳐지도록 구성된다. 예를 들어, 리프트 패드 (430) 가 복수의 패드 지지부들 (595) 상에 받쳐지도록 페데스탈 (140') 및 리프트 패드 (430) 는 프로세스 포지션 (예를 들어, 플라즈마 프로세싱, 처리 및/또는 막 증착을 수행할 때) 으로, 또는 프리-코트 포지션으로 구성될 수 있다. 이에 더하여, 리프트 패드 (430) 는 패드 지지부들 (595) 상에 받쳐질 때 페데스탈 (140') 과 함꼐 이동하도록 구성된다. 패드 지지부들은 DC, 저주파수, 및 RF 송신을 위해 전기적으로 도전성일 수도 있다. As shown, the pedestal top surface 533 includes a plurality of pad supports 595 formed at the top (e.g., a pad gap setting MCAs), and pad supports are mounted on the pedestal top surface 533, And is configured to support the lift pad 430 at a support level. Segments 140a 'and 140b' of the pedestal 140 'are shown in Figure 5c. As previously described, the pad supports 595 provide a uniform small gap between the lift pad 430 and the pedestal 140 'so that a uniform, uniform gap between the lift pad 430 and the pedestal 140' Heat transfer and uniform RF coupling. More specifically, the lower surface 543 of the lift pad 430 is configured to be supported on a plurality of pad supports 595 of the pedestal 430. For example, the pedestal 140 'and the lift pad 430 may be positioned at a process position (e.g., plasma processing, processing and / or film deposition) so that the lift pad 430 is supported on the plurality of pad supports 595 , Or may be configured with a pre-coat position. In addition, the lift pad 430 is configured to move with the pedestal 140 'when supported on the pad supports 595. The pad supports may be electrically conductive for DC, low frequency, and RF transmission.

도 6은 본 개시의 일 실시예에 따른, 리프트 패드 (630) 가 웨이퍼 (미도시) 보다 작은, 리프트 패드 및 페데스탈 구성 (600) 을 포함하는 기판 프로세싱 시스템을 예시한다. 리프트 패드 및 페데스탈 구성 (600) 은 멀티-스테이션 툴 및 단일-스테이션 프로세싱 툴을 포함하는, 도 1 내지 도 3의 시스템들 내에서 구현될 수도 있다. FIG. 6 illustrates a substrate processing system including a lift pad and pedestal configuration 600, wherein the lift pad 630 is smaller than a wafer (not shown), in accordance with an embodiment of the present disclosure. The lift pad and pedestal configuration 600 may be implemented within the systems of FIGS. 1-3, including multi-station tools and single-station processing tools.

리프트 패드 및 페데스탈 구성 (600) 은 리프트 패드 제어부 (455) 에 의해 제어되는 리프트 패드 (630), 및 페데스탈 제어부 (450) 에 의해 제어되는 페데스탈 (140'') 을 포함한다. 이전에 기술된 바와 같이, 페데스탈 제어부 (450) 는 중심 축 (471') 을 따라 페데스탈 (140'') 의 운동을 제어하고, 리프트 패드 제어부 (455) 는 중심 축 (471') 을 중심으로 리프트 패드 (630) 의 운동 (예를 들어, 위, 아래, 그리고 로테이팅하게) 을 제어한다. 리프트 패드 및 페데스탈 구성 (600) 은 페데스탈 로테이션을 갖거나 페데스탈 로테이션을 갖지 않은 프로세싱 툴과 비교할 때, 상당히 감소된 하드웨어 로테이션 시그니처를 갖고, 리프트 패드 (630) 를 통해 웨이퍼 (미도시) 의 로테이션을 제공한다. The lift pad and pedestal configuration 600 includes a lift pad 630 controlled by a lift pad control 455 and a pedestal 140 " controlled by a pedestal control 450. The pedestal control unit 450 controls the movement of the pedestal 140 " along the central axis 471 'and the lift pad control 455 controls the lift of the pedestal 140 " about the central axis 471' And controls movement (e.g., up, down, and rotates) of the pad 630. The lift pad and pedestal configuration 600 provides a rotation of the wafer (not shown) through the lift pad 630 with a significantly reduced hardware rotation signature when compared to a pedestal rotation or pedestalless processing tool do.

리프트 패드 및 페데스탈 구성 (600) 은 웨이퍼 풋프린트보다 작은 소형 리프트 패드 (630) 를 포함한다. 리프트 패드 및 페데스탈 구성 (600) 은 ESC가 선택될 때, 일부 증착 프로세스들에 적합할 수도 있다. 그 경우, 소형 리프트 패드 (630) 는 웨이퍼와 함께 로테이팅하지 않도록 프로세스 동안 웨이퍼를 지지하는 페데스탈 MCA들 (minimum contact areas) 를 허용하기 때문에, 선호된다. 그 경우, 웨이퍼의 갭을 조정하는 것은 웨이퍼를 명목상으로 로테이팅시키지 않고, 이는 하드웨어 비대칭에 대한 노출을 감소시킨다. 이에 더하여, 보다 작은 리프트 패드 (630) 는 또한 로테이팅되어야 하는 감소된 질량으로 추가 이점들을 제안하고, 시스템에 대한 보다 작은 기계적 응력들을 제공한다. The lift pad and pedestal configuration 600 includes a small lift pad 630 that is smaller than the wafer footprint. The lift pad and pedestal configuration 600 may be suitable for some deposition processes when ESC is selected. In that case, the small lift pad 630 is preferred because it allows for minimum contact areas that support the wafer during the process so that it does not rotate with the wafer. In that case, adjusting the gap of the wafer does not nominally rotate the wafer, which reduces exposure to hardware asymmetry. In addition, the smaller lift pad 630 also proposes additional advantages with a reduced mass that must be rotated and provides less mechanical stresses to the system.

리프트 패드 및 페데스탈 구성 (600) 은 리프트 패드 (630) 의 표면에서의 온도를 페데스탈 (140'') 의 표면에 매칭하기 위해 리프트 패드 (630) 의 패드 샤프트 (560') 에 포함되는, 복수의 가열 엘리먼트들 (470') 및 열전대 (607) 를 포함한다. 페데스탈 (140'') 의 냉각 엘리먼트들은 일부 프로세스 모듈들에 포함될 수도 있다. The lift pad and pedestal configuration 600 includes a plurality of lift pins 630 included in the pad shaft 560 'of the lift pad 630 to match the temperature at the surface of the lift pad 630 to the surface of the pedestal 140 & Heating elements 470 'and a thermocouple 607. Cooling elements of the pedestal 140 " may be included in some process modules.

일 실시예에서, 도시되지 않지만, 이전에 기술된 바와 같이 리프트 패드 및 페데스탈 구성 (600) 은 선택가능하게 웨이퍼 전달을 제공하기 위해 리프트 핀 제어부 (122) 에 의해 제어되는 복수의 리프트 핀들을 갖는 리프트 핀 어셈블리를 포함한다. 플랜지 (605) 는 공축 (co-axial) 리프트 핀 어셈블리 (미도시) 에 포함된다. 또 다른 실시예에서, 소형 리프트 패드 (630) 는 리프트 핀 기능성을 제공하도록 사용될 수도 있고, 리프트 핀 어셈블리에 대한 필요성을 제거하고, 따라서 비용 및 패키징 이점들을 제공한다. In one embodiment, although not shown, the lift pad and pedestal configuration 600, as previously described, includes a lift with a plurality of lift pins controlled by a lift pin control 122 to selectively provide wafer transfer Pin assembly. The flange 605 is included in a co-axial lift pin assembly (not shown). In another embodiment, the miniature lift pad 630 may be used to provide lift pin functionality, eliminating the need for a lift pin assembly, thus providing cost and packaging advantages.

리프트 패드 및 페데스탈 구성 (600) 은 선택가능한 리프트 핀 어셈블리, 페데스탈 (140''), 또는 리프트 패드 (630) 에 독립적으로 커플링되고, 이들의 운동을 위해 구성되는, 벨로우즈 (420') 각각을 포함한다. 이에 더하여, 리프트 패드 및 페데스탈 구성 (600) 은 또한 도 4에 도시된 벨트-풀리 장치보다 작은 벨트-풀리 장치 (미도시) 의 로테이션 모터를 포함한다. 페로시일링 (425') 은 진공 분위기에서 리프트 패드 (630) 의 로테이션을 용이하게 한다. The lift pad and pedestal configuration 600 includes a plurality of bellows 420 'independently coupled to the selectable lift pin assembly, the pedestal 140 ", or the lift pad 630, . In addition, the lift pad and pedestal configuration 600 also includes a rotation motor of a belt-pulley device (not shown) smaller than the belt-pulley device shown in FIG. The ferro-seal ring 425 'facilitates rotation of the lift pad 630 in a vacuum atmosphere.

이에 더하여, Z-모터 (445') 는 중심 축 (471') 을 따라 Z-방향으로 페데스탈 (140'') 을 구동하도록 구성된다. 이에 더하여, 커플링 메커니즘 구동 슬라이드 (603) 는 페데스탈 및 중심 샤프트 (510'') 에 부착되고, Z-모터 (445') 에 부착된 볼 스크루에 부착되고, 모두 중심 축 (471') 을 따라 페데스탈 (140'') 의 운동을 용이하게 하도록 사용된다. In addition, the Z-motor 445 'is configured to drive the pedestal 140' 'in the Z-direction along the central axis 471'. In addition, a coupling mechanism drive slide 603 is attached to the pedestal and center shaft 510 ", attached to a ball screw attached to the Z-motor 445 ', and both attached along the central axis 471' Is used to facilitate movement of the pedestal 140 ".

도 7a는 본 개시의 일 실시예에 따른, 도 6의 기판 프로세싱 시스템의 사시도이다. 구체적으로, 도 7a는 리프트 패드 (630) 가 웨이퍼 (미도시) 보다 작은 리프트 패드 및 페데스탈 구성 (600) 을 포함한다. 도 7a에 도시된 바와 같이, 페데스탈 (140'') 및 리프트 패드 (630) 는 웨이퍼 프로세싱을 허용하는 포지션들 및/또는 레벨들로 도시된다. 7A is a perspective view of the substrate processing system of FIG. 6, in accordance with one embodiment of the present disclosure. Specifically, FIG. 7A includes a lift pad and pedestal configuration 600 in which the lift pad 630 is smaller than a wafer (not shown). 7A, pedestal 140 " and lift pad 630 are shown with positions and / or levels that allow for wafer processing.

이전에 기술된 바와 같이, 페데스탈 제어부 (450) 는 중심 샤프트 (510'') 의 운동을 제어한다. 페데스탈 (140'') 이 중심 샤프트 (510'') 에 커플링되기 때문에, 중심 샤프트 (510'') 의 운동은 페데스탈 (140'') 로 전환된다. 이에 더하여, 리프트 패드 제어부 (455) 는 이전에 기술된 바와 같이, 패드 샤프트 (560') 의 운동을 제어한다. 리프트 패드 (630) 가 패드 샤프트 (560') 에 커플링되기 때문에, 패드 샤프트 (560') 의 운동은 리프트 패드 (630) 로 전환된다. As previously described, the pedestal control portion 450 controls the motion of the center shaft 510 ". Since the pedestal 140 '' is coupled to the center shaft 510 '', the motion of the center shaft 510 '' is converted to pedestal 140 ''. In addition, the lift pad control 455 controls the motion of the pad shaft 560 ', as previously described. Since the lift pad 630 is coupled to the pad shaft 560 ', the movement of the pad shaft 560' is switched to the lift pad 630.

리프트 패드 및 페데스탈 구성 (600) 의 페데스탈 (140'') 은 페데스탈 (140'') 의 중심 축 (471'') 으로부터 연장하는 페데스탈 상단 표면 (720) 을 포함한다. 복수의 웨이퍼 지지부들 (760) 이 상단 표면 (720) 상에 배치된다. 이에 더하여, 상승된 림 (710) 이 페데스탈 상단 표면 (720) 의 외측 에지 상에 배치되고, 상승된 림 (710) 은 페데스탈 (140'') 상에 배치되는 웨이퍼의 측방향 운동을 차단하도록 구성된다. The pedestal 140 '' of the lift pad and pedestal configuration 600 includes a pedestal top surface 720 extending from the central axis 471 '' of the pedestal 140 ''. A plurality of wafer supports 760 are disposed on top surface 720. In addition, an elevated rim 710 is disposed on the outer edge of the pedestal top surface 720 and an elevated rim 710 is configured to block lateral movement of the wafer disposed on the pedestal 140 " do.

도 7b는 본 개시의 일 실시예에 따른, 도 6 및 도 7a에서 이전에 도입된, 리프트 패드 및 페데스탈 구성 (600) 을 포함하는 어셈블리 (700B) 를 도시하는 도 6의 기판 프로세싱 시스템의 단면도이다. 리프트 패드 (630) 는 본 개시의 일 실시예에 따라 웨이퍼보다 작게 사이즈가 결정된다. 단지 예시를 목적으로, 페데스탈 (140'') 및 리프트 패드 (630) 는 웨이퍼 프로세싱을 허용하는 포지션들 및/또는 레벨들로 도시된다. 리프트 패드 및 페데스탈 구성 어셈블리 (700B) 는 챔버 비대칭 및 페데스탈 비대칭으로 인한 방위각 불균일도들을 필터링하기 위해 페데스탈을 로테이션시키지 않고 리프트 패드를 사용하여 웨이퍼를 로테이팅함으로써 단일-스테이션 시스템 및 멀티-스테이션 시스템에서 증착 프로세스들 (예를 들어, PECVD, ALD, 등) 동안 개선된 막 균일도를 제공한다. 구체적으로, 로테이팅하는 리프트 패드 (630) 는 전체 페데스탈 (140'') 보다 훨씬 작고 훨씬 박형이어서, 리프트 패드 (630) 의 로테이션 시그니처는 가열기 엘리먼트들 (480') 을 포함하는, 페데스탈 (620) 의 로테이션 시그니처보다 훨씬 작다 (비대칭 하드웨어가 불균일도들에 기여함). 즉, 페데스탈 시그니처에 의해 도입된 불균일도들은 웨이퍼 프로세싱 동안 페데스탈을 로테이팅하지 않고, 리프트 패드를 사용한 웨이퍼 로테이션을 통해, 웨이퍼 도처에서 대칭적으로 분포될 수 있다. FIG. 7B is a cross-sectional view of the substrate processing system of FIG. 6 showing an assembly 700B including a lift pad and pedestal configuration 600, previously introduced in FIGS. 6 and 7A, according to one embodiment of the present disclosure . The lift pad 630 is sized smaller than the wafer according to one embodiment of the present disclosure. For illustrative purposes only, pedestal 140 " and lift pad 630 are shown with positions and / or levels that allow for wafer processing. The lift pad and pedestal configuration assembly 700B may be used in a single-station system and in a multi-station system by rotating the wafer using a lift pad without rotating the pedestal to filter azimuthal variations due to chamber asymmetry and pedestal asymmetry Provides improved film uniformity during processes (e.g., PECVD, ALD, etc.). Specifically, the rotating lift pad 630 is much smaller and much thinner than the entire pedestal 140 ", so that the rotation signature of the lift pad 630 includes the pedestal 620, including the heater elements 480 ' (Asymmetric hardware contributes to non-uniformities). That is, the non-uniformities introduced by the pedestal signature can be distributed symmetrically across the wafer, through wafer rotation using a lift pad, without rotating the pedestal during wafer processing.

어셈블리 (700B) 에서, 페데스탈 (140'') 은 페데스탈 (140'') 의 중심 축 (471') 으로부터 연장하는 페데스탈 상단 표면 (720) 을 포함한다. 페데스탈 상단 표면 (720) 은 웨이퍼가 상단에 배치될 때 웨이퍼를 지지하도록 구성된다. 상단 표면 (720) 은 패드 샤프트 (510') 와 리프트 패드 (430) 간의 커플링을 용이하게 하도록 구성된 리세스 (705), 및 외측 림 (710) 을 형성하는 리세스와 같이 페데스탈 (140'') 과 리프트 패드 (630) 사이의 인터페이스를 제공하기 위해 하나 이상의 리세스들을 포함할 수도 있다. 일반적으로 위에서 보았을 때 원형 형상을 갖고 페데스탈 직경으로 연장하는 것으로 페데스탈 (140'') 이 기술될 수도 있지만, 페데스탈 (140'') 의 풋프린트는 캐리어 링 지지부, 및 엔드-이펙터 액세스, 등과 같은 상이한 피처들을 수용하도록 원으로부터 가변할 수도 있다. In assembly 700B, the pedestal 140 " includes a pedestal top surface 720 that extends from the central axis 471 ' of the pedestal 140 ". A pedestal top surface 720 is configured to support the wafer when the wafer is placed on top. The top surface 720 includes a recess 705 configured to facilitate coupling between the pad shaft 510 'and the lift pad 430 and a pedestal 140 ", such as a recess forming the lateral rim 710, And the lift pad 630. In this embodiment, Although the pedestal 140 " may be described generally as having a circular shape when viewed from above and extending to a pedestal diameter, the footprint of the pedestal 140 " may be different from that of the pedestal 140 " It may vary from the circle to accommodate the features.

도시된 바와 같이, 페데스탈 (140'') 은 페데스탈 (140'') 의 운동을 제어하기 위해 구성되는, 액추에이터 (515') 에 연결된다. 구체적으로, 페데스탈 제어부 (450) 는 페데스탈 (140'') 의 운동을 제어하기 위해 액추에이터 (515') 에 커플링된다. 구체적으로, 중심 샤프트 (510'') 가 액추에이터 (515') 와 페데스탈 (140'') 사이에서 연장하도록 중심 샤프트 (510'') 는 액추에이터 (515') 및 페데스탈 (140'') 에 커플링된다. 중심 샤프트 (510'') 는 중심 축 (471') 을 따라 페데스탈 (140'') 을 이동시키도록 구성된다. 이와 같이, 액추에이터 (515') 의 운동은 중심 샤프트 (510'') 의 운동으로 전환되고, 이는 결국 페데스탈 (140'') 의 운동으로 전환된다. As shown, a pedestal 140 " is connected to an actuator 515 ', which is configured to control the motion of the pedestal 140 ". Specifically, the pedestal control portion 450 is coupled to the actuator 515 'to control the motion of the pedestal 140 ". Specifically, the center shaft 510 '' is coupled to the actuator 515 'and the pedestal 140' 'such that the center shaft 510' 'extends between the actuator 515' and the pedestal 140 ' do. The center shaft 510 " is configured to move the pedestal 140 " along the central axis 471 '. As such, the motion of the actuator 515 'is converted to the motion of the center shaft 510 ", which eventually translates into motion of the pedestal 140 ".

일 실시예에서, 페데스탈 상단 표면 (720) 은 상단에 형성된 복수의 웨이퍼 지지부들 (미도시) 을 포함하고, 웨이퍼 지지부들은 페데스탈 상단 표면 (720) 위의 웨이퍼 지지 레벨에서 웨이퍼 (590) 를 지지하도록 구성된다. 웨이퍼 지지부들은 페데스탈 (140'') 과 상단에 배치된 임의의 웨이퍼 (590) 사이에 균일하고 작은 갭을 제공한다. In one embodiment, the pedestal top surface 720 includes a plurality of wafer supports (not shown) formed at the top, and the wafer supports support the wafer 590 at a wafer support level above the pedestal top surface 720 . The wafer supports provide a uniform and small gap between the pedestal 140 " and any wafer 590 disposed at the top.

페데스탈 (140'') 은 페데스탈 상단 표면 (720) 에 중심이 위치되고 중심 축 (471') 으로부터 연장하는 리세스 (705) 를 포함하고, 리세스 (705) 는 리세스 높이를 갖고, 리세스 (705) 는 리세스 하단 표면 (706) 을 갖는다. 즉, 리세스 (705) 는 페데스탈 상단 표면 (720) 의 중심 부분 위에 놓인다. 일 실시예에서, 리세스 하단 리세스 표면 (706) 은 상단에 형성된 복수의 패드 지지부들을 포함하고, 패드 지지부들 (예를 들어, MCA들) 은 하단 리세스 표면 (706) 위의 패드 지지 레벨에서 리프트 패드 (630) 를 지지하도록 구성된다. 또 다른 실시예에서, MCA들은 도 7f와 관련하여 더 기술된 바와 같이, 리프트 패드 (630) 의 하단 표면 상에 배치된다. The pedestal 140 "includes a recess 705 centered on the pedestal upper surface 720 and extending from the central axis 471 ', the recess 705 having a recess height, (705) has a recess bottom surface (706). That is, the recess 705 overlies the central portion of the pedestal upper surface 720. In one embodiment, the recess bottom recess surface 706 includes a plurality of pad supports formed at the top, and the pad supports (e.g., MCAs) include a pad support level As shown in FIG. In another embodiment, the MCAs are disposed on the lower surface of the lift pad 630, as described further in conjunction with FIG. 7f.

이에 더하여, 페데스탈 (140'') 은 단지 예시를 목적으로, 2 개의 세그먼트들 (140a'' 및 140b'') 을 갖는 것으로 도시된다. 예를 들어, 페데스탈 (140'') 은 복수의 가열 엘리먼트들 (471') 및/또는 복수의 냉각 엘리먼트들 (미도시) 을 제작하는 동안 형성을 수용하도록 2 개의 세그먼트들 내에 형성될 수도 있다. 이전에 개시된 바와 같이, 페데스탈 (140'') 은 일 엘리먼트로 간주되고, 임의의 적합한 제작 프로세스들을 사용하여 형성될 수도 있다고 인식된다. In addition, the pedestal 140 " is shown with two segments 140a " and 140b " for illustrative purposes only. For example, pedestal 140 '' may be formed in two segments to accommodate formation during fabrication of a plurality of heating elements 471 'and / or a plurality of cooling elements (not shown). As previously disclosed, pedestal 140 " is considered to be one element and is recognized to be formed using any suitable fabrication process.

어셈블리 (700B) 에서, 리프트 패드 (630) 는 중심 축 (471') 으로부터 패드 직경 (777) 으로 연장하는 패드 상단 표면 (775) 을 포함한다. 리프트 패드 (630) 는 리세스 (705) 내에 위치될 때 리세스 하단 표면 (706) 상에 받쳐지도록 구성되고, 리세스 (705) 는 리프트 패드 (630) 를 수용하도록 구성된다. 구체적으로, 리프트 패드 상단 표면 (775) 은 프로세스 포지션에서와 같이 (예를 들어, 플라즈마 프로세싱, 처리 및/또는 막 증착을 수행할 때), 웨이퍼 (590) 가 페데스탈 (140'') 의 웨이퍼 지지부들 상에 놓일 때, 웨이퍼 (590) 아래에 있다. 즉, 리프트 패드 상단 표면 (775) 은 리프트 패드 (630) 의 패드 하단 표면 (632) 이 복수의 패드 지지부들 (예를 들어, MCA들 (745)) 상에 받쳐질 때 웨이퍼 지지 레벨 아래에 놓인다. 또한, 리프트 패드 (630) 는 패드 지지부들 상에 받쳐질 때 페데스탈 (620) 과 함께 이동하도록 구성된다. In assembly 700B, the lift pad 630 includes a pad top surface 775 extending from the center axis 471 'to a pad diameter 777. The lift pad 630 is configured to be supported on the recess bottom surface 706 when positioned within the recess 705 and the recess 705 is configured to receive the lift pad 630. Specifically, the lift pad top surface 775 is configured to allow the wafer 590 to move relative to the wafer support portion < RTI ID = 0.0 > 140 " of the pedestal 140 ", such as in a process position (e.g., when performing plasma processing, When placed on top of the wafer 590. That is, the lift pad top surface 775 lies below the wafer support level when the pad bottom surface 632 of the lift pad 630 is supported on a plurality of pad supports (e.g., MCAs 745) . In addition, the lift pad 630 is configured to move with the pedestal 620 as it is supported on the pad supports.

도시된 바와 같이, 리프트 패드 (630) 는 리프트 패드 (630) 의 운동을 제어하기 위해 구성되는 액추에이터 (515') 에 연결된다. 예를 들어, 리프트 패드 제어부 (455) 는 리프트 패드 (630) 의 운동을 제어하기 위해 액추에이터 (515') 에 커플링된다. 구체적으로, 패드 샤프트 (560') 가 액추에이터 (515') 와 페데스탈 (140'') 사이에서 연장하도록, 패드 샤프트 (560') 는 액추에이터 (515') 및 페데스탈 (140'') 에 커플링된다. 패드 샤프트 (560') 는 페데스탈 (140'') 에 연결되는 중심 샤프트 (510'') 내에 구성된다. 구체적으로, 패드 샤프트 (560') 는 중심 축 (471') 을 따라 리프트 패드 (630) 를 이동시키도록 구성된다. 이와 같이, 액추에이터 (515') 의 운동은 패드 샤프트 (560'') 의 운동으로 전환되고, 이는 결국 리프트 패드 (630) 의 운동으로 전환된다. 일 실시예에서, 액추에이터 (515') 는 리프트 패드 (630) 및 페데스탈 (140'') 모두의 운동을 제어한다. As shown, the lift pad 630 is connected to an actuator 515 'configured to control the movement of the lift pad 630. For example, the lift pad control 455 is coupled to the actuator 515 'to control the movement of the lift pad 630. Specifically, the pad shaft 560 'is coupled to the actuator 515' and the pedestal 140 '' such that the pad shaft 560 'extends between the actuator 515' and the pedestal 140 '' . The pad shaft 560 'is configured within a center shaft 510 " that is connected to the pedestal 140 ". Specifically, the pad shaft 560 'is configured to move the lift pad 630 along the central axis 471'. As such, the motion of the actuator 515 'is switched to the motion of the pad shaft 560 ", which eventually translates into the motion of the lift pad 630. In one embodiment, the actuator 515 'controls movement of both the lift pad 630 and the pedestal 140 ".

구체적으로, 도 10a 내지 도 10d와 관련하여 이하에 보다 환전히 기술될 바와 같이, 패드 샤프트 (560') 는 리프트 패드 로테이션을 위해 페데스탈 (140'') 로부터 리프트 패드 (630) 를 분리하도록 구성된다. 예를 들어, 리프트 패드 (630) 는 리프트 패드 (630) 가 리프트 패드 (630) 를 로테이팅할 목적으로 프로세스 로테이션 변위만큼 페데스탈 상단 표면 (720) 으로부터 분리되도록, 페데스탈 (140'') 이 상향 포지션으로 있을 때 중심 축 (471') 을 따라 페데스탈 상단 표면 (720) 에 대해 위로 이동하도록 구성된다. 패드 샤프트 (560') 는 또한 페데스탈 (140') 상에 받쳐지도록 리프트 패드 (430) 를 하강시키도록 구성된다. 일 실시예에서, 리프트 패드 로테이션을 준비하기 위해, 리프트 패드 (630) 는 페데스탈 (140'') 에 대해 상향으로 이동한다. 즉, 리프트 패드 (630) 가 프로세스 로테이션 변위 (1040) (도 10b 및 도 10c 참조) 만큼 페데스탈 상단 표면 (720) 으로부터 분리되도록, 그리고 리프트 패드 (630) 상에 배치된 웨이퍼가 페데스탈 (140'') 로부터 분리되도록 리프트 패드 (630) 는 페데스탈 (140'') 이 상향 포지션에 있을 때 중심 축 (471'') 을 따라 페데스탈 상단 표면 (720) 에 대해 위로 이동하도록 구성된다. 일 실시예에서, 페데스탈 (140'') 은 리프트 패드 (630) 로테이션 동안 최상단 상향 포지션에 있다. 구체적으로, 리프트 패드 (630) 가 페데스탈 (140'') 로부터 분리될 때, 리프트 패드 (630) 는 적어도 제 1 각도 배향과 제 2 각도 배향 사이 (예를 들어, 0 ° 와 180 ° 사이) 에서 페데스탈 상단 표면 (720) 에 대해 로테이팅하도록 구성된다. 이 로테이션은 프로세싱 동안 페데스탈의 하드웨어 시그니처의 효과들을 감소시키고, 또한 프로세싱 동안 챔버 하드웨어 시그니처의 효과들을 감소시킨다. Specifically, as will be described more fully below with respect to Figures 10A-D, the pad shaft 560 'is configured to separate the lift pad 630 from the pedestal 140 " for lift pad rotation . For example, the lift pad 630 may be configured such that the pedestal 140 " is positioned at the upper position 720 such that the lift pad 630 is detached from the pedestal upper surface 720 by a process rotation displacement for the purpose of rotating the lift pad 630. [ To move upward with respect to the pedestal upper surface 720 along the central axis 471 '. The pad shaft 560 'is also configured to lower the lift pad 430 to be supported on the pedestal 140'. In one embodiment, to prepare for lift pad rotation, lift pad 630 moves upward relative to pedestal 140 ". That is, the lift pad 630 is detached from the pedestal upper surface 720 by the process rotation displacement 1040 (see FIGS. 10B and 10C), and the wafer placed on the lift pad 630 is removed from the pedestal 140 ''. The lift pad 630 is configured to move up the pedestal upper surface 720 along the central axis 471 " when the pedestal 140 " is in the upward position. In one embodiment, the pedestal 140 " is in the uppermost up position during the lift pad 630 rotation. Specifically, when the lift pad 630 is separated from the pedestal 140 ", the lift pad 630 is positioned between at least a first angular orientation and a second angular orientation (e.g., between 0 and 180 degrees) And is configured to rotate against the pedestal upper surface 720. This rotation reduces the effects of pedestal hardware signatures during processing and also reduces the effects of chamber hardware signatures during processing.

다른 실시예들에서, 리프트 패드 (630) 는 웨이퍼 전달 및 프로세싱 동안 웨이퍼를 상승 및 하강시키도록 리프트 핀 기능성을 제공한다. 구체적으로, 리프트 패드 (630) 가 엔드-이펙터 암의 진입을 위해 충분히 큰 변위만큼 중심 페데스탈 상단 표면 (621) 으로부터 분리되도록 페데스탈이 최하단 하향 포지션에 있을 때, 리프트 패드 (630) 는 중심 페데스탈 상단 표면 (720) 에 대해 위로 이동하도록 구성된다. In other embodiments, the lift pad 630 provides lift pin functionality to raise and lower the wafer during wafer transfer and processing. Specifically, when the pedestal is in the lowermost down position so that the lift pad 630 is separated from the central pedestal upper surface 621 by a displacement which is sufficiently large for the entry of the end-effector arm, the lift pad 630 is positioned on the center pedestal upper surface 621, RTI ID = 0.0 > 720 < / RTI >

도 7b에 도시된 바와 같이, 리프트 패드 및 페데스탈 구성 (600) 의 페데스탈 (140'') 은 페데스탈 상단 표면 (720) 의 외측 에지 상에 배치된 상승된 림 (710) 을 포함하고, 상승된 림 (710) 은 페데스탈 (140'') 상에 배치되는 웨이퍼의 측방향 운동을 차단하도록 구성된다. 즉, 림 (710) 은 웨이퍼의 운동을 차단하기 충분한 높이의 페데스탈 상단 표면 (720) 위의 단차 (step) 이다. 예를 들어, 웨이퍼가 페데스탈 상단 표면 (720) 상에 받쳐질 때 상승된 림 (710) 은 웨이퍼의 측방향 운동을 차단하는 그루브를 형성한다. 7B, the lift pad and pedestal 140 '' of the pedestal configuration 600 includes an elevated rim 710 disposed on the outer edge of the pedestal top surface 720, (710) is configured to block lateral movement of the wafer disposed on the pedestal (140 "). That is, the rim 710 is a step on the pedestal upper surface 720 that is high enough to block motion of the wafer. For example, as the wafer is supported on the pedestal upper surface 720, the raised rim 710 forms a groove that blocks lateral movement of the wafer.

도 7c는 본 개시의 일 실시예에 따른, 도 6, 도 7a 및 도 7b에서 이전에 도입된 구성들에 기초하여, 리프트 패드 (630) 가 웨이퍼보다 작은, 리프트 패드 및 페데스탈 구성 (600') 을 포함하는 어셈블리 (700C) 를 도시하는 도 6의 기판 프로세싱 시스템의 단면도이다. 리프트 패드 및 페데스탈 구성 (600') 은 페데스탈 (140''') 및 리프트 패드 (630) 를 포함한다. 보다 구체적으로, 도 7c의 리프트 패드 및 페데스탈 구성 (600') 은 도 7b의 리프트 패드 및 페데스탈 구성 (600) 과 유사하고, 도 7b와 관련하여 이전에 기술된 동일한 이점들 및 장점들 (예를 들어, 증착 프로세스들 동안 개선된 막 균일도) 을 제공한다. 즉, 페데스탈 시그니처에 의해 도입된 불균일도들은 페데스탈을 로테이팅하지 않고 리프트 패드를 사용한 웨이퍼 로테이션을 통해 웨이퍼 프로세싱 동안 웨이퍼 전반에 대칭적으로 분포될 수 있다. 그러나, 리프트 패드 및 페데스탈 구성 (600') 은 또한 대응하는 웨이퍼 (예를 들어, 웨이퍼 (590)) 의 전달을 위해 구성되는 리프트 핀 어셈블리를 포함한다. 7C illustrates a lift pad and pedestal configuration 600 ', in which the lift pad 630 is smaller than the wafer, based on the configurations previously introduced in Figs. 6, 7A and 7B, according to one embodiment of the present disclosure. Lt; RTI ID = 0.0 > 700C < / RTI > The lift pad and pedestal configuration 600 'includes a pedestal 140' '' and a lift pad 630. More specifically, the lift pad and pedestal configuration 600 'of FIG. 7c is similar to the lift pad and pedestal configuration 600 of FIG. 7b and includes the same advantages and advantages (eg, For example, improved film uniformity during deposition processes. In other words, the non-uniformities introduced by the pedestal signature can be distributed symmetrically across the wafer during wafer processing through wafer rotation using a lift pad without rotating the pedestal. However, the lift pad and pedestal configuration 600 'also includes a lift pin assembly configured for delivery of a corresponding wafer (e.g., wafer 590).

어셈블리 (700C) 의 리프트 핀 어셈블리는 복수의 리프트 핀들 (557') 을 포함한다. 예시를 목적으로, 본 개시의 일 실시예에 따른, 페데스탈 (140''') 및 리프트 패드 (630) 는 웨이퍼 전달의 목적들을 위해 리프트 핀 (557') 연장을 허용하는 레벨에 있다. 구체적으로, (캐리어 링을 사용하거나 사용하지 않고) 웨이퍼를 반송하는 엔드-이펙터 암 (미도시) 이 웨이퍼를 리프트 핀들 (557') 로 전달하기 위한 포지션 또는 리프트 핀들 (557') 로부터 웨이퍼를 수용하기 위한 포지션으로 조종될 수 있는 방식으로 리프트 핀들 (557') 은 중심 축 (471') 으로부터 변위되고 페데스탈 (140'') 내에 배치된 복수의 페데스탈 샤프트들 (518') 로부터 연장한다. 대응하는 페데스탈 샤프트들 (518') 이 대응하는 리프트 핀 (557') 을 수용하도록 구성된다. 도시된 바와 같이, 하나 이상의 페데스탈 샤프트들 (518') 및 대응하는 리프트 핀들 (557') 은 웨이퍼 전달 동안 웨이퍼를 위로 리프트하고 배치하거나 제거하도록 리프트 핀 어셈블리 내에 구성될 수도 있다. 도시된 바와 같이, 리프트 핀들 (557') 각각은 대응하는 리프트 핀 지지부 (555') 에 커플링되고 웨이퍼 전달 및 프로세싱 동안 페데스탈 상단 표면 (720) 위로 웨이퍼를 상승시키고 그리고/또는 웨이퍼를 페데스탈 상단 표면 (720) 으로 하강시키도록 페데스탈 샤프트 (518') 내에서 이동하도록 포지셔닝된다. 리프트 핀 지지부 (555') 는 중심 축 (471') 에 평행한 페데스탈 상단 표면 (720) 에 대해 이동하도록 구성된다. 또한, 리프트 핀 지지부들 (555') 은 리프트 핀 액추에이터 (550') 에 커플링된다. 이에 더하여, 이전에 도입된 리프트 핀 제어부 (122) 가 리프트 핀들 (557') 의 운동에 영향을 주도록 리프트 핀 액추에이터 (550') 의 운동을 제어한다. 리프트 핀 지지부 (555') 는 임의의 형상 (예를 들어, 환형 링 워셔, 환형 베이스로부터 연장하는 암, 등) 일 수도 있다. The lift pin assembly of assembly 700C includes a plurality of lift pins 557 '. For purposes of illustration, pedestal 140 '' 'and lift pad 630, in accordance with one embodiment of the present disclosure, are at a level that allows extension of lift pin 557' for wafer transfer purposes. Specifically, an end-effector arm (not shown) carrying a wafer (with or without carrier ring) receives the wafer from a position or lift pins 557 'for transferring the wafer to lift pins 557' The lift pins 557 'are displaced from the central axis 471' and extend from the plurality of pedestal shafts 518 'disposed in the pedestal 140' 'in such a manner that they can be steered to the desired position. Corresponding pedestal shafts 518 'are configured to receive corresponding lift pins 557'. As shown, one or more pedestal shafts 518 'and corresponding lift pins 557' may be configured within the lift pin assembly to lift and dispose or remove the wafer during wafer transfer. As shown, each of the lift pins 557 'is coupled to a corresponding lift pin support 555' and lifts the wafer over the pedestal top surface 720 during wafer transfer and processing and / 0.0 > pedestal < / RTI > shaft 518 ' The lift pin support 555 'is configured to move relative to the pedestal top surface 720 parallel to the center axis 471'. In addition, lift pin supports 555 'are coupled to lift pin actuator 550'. In addition, the previously introduced lift pin control 122 controls the movement of lift pin actuator 550 'to affect the movement of lift pins 557'. The lift pin support 555 'may be of any shape (e.g., an annular ring washer, an arm extending from the annular base, etc.).

도 7d는 본 개시의 일 실시예에 따른, 리프트 패드가 웨이퍼보다 작은, 도 7a 내지 도 7c의 리프트 패드 및 페데스탈 구성 (600 또는 600') 을 포함하는 도 6의 기판 프로세싱 시스템의 리프트 패드 대 페데스탈 인터페이스의 단면도이다. 7D is a top view of the lift pad versus the pedestal of the substrate processing system of FIG. 6 including the lift pad and pedestal configuration 600 or 600 'of FIGS. 7A-7C, where the lift pad is smaller than the wafer, FIG.

고온 베어링 (755) 이 패드 샤프트 (560') 내에 포지셔닝되고 페데스탈 (140' 또는 140'') 의 리세스 (705) 내에 균일하게 리프트 패드 (630) 를 포지셔닝하도록 구성된다. 고온을 다루기 (handle) 위해, 마모 표면들은 사파이어와 같은 단단한, 화학적으로 양립가능한 재료로 이루어지는 것이 바람직하다. 베어링 중심 (centering) 은 베어링 컴포넌트들, 샤프트, 및 페데스탈 재료들의 상대적인 열 팽창에 둔감하다 (insensitive). 일 실시예에서, 사파이어 베어링 링들의 원추형 클램핑 표면이 고온 및 부식성 동작에 적합한 재료의 부하 분배 워셔들, 스프링 워셔들 (spring washers), 및 유지 링들의 어셈블리를 사용하는 스프링 부하일 수도 있다. 베어링은 중심이 위치된 포지션에서 최소 에너지로 클램핑되고 온도의 변화들로 중심이 위치된 것을 유지한다. 사파이어 컨택트 링은 보다 부드러운 (soft) 페데스탈 재료의 들어감 (indentation) 을 방지한다. The high temperature bearing 755 is positioned within the pad shaft 560 'and is configured to evenly position the lift pad 630 within the recess 705 of the pedestal 140' or 140 ". To handle high temperatures, the wear surfaces are preferably made of a hard, chemically compatible material such as sapphire. The bearing centering is insensitive to the relative thermal expansion of the bearing components, shaft, and pedestal materials. In one embodiment, the conical clamping surfaces of the sapphire bearing rings may be spring loads using load distribution washers, spring washers, and an assembly of retaining rings of material adapted for high temperature and corrosive operation. The bearing is clamped to the minimum energy in the center position and maintains its center position with changes in temperature. The sapphire contact ring prevents indentation of the soft pedestal material.

구체적으로, 리프트 패드 (630) 와 페데스탈 (140''/140''') 사이의 인터페이스이 도시되고, 특히, 프로세스 시퀀스들 동안, 갭을 제어하고 그리고/또는 기계적으로 설정하도록 MCA들을 설정하는 패드 갭을 포함한다. 예를 들어, 도 7d는 리프트 패드 (630) 내로 늘어진 (swag) 사파이어 볼들 (740 및 745) (예를 들어, MCA들) 을 도시한다. 구체적으로, 볼들 (740 및 745) 은 프로세스 온도에서 대략 OS (operating system) 수 ㎜로 대응하는 표면 위로 약간 돌출한다. 사파이어 볼들은 불량한 열 전도 재료와의 컨택트를 통해 열 전도를 최소화하도록 MCA (minimum contact area) 의 페데스탈 (140''/140''') 과 컨택트하도록 작용한다. 또한, 사파이어 컨택트 링은 보다 부드러운 페데스탈 재료의 들어감 (indenting) 을 방지한다. Specifically, the interface between the lift pad 630 and the pedestal 140 " / 140 " 'is shown, and in particular, during the process sequences, the pad gaps that set the MCAs to control and / . For example, FIG. 7D illustrates sapphire balls 740 and 745 (e.g., MCAs) that swag into a lift pad 630. Specifically, the balls 740 and 745 slightly protrude above the corresponding surface with a few millimeters of operating system (OS) at process temperature. Sapphire balls act to contact the pedestal (140 '' / 140 '' ') of the minimum contact area (MCA) to minimize thermal conduction through contact with the poor thermal conductive material. In addition, the sapphire contact ring prevents indenting of the softer pedestal material.

예를 들어, 도 7e는 본 개시의 일 실시예에 따른, MCA들 (740) 을 포함하는, 도 7d에 도시된 리프트 패드 (630) 의 상단 표면 (631) 의 사시도이다. 일 실시예에서, 리프트 패드 (630) 가 리세스 하단 표면 (706) 상에 받쳐질 때, 패드 상단 표면 (631) 이 웨이퍼 지지 레벨 아래에 있도록, 웨이퍼 관련 (referencing) MCA들 (740) 이 상단 표면 (631) 위로 0.002"에 포지셔닝된다. 일 실시예에서 페데스탈 (720) 의 상단 표면 상에 위치된 별도의 페데스탈 웨이퍼 지지부들 (예를 들어, MCA들) 이 대략 0.002" 이상만큼 보다 높기 때문에, 리프트 패드 (630) 가 페데스탈 (140''/140''') 상에 받쳐질 때 웨이퍼 관련 MCA들 (740) 은 웨이퍼 (590) 와 컨택트하지 않는다. 페데스탈 (140''/140''') 의 페데스탈 상단 표면 (720) 상에 배치된 웨이퍼 지지부들은 상단 표면 (720) 위로 웨이퍼 지지 레벨로 상단에 배치될 때 웨이퍼 (590) 를 지지하도록 구성된다. 7E is a perspective view of the top surface 631 of the lift pad 630 shown in FIG. 7D, including the MCAs 740, according to one embodiment of the present disclosure. In one embodiment, when the lift pad 630 is supported on the recess bottom surface 706, the wafer referencing MCAs 740 are positioned on the top of the top surface 631 so that the pad top surface 631 is below the wafer support level. (E.g., MCAs) located on the top surface of the pedestal 720 is greater than about 0.002 " or greater by about 0.002 " above the top surface 631 of the pedestal 720. In one embodiment, since the separate pedestal wafer supports The wafer-related MCAs 740 do not contact the wafer 590 when the lift pad 630 is supported on the pedestal 140 " / 140 " '. Wafer supports disposed on the pedestal upper surface 720 of the pedestal 140 " / 140 " 'are configured to support the wafer 590 when placed on top at a wafer support level above the top surface 720.

또한, 도 7f는 본 개시의 일 실시예에 따른, MCA들 (745) 을 포함하는 도 7d에 도시된 리프트 패드 (630) 의 하단 표면 (632) 의 사시도이다. 일 실시예에서, 웨이퍼 관련 MCA들 (745) 은 하단 표면 (632) 위로 0. 004"이다. 이는 페데스탈 (140''/140''') 에 균일하고, 반복될 수 있는 내열성을 제공하기 위해 리프트 패드 (630) 와 페데스탈 (140''/140''') 사이에 균일하고, 반복될 수 있는 갭을 보장한다. 일 실시예에서, MCA들 (745) 은 리세스 하단 표면 (706) 위로 패드 지지 레벨로 리프트 패드 (630) 를 지지하도록 구성되는, 리세스 하단 표면 (706) 상에 배치된 복수의 패드 지지부들 (미도시) 와 함께 작동한다. 7F is a perspective view of the bottom surface 632 of the lift pad 630 shown in FIG. 7D, including the MCAs 745, according to one embodiment of the present disclosure. In one embodiment, the wafer-related MCAs 745 are 0. 004 " over the bottom surface 632. This is to provide uniform, repeatable heat resistance to the pedestal 140 " / 140 " MCAs 745 are positioned above the recess bottom surface 706 (in this embodiment, the top surface 704) to ensure a uniform, repeatable gap between the lift pad 630 and the pedestal 140 " / 140 " (Not shown) disposed on the recess bottom surface 706, configured to support the lift pad 630 with a pad support level.

도 8은 본 개시의 일 실시예에 따른, 웨이퍼 상에 막을 증착하기 위해 구성된 프로세스 챔버를 동작시키는 방법을 예시하는 흐름도 (800) 이고, 방법은 유리하게 챔버 비대칭 및 페데스탈 비대칭 모두를 필터링하는, 프로세싱 동안 프로세스 챔버 내에서 페데스탈의 로테이션 없이 웨이퍼의 로테이팅을 제공한다. 본 개시의 실시예들에서 흐름도 (800) 는 도 1 내지 도 7의 시스템들 및 리프트 패드 및 페데스탈 구성들 내에서 구현된다. 흐름도 (800) 의 동작들은 도 4 및 도 5a 내지 도 5c 실시예들에서 도시된 바와 같이 웨이퍼-사이즈 리프트 패드 및 페데스탈 구성에 적용가능하고, 다른 실시예들에서, 도 6 및 도 7a 내지 도 7f에 도시된 바와 같이, 웨이퍼보다 작게 사이즈가 결정된 리프트 패드를 포함하는 리프트 패드 및 페데스탈 구성에 적용가능하다. 8 is a flow diagram 800 illustrating a method of operating a process chamber configured for depositing a film on a wafer, according to one embodiment of the present disclosure, the method advantageously includes the steps of processing both chamber asymmetry and pedestal asymmetry, To provide rotation of the wafer without rotation of the pedestal within the process chamber. In the embodiments of the present disclosure, the flowchart 800 is implemented within the systems of Figs. 1-7 and lift pad and pedestal configurations. The operations of flowchart 800 are applicable to wafer-size lift pads and pedestal configurations as shown in Figs. 4 and 5A-5C embodiments, and in other embodiments, Figs. 6 and 7A-7F The present invention is applicable to lift pads and pedestal configurations including lift pads sized smaller than wafers, as shown in Fig.

동작 805에서, 방법은 웨이퍼를 수용하도록 리프트 패드 및 페데스탈 구성을 하향 포지션으로 이동시키는 단계를 포함한다. 일 실시예에서, 페데스탈은 이의 최하단 하향 포지션에 있다. 리프트 핀 어셈블리를 포함하는 리프트 패드 및 페데스탈 구성에서, 리프트 핀들은 웨이퍼 전달을 위해 연장될 수도 있다. 리프트 핀 어셈블리를 포함하지 않는 리프트 패드 및 페데스탈 구성에서, (예를 들어, 웨이퍼보다 작은) 리프트 패드는 웨이퍼 전달 목적들을 위해 엔드-이펙터 암의 진입을 위해 충분히 큰 변위만큼 페데스탈 상단 표면으로부터 분리될 수도 있다. 동작 810에서, 웨이퍼가 리프트 패드 및 페데스탈 구성을 포함하는 어셈블리 상으로 배치되고, 리프트 패드는 페데스탈 상에 받쳐지도록 구성된다. 예를 들어, 이는 연장된 리프트 핀들 상으로 웨이퍼를 배치하는 단계, 또는 연장된 리프트 패드 상에 웨이퍼를 배치하는 단계를 수반할 수도 있다. 웨이퍼가 페데스탈 상단 표면, 리프트 패드 상단 표면, 또는 ESC 척 표면의 웨이퍼 지지부들 상에 받쳐지도록 리프트 핀들 또는 리프트 패드는 하강된다. At operation 805, the method includes moving the lift pad and pedestal configuration to a downward position to receive the wafer. In one embodiment, the pedestal is in its lowest down position. In a lift pad and pedestal configuration that includes a lift pin assembly, the lift pins may be extended for wafer transfer. In a lift pad and pedestal configuration that does not include a lift pin assembly, the lift pad (e.g., smaller than the wafer) may be separated from the pedestal upper surface by a displacement sufficiently large for entry of the end-effector arm for wafer transfer purposes have. In operation 810, the wafer is placed on an assembly including a lift pad and a pedestal configuration, and the lift pad is configured to be supported on the pedestal. For example, this may involve placing the wafer on the extended lift pins or placing the wafer on the extended lift pads. The lift pins or lift pads are lowered such that the wafer is supported on the pedestal upper surface, the lift pad upper surface, or the wafer supports of the ESC chuck surface.

페데스탈이 페데스탈의 중심 축을 따라 상하로 이동되도록 페데스탈 운동이 제어된다. 일 실시예에서, 커플링 메커니즘은 페데스탈의 운동을 리프트 패드 및 페데스탈 구성의 리프트 패드로 전환한다. 예를 들어, 동작 820에서 웨이퍼가 전달된 후 리프트 패드 및 페데스탈 구성이 프로세스 포지션으로 이동된다. 프로세스 포지션에서, 리프트 패드는 이전에 기술된 바와 같이 페데스탈 상에 받쳐진다. 또한, 리프트 패드는 페데스탈 및/또는 챔버에 대하여 제 1 배향에 있다. 제 1 배향은 임의적일 수도 있다. 예를 들어, 리프트 패드 및 페데스탈 모두 챔버 내에서 0 °각도 배향으로 포지셔닝될 수도 있다. The pedestal movement is controlled so that the pedestal is moved up and down along the center axis of the pedestal. In one embodiment, the coupling mechanism converts the motion of the pedestal into a lift pad and a lift pad in a pedestal configuration. For example, after the wafer is transferred at operation 820, the lift pad and pedestal configuration is moved to the process position. In the process position, the lift pad is supported on the pedestal as previously described. Also, the lift pad is in a first orientation relative to the pedestal and / or chamber. The first orientation may be arbitrary. For example, both the lift pad and the pedestal may be positioned in a 0 [deg.] Angular orientation within the chamber.

동작 825에서, 방법은 제 1 배향에서 제 1 수의 프로세싱 사이클들로 웨이퍼를 프로세싱하는 것을 포함한다. 예를 들어, 하나 이상의 막들의 증착은 ALCVD (atomic layer chemical vapor deposition) 로 공지되는, ALD (atomic layer deposition) 프로세스를 구현할 수도 있다. ALD는 매우 컨포멀하고 (conformal), 매끄럽고, 우수한 물리적 속성들을 보유하는 매우 박형의 막들을 생성한다. ALD는 가열된 기판 위로 순차적으로 도입 (또는 펄싱) 되는 휘발성 가스들, 고체들 또는 증기들을 사용한다. 일 ALD 사이클에서, 4 개의 동작들이 수행되고 A-P-B-P 시퀀스로 규정될 수 있다. 단계 A에서, 제 1 전구체가 가스로서 도입되고, 기판 내로 흡수 (또는 흡착) 된다. 단계 A 직후의 단계 P에서, 리액터 챔버는 가스성 전구체를 내보낸다 (cleared). 단계 B에서, 제 2 전구체가 가스로서 도입되고, 목표된 재료의 단층 (monolayer) 을 형성하도록 흡수된 전구체와 반응한다. 단계 B 직후 단계 P에서, 리액터 챔버는 다시 가스성 제 2 전구체를 내보낸다. 이 A-P-B-P 시퀀스를 조절함으로써, ALD에 의해 생성된 막들은 기판 위에 2 이상의 반응성 가스들의 순차적인 플로우를 반복적으로 스위칭함으로써 한번에 단층이 증착된다. 그런 방식으로, 막의 두께는 A-P-B-P 시퀀스의 수행된 수의 사이클들에 따라 조절될 수도 있다. 제 1 수의 사이클들은 값 X로 규정될 수도 있다. 유리하게 챔버 비대칭 및 페데스탈 비대칭 모두를 필터링하는, 프로세싱 동안 프로세스 챔버 내에서 페데스탈의 로테이션 없이 웨이퍼를 로테이팅할 수 있는 리프트 패드 및 페데스탈 구성을 개시하는, 본 실시예들을 예시하기 위해, X 수의 사이클들은 50 사이클들일 수도 있다. In operation 825, the method includes processing the wafer in a first orientation with a first number of processing cycles. For example, the deposition of one or more films may implement an atomic layer deposition (ALD) process, known as atomic layer chemical vapor deposition (ALCVD). ALD is highly conformal, smooth, and produces very thin films with excellent physical properties. ALD uses volatile gases, solids, or vapors that are introduced (or pulsed) sequentially onto a heated substrate. In one day ALD cycle, four operations are performed and may be defined as an A-P-B-P sequence. In Step A, the first precursor is introduced as a gas and absorbed (or adsorbed) into the substrate. In step P immediately after step A, the reactor chamber is cleared of the gaseous precursor. In step B, the second precursor is introduced as a gas and reacts with the absorbed precursor to form a monolayer of the desired material. Immediately after step B, in step P, the reactor chamber again delivers the gaseous second precursor. By adjusting this A-P-B-P sequence, the films produced by ALD are deposited at one time by repeated switching of a sequential flow of two or more reactive gases onto the substrate. In that way, the thickness of the film may be adjusted according to the number of cycles performed in the A-P-B-P sequence. The first number of cycles may be defined as the value X. To illustrate these embodiments, which illustrate a lift pad and pedestal configuration that advantageously filters both chamber asymmetry and pedestal asymmetry, the wafer can be rotated without rotation of the pedestal within the process chamber during processing. In order to illustrate these embodiments, May be 50 cycles.

동작 830에서, 페데스탈을 상향 포지션으로 상승시키는 단계를 포함한다. 일 실시예에서, 페데스탈은 최상단 상향 포지션으로 상승된다. 페데스탈을 상향 포지션으로 이동시킴으로써, 리프트 패드는 또한 리프트 패드 상에 배치된 웨이퍼가 페데스탈 (820) 로부터 분리되도록, 페데스탈 (예를 들어, 페데스탈의 상단 표면) 에 대해 상향으로 상승된다. 일 실시예에서, 페데스탈이 이동의 상단부 근방에 있을 때 커플링 메커니즘이 리프트 패드를 상승시킨다. 즉, 리프트 패드 (830) 의 페데스탈 (820) 에 대한 표면 컨택트가 깨지면 (broken), 리프트 패드로 하여금 자유롭게 로테이팅하게 한다. 구체적으로, 리프트 패드는 프로세스 로테이션 변위 (예를 들어, 대략 1 ㎜) 만큼 페데스탈로부터 분리된다. 이러한 방식으로, 리프트 패드 상에 배치되거나 리프트 패드에 의해 지지되는 웨이퍼는 또한 페데스탈로부터 분리된다. At act 830, it includes raising the pedestal to an upward position. In one embodiment, the pedestal is raised to the uppermost up position. By moving the pedestal to the upward position, the lift pad is also raised upward relative to the pedestal (e.g., the top surface of the pedestal) such that the wafer disposed on the lift pad is separated from the pedestal 820. In one embodiment, the coupling mechanism raises the lift pad when the pedestal is near the upper end of the movement. That is, the surface contact to the pedestal 820 of the lift pad 830 is broken, causing the lift pad to rotate freely. Specifically, the lift pad is separated from the pedestal by a process rotation displacement (e.g., approximately 1 mm). In this manner, the wafer placed on or supported by the lift pad is also separated from the pedestal.

동작 840에서, 방법은 리프트 패드 (830) 가 페데스탈 (820) 로부터 분리될 때, 페데스탈 (820) (예를 들어, 페데스탈의 상단 표면) 에 대해 리프트 패드 (830) 를 로테이팅하는 단계를 포함한다. 구체적으로, 리프트 패드 (830) 는 페데스탈 (820) 에 대해 제 1 배향으로부터 제 2 배향으로 로테이팅한다. 예를 들어, 제 2 배향은 제 1 배향 (예를 들어, 0 °의 제 1 배향) 으로부터 180 °일 수도 있다. The method includes the step of rotating the lift pad 830 against the pedestal 820 (e.g., the top surface of the pedestal) when the lift pad 830 is removed from the pedestal 820 . Specifically, the lift pad 830 rotates from the first orientation to the second orientation relative to the pedestal 820. For example, the second orientation may be 180 [deg.] From a first orientation (e.g., a first orientation of 0 [deg.]).

동작 845에서, 방법은 페데스탈 상에 받쳐지도록 리프트 패드를 하강시키는 것을 포함한다. 또한, 동작 850에서, 방법은 페데스탈, 그리고 대응하여 리프트 패드를 프로세스 포지션으로 다시 이동시키는 단계를 포함한다. 일 실시예에서, 845 및 850에서 수행된 동작들이 페데스탈을 프로세스 포지션으로 다시 하강시킴으로써, 리프트 패드가 또한 리프트 패드가 페데스탈 상에 받쳐질 때까지 하강되도록 커플링 메커니즘의 작동 (action) 을 통해 동시에 발생한다. At operation 845, the method includes lowering the lift pad to be supported on the pedestal. Also, at operation 850, the method includes moving the pedestal, and correspondingly the lift pad, back to the process position. In one embodiment, operations performed at 845 and 850 may occur simultaneously through the action of the coupling mechanism to lower the pedestal back into the process position such that the lift pad is also lowered until it is supported on the pedestal do.

동작 855에서, 방법은 리프트 패드는 페데스탈에 대해 제 2 배향으로 있는, 제 2 수의 프로세싱 사이클들 (예를 들어, 사이클 각각은 A-P-B-P 시퀀스를 포함함) 동안 웨이퍼를 프로세싱하는 것을 포함한다. 제 2 수의 사이클들은 값 Y로 규정될 수도 있다. 유리하게 챔버 비대칭 및 페데스탈 비대칭 모두를 필터링하는, 프로세싱 동안 프로세스 챔버 내에서 페데스탈의 로테이션 없이 웨이퍼를 로테이팅할 수 있는 리프트 패드 및 페데스탈 구성을 개시하는 본 실시예들을 예시하기 위해, Y 수의 사이클들은 50 사이클들일 수도 있다. In operation 855, the method includes processing the wafer during a second number of processing cycles (e.g., each cycle includes an A-P-B-P sequence), with the lift pad in a second orientation relative to the pedestal. The second number of cycles may be defined as the value Y. [ To illustrate these embodiments disclosing lift pads and pedestal configurations that advantageously filter both chamber asymmetry and pedestal asymmetry, and that can rotate the wafer without rotation of the pedestal within the process chamber during processing, the Y number of cycles 50 cycles.

그런 방식으로, 막의 두께는 A-P-B-P 시퀀스를 수행한 사이클들의 총 수 (예를 들어, X+Y) 에 따라 조절될 수도 있다. 웨이퍼가 또한 제 2 수의 사이클들 동안 페데스탈에 대해 로테이팅하기 때문에, 챔버 비대칭 및 페데스탈 비대칭 모두 필터링되고, 이는 웨이퍼 프로세싱 동안 개선된 막 균일도를 제공한다. In that way, the thickness of the film may be adjusted according to the total number of cycles (e.g., X + Y) that performed the A-P-B-P sequence. Because the wafer is also rotating against the pedestal during the second number of cycles, both chamber asymmetry and pedestal asymmetry are filtered, which provides improved film uniformity during wafer processing.

상기 제공된 예에서, 제 1 수의 사이클들은 X이고, 제 2 수의 사이클들은 Y이고, X와 Y 모두 A-P-B-P 시퀀스를 수행하는 100 사이클들의 총 수에 대해 50 사이클들을 포함한다. 즉, 제 1 수의 프로세싱 사이클들 (X) 은 제 1 배향으로 수행된 사이클들의 총 수의 1/2일 수도 있고, 그리고 제 2 수의 프로세싱 사이클들 (Y) 은 제 2 배향으로 수행된 사이클들의 총 수의 1/2일 수도 있다. 이와 같이, 50 사이클들은 제 1 각도 배향 (예를 들어, 0 °) 으로 수행되고, 그리고 또 다른 50 사이클들은 제 2 각도 배향 (예를 들어, 180 °) 으로 수행된다. In the example provided above, the first number of cycles is X, the second number of cycles is Y, and both X and Y comprise 50 cycles for the total number of 100 cycles performing the A-P-B-P sequence. That is, the first number of processing cycles X may be one-half of the total number of cycles performed in the first orientation, and the second number of processing cycles Y may be a cycle performed in the second orientation Lt; / RTI > As such, 50 cycles are performed in a first angular orientation (e.g., 0 [deg.]) And another 50 cycles are performed in a second angular orientation (e.g., 180 [deg.]).

본 개시의 실시예들이 제 1 배향 및 제 2 배향을 참조하여 기술되지만, 다른 실시예들은 하나 이상의 배향들 (예를 들어, 1, 2, 3, 등) 을 사용하여 웨이퍼 프로세싱을 수행하는데 적절하다. 배향들은 일 실시예에서 동일한 각도들로 분리될 수도 있고, 또는 또 다른 실시예에서 동일하지 않은 각도들로 분리될 수도 있다. 또한, 배향 각각에서 웨이퍼 프로세싱 (예를 들어, ALD, PECVD, 등) 의 하나 이상의 사이클들이 수행된다. 배향 각각에서 수행된 사이클들의 수는 일 실시예에서, 동일하게 분배될 수도 있고 또는 또 다른 실시예에서 동일하지 않게 분배될 수도 있다. 즉, 다른 실시예들은 (예를 들어, 리프트 패드와 페데스탈 사이에서) 2 이상의 상대적인 각도 배향들로 사이클들의 2 이상의 세트들이 적절하고, 세트 각각은 동일한 수의 프로세싱 사이클들 (예를 들어, 사이클 각각은 A-P-B-P 시퀀스를 포함함), 또는 상이한 수들의 프로세싱 사이클들을 포함할 수도 있다. While embodiments of the present disclosure are described with reference to a first orientation and a second orientation, other embodiments are suitable for performing wafer processing using one or more orientations (e.g., 1, 2, 3, etc.) . The orientations may be separated by the same angles in one embodiment, or may be separated by unequal angles in another embodiment. Also, one or more cycles of wafer processing (e.g., ALD, PECVD, etc.) are performed in each of the orientations. The number of cycles performed in each of the orientations may, in one embodiment, be equally distributed or may be unequally distributed in another embodiment. That is, other embodiments may be used where two or more sets of cycles are appropriate (e.g., between the lift pad and the pedestal) at two or more relative angular orientations, and each of the sets has the same number of processing cycles (e.g., Includes the APBP sequence), or may include different numbers of processing cycles.

860에서, 방법은 리프트 패드 및 페데스탈 구성을 포함하는 어셈블리로부터 웨이퍼 제거를 위해 하향 포지션으로 리프트 패드 및 페데스탈 구성을 이동시키는 단계를 포함한다. 일 실시예에서, 페데스탈은 이의 최하단 하향 포지션에 있다. 이전에 기술된 바와 같이, 리프트 핀 어셈블리를 포함하는 리프트 패드 및 페데스탈 구성에서, 리프트 핀들은 웨이퍼 전달을 위해 연장될 수도 있다. 리프트 핀 어셈블리를 포함하지 않는 리프트 패드 및 페데스탈 구성에서, (예를 들어, 웨이퍼보다 작은) 리프트 패드는 웨이퍼 전달 목적들을 위해 엔드-이펙터 암의 진입을 위해 충분히 큰 변위만큼 페데스탈 상단 표면으로부터 분리될 수도 있다. 이와 같이, 웨이퍼는 엔드-이펙터 암을 사용하여 연장된 리프트 핀들 또는 연장된 리프트 패드로부터 제거될 수도 있다. At 860, the method includes moving the lift pad and pedestal configuration to a downward position for wafer removal from an assembly comprising a lift pad and pedestal configuration. In one embodiment, the pedestal is in its lowest down position. As previously described, in lift pads and pedestal configurations that include lift pin assemblies, the lift pins may be extended for wafer transfer. In a lift pad and pedestal configuration that does not include a lift pin assembly, the lift pad (e.g., smaller than the wafer) may be separated from the pedestal upper surface by a displacement sufficiently large for entry of the end-effector arm for wafer transfer purposes have. As such, the wafer may be removed from the extended lift pins or extended lift pads using the end-effector arm.

도 9a 및 도 9b는 본 개시의 일 실시예에 따른, 리프트 패드가 웨이퍼와 매칭하도록 대략 사이즈가 결정되고, 프로세싱 동안 프로세스 챔버 내에서 페데스탈의 로테이션 없이 웨이퍼의 로테이션을 포함하고, 유리하게 챔버 비대칭 및 페데스탈 비대칭 모두를 필터링하는, 리프트 패드 및 페데스탈 구성의 모션 시퀀스를 예시하는 도면들이다. FIGS. 9A and 9B illustrate an embodiment of the present invention, including a rotation of the wafer without rotation of the pedestal within the process chamber during processing, wherein the lift pad is approximately sized to match the wafer, Are diagrams illustrating the motion sequence of a lift pad and pedestal configuration, filtering both pedestal asymmetry.

구체적으로, 도 9a는 도 4 및 도 5a 및 도 5b에서 처음 도입된 웨이퍼 사이즈 리프트 패드 및 페데스탈 구성 (400) 을 도시한다. 리프트 패드 및 페데스탈 구성 (400) 은 페데스탈 (140'), 리프트 패드 (430), 및 리프트 핀들 (557) 을 포함하는 리프트 핀 어셈블리를 포함한다. 전달 포지션에서, 리프트 패드 및 페데스탈 구성 (400) 은 페데스탈 (140') 이 페데스탈 상에 받쳐진 리프트 패드를 사용하여 하향 포지션에 있도록 구성된다. "A"로 라벨이 붙은 점선 원으로 도시된 바와 같이, 리프트 핀들 (557) 은 웨이퍼 전달 목적들을 위해 리프트 패드 (430) 의 상단 표면으로부터 연장된다. 도 9a는 또한 프리-코트 포지션의 리프트 패드 및 페데스탈 구성 (400) 을 도시하고, 웨이퍼들이 프로세싱되기 전에 막의 프리-코트 층 및 언더코트 (undercoat) 층이 프로세스 챔버 내에서 증착된다. "B"로 라벨이 붙은 점선 원으로 도시된 바와 같이, 리프트 패드 (430) 는 페데스탈 (140') 상에 받쳐진다. 이에 더하여, 리프트 핀들 (557) 은 프리-코트 증착이 발생하고, 웨이퍼가 리프트 패드 및 페데스탈 구성 (400) 상에 없을 때, 리프트 핀들 (557) 의 상단부가 챔버 프리-코트 동안 적절한 포지션인 리프트 패드 (430) 의 패드 샤프트들에 대응하는 홀들을 충진하기만 하도록 포지셔닝된다. 도 9a는 또한 단일-스테이션 시스템 및 멀티-스테이션 시스템에서 웨이퍼 프로세싱 동안 하나 이상의 막들이 증착될 수도 있는 (예를 들어, PECVD 프로세스 및 ALD 프로세스) 프로세스 포지션의 리프트 패드 및 페데스탈 구성 (400) 을 도시한다. 예를 들어, 웨이퍼 프로세싱은 또한 ALCVD (atomic layer chemical vapor deposition) 로 공지되는, ALD (atomic layer deposition) 프로세스를 구현할 수도 있다. ALD는 매우 컨포멀하고, 매끄럽고, 우수한 물리적 속성들을 보유하는 매우 박형의 막들을 생성한다. 이전에 도입된 바와 같이, 4 개의 동작들이 일 ALD 사이클 (예를 들어, A-P-B-P 시퀀스) 로 수행된다. "C"로 라벨이 붙은 점선 원으로 도시된 바와 같이, 리프트 패드 (430) 는 페데스탈 (140') 상에 받쳐지고, 그리고 리프트 핀들 (557') 은 페데스탈 (140') 의 바디 내의 포지션으로 철수된다 (retreat). 도 9a는 또한 페데스탈이 상향 포지션 (예를 들어, 최상단 상향 포지션) 에 있는, 로테이션 포지션의 리프트 패드 및 페데스탈 구성 (400) 을 도시한다. "D"로 라벨이 붙은 점선 원으로 도시된 바와 같이, 리프트 패드가 페데스탈 (140') 에 대해 제 2 각도 배향으로 로테이팅될 수도 있도록 리프트 패드 (430) 는 페데스탈 (140') 로부터 프로세스 로테이션 변위만큼 분리된다. Specifically, FIG. 9A illustrates the wafer size lift pad and pedestal configuration 400 first introduced in FIGS. 4 and 5A and 5B. The lift pad and pedestal arrangement 400 includes a lift pin assembly including a pedestal 140 ', a lift pad 430, and lift pins 557. In the delivery position, the lift pad and pedestal configuration 400 is configured such that the pedestal 140 'is in a downward position using a lift pad supported on the pedestal. The lift pins 557 extend from the top surface of the lift pad 430 for wafer transfer purposes, as shown by the dotted circle labeled " A ". Figure 9A also shows the lift pad and pedestal configuration 400 of the pre-coat position, and the pre-coat layer and the undercoat layer of the film are deposited in the process chamber before the wafers are processed. As shown by the dotted circle labeled " B ", the lift pad 430 is supported on the pedestal 140 '. In addition, the lift pins 557 may be positioned such that when the pre-coat deposition occurs and the top of the lift pins 557 is in the proper position during the chamber pre-coat when the wafer is not on the lift pad and pedestal configuration 400, Lt; RTI ID = 0.0 > 430 < / RTI > 9A also illustrates a lift pad and pedestal configuration 400 of process positions in which one or more films may be deposited (e.g., a PECVD process and an ALD process) during wafer processing in a single-station system and a multi-station system . For example, wafer processing may also implement an atomic layer deposition (ALD) process, also known as atomic layer chemical vapor deposition (ALCVD). ALD produces very thin films that are highly conformal, smooth, and possess excellent physical properties. As previously introduced, four operations are performed in one ALD cycle (e.g., an A-P-B-P sequence). The lift pad 430 is supported on the pedestal 140 'and the lift pins 557' are pushed into the position in the body of the pedestal 140 ', as shown by the dotted circle labeled "C" (Retreat). FIG. 9A also shows the lift pad and pedestal configuration 400 of the rotation position, where the pedestal is in an upward position (e.g., topmost upward position). The lift pad 430 is moved from the pedestal 140 'to the process rotation displacement < RTI ID = 0.0 > 140 ' ' .

도 9b는 도 9a에 대한 보다 상세한 설명을 제공하고, 본 개시의 일 실시예에 따른, 리프트 패드는 웨이퍼와 매칭하도록 대략 사이즈가 결정되고, 프로세싱 동안 프로세스 챔버 내에서 페데스탈의 로테이션 없이 웨이퍼의 로테이션을 포함하고, 유리하게 챔버 비대칭 및 페데스탈 비대칭 모두를 필터링하는, 도 4 및 도 5a와 도 5b에서 먼저 도입된 리프트 패드 및 페데스탈 구성 (400) 의 모션 시퀀스를 예시한다. 9B provides a more detailed description of FIG. 9A, wherein the lift pad is approximately sized to match the wafer, and the rotation of the wafer without rotation of the pedestal within the process chamber during processing And schematically illustrates the motion sequence of the lift pad and pedestal configuration 400 first introduced in FIGS. 4 and 5A and 5B, which advantageously filters both chamber asymmetry and pedestal asymmetry.

전달 포지션에서, 리프트 패드 및 페데스탈 구성 (400) 은 페데스탈 (140') 이 페데스탈 (140') 상에 받쳐진 리프트 패드 (430) 와 함께 하향 포지션에 있도록 구성된다. 구체적으로, 리프트 패드 및 페데스탈 구성 (400) 은 페데스탈 (140') 의 하단부가 라인 901로 나타낸 대응하는 챔버 내의 레벨에 있도록, 웨이퍼를 수용하고 그리고/또는 제거할 준비가 된 전달 포지션에 있다. 구체적으로, 일 실시예에서 페데스탈 (140') 은 최하단 레벨에 있고, 페데스탈 (140') 의 하단부가 라인 902로 나타낸 레벨, 뿐만 아니라 프로세스 포지션과 연관된 라인 903으로 나타낸 레벨, 로테이션 포지션과 연관된 라인 904로 나타낸 레벨에 있는 프리-코트 포지션보다 낮다. 도시된 바와 같이, 이전에 기술된 바와 같이 리프트 패드 (430) 는 페데스탈 (140') 상에 받쳐진다. 이에 더하여, 리프트 핀들 (557) 은 예를 들어, 엔드-이펙터의 암에 의해 전달되는 웨이퍼를 수용하기 위한 포지션에서 리프트 패드 (430) 의 상단 표면을 넘어 연장한다. In the delivery position, the lift pad and pedestal configuration 400 is configured such that the pedestal 140 'is in a downward position with the lift pad 430 supported on the pedestal 140'. Specifically, the lift pad and pedestal configuration 400 is in a delivery position ready to receive and / or remove the wafer, such that the lower end of the pedestal 140 'is at a level within the corresponding chamber indicated by line 901. Specifically, in one embodiment, the pedestal 140 'is at the bottom level and the bottom of the pedestal 140' is at the level indicated by line 902, as well as the level indicated by line 903 associated with the process position, the line 904 associated with the rotation position Is lower than the pre-coat position at the level indicated by < RTI ID = 0.0 > As shown, the lift pad 430 is supported on the pedestal 140 'as previously described. In addition, the lift pins 557 extend beyond the upper surface of the lift pad 430, e.g., in a position for receiving the wafer delivered by the arm of the end-effector.

도 9b는 페데스탈 (140') 의 하단부가 라인 902로 나타낸 대응하는 챔버 내의 레벨에 있는, 프리-코트 레벨의 리프트 패드 및 페데스탈 구성 (400) 을 도시한다. 프리-코트 포지션이 챔버 내의 임의의 포지션으로 규정될 수도 있고, 라인 902로 나타낸 레벨로 제한되지 않는다는 것을 주지하는 것이 중요하다. 예를 들어, 프리-코트 포지션은 프로세스 포지션과 동일할 수도 있고, 리프트 패드 및 페데스탈 구성은 웨이퍼 프로세싱 (예를 들어, ALD, PECVD, 등) 을 위해 포지셔닝된다. 도시된 바와 같이, 리프트 패드 (430) 는 이전에 기술된 바와 같이 페데스탈 (140') 상에 받쳐진다. 이에 더하여, 프리-코트 증착이 발생하고, 웨이퍼가 리프트 패드 및 페데스탈 구성 상에 있지 않을 때 리프트 핀들 (557) 은 리프트 핀들의 상단이 리프트 패드 (430) 이 홀들을 충진하기만 하도록 포지셔닝되고, 이는 챔버 프리-코트 동안 적절한 포지션이다. 9B shows a pre-coat level lift pad and pedestal configuration 400 with the lower end of the pedestal 140 'at a level within the corresponding chamber indicated by line 902. It is important to note that the pre-coat position may be defined at any position within the chamber and is not limited to the level indicated by line 902. For example, the pre-coat position may be the same as the process position, and the lift pad and pedestal configuration is positioned for wafer processing (e.g., ALD, PECVD, etc.). As shown, the lift pad 430 is supported on the pedestal 140 'as previously described. In addition, when pre-coat deposition occurs and the wafer is not on a lift pad and pedestal configuration, the lift pins 557 are positioned such that the top of the lift pins only fills the holes with the lift pad 430, It is the proper position during the chamber pre-coat.

구체적으로, 웨이퍼들이 프로세싱되기 전에 막의 프리-코트 층 및 언더코트 층이 프로세스 챔버 내에서 증착된다. 리프트 패드 및 페데스탈 구성에 포함될 때, 이 프리-코트 및/또는 언더코트 막은 또한 캐리어 링들을 코팅할 수도 있고, 웨이퍼와 컨택트하게 된다. 챔버 및 리프트 패드 및 페데스탈 구성 (예를 들어, MCA들과 같은 컨택트 지지부 구조체들) 에 프리-코트를 인가하는 것, 및 프로세싱 동안 웨이퍼 위에 형성될 막과 유사한 프리-코트 막을 갖는 선택가능한 캐리어 링이 웨이퍼 위의 막 형성을 개선한다고 여겨진다. 이와 같이, 웨이퍼가 리프트 패드 및 페데스탈 구성 위로 도입되기 전에 프리-코트 막이 형성된다. 이에 더하여, 프리-코트, 뿐만 아니라 웨이퍼 프로세싱 분위기의 임의의 다른 언더코팅들이 개선된 웨이퍼 막 균일도를 위해 조합하여 작용한다. 예를 들어, 통상적인 언더코트 두께는 대략 3 ㎛일 수도 있고, 프리-코트 두께는 대략 0. 5 ㎛이다. Specifically, the pre-coat layer and the undercoat layer of the film are deposited in the process chamber before the wafers are processed. When included in a lift pad and pedestal configuration, the pre-coat and / or undercoat film may also coat the carrier rings and make contact with the wafer. Applying a pre-coat to the chamber and lift pad and pedestal configuration (e.g., contact support structures such as MCAs), and selecting a selectable carrier ring having a pre-coat film similar to the film to be formed on the wafer during processing Is believed to improve film formation on the wafer. As such, a pre-coat film is formed before the wafer is introduced over the lift pad and pedestal configuration. In addition, pre-coats, as well as any other undercoats in the wafer processing atmosphere, work in combination for improved wafer film uniformity. For example, a typical undercoat thickness may be approximately 3 占 퐉, and a precoat thickness is approximately 0.5 占 퐉.

도 9b는 또한 단일-스테이션 시스템 및 멀티-스테이션 시스템에서 웨이퍼 프로세싱 (예를 들어, PECVD 프로세스 및 ALD 프로세스) 동안 하나 이상의 막들이 증착될 수도 있는, 프로세스 포지션의 리프트 패드 및 페데스탈 구성 (400) 을 도시한다. 구체적으로, 페데스탈 (140') 은 라인 903으로 나타낸 대응하는 챔버 내의 레벨에 있다. 도시된 바와 같이, 페데스탈 (140') 은 챔버 내에서 최상단 포지션 또는 레벨 근방에 있다. 프로세스 포지션이 챔버 및/또는 구현되는 프로세스들에 따라, 챔버 내에서 임의의 포지션 및/또는 레벨로 규정될 수도 있고, 라인 903으로 나타낸 레벨로 제한되지 않는다는 것을 주지하는 것이 중요하다. 도시된 바와 같이, 리프트 패드 (430) 는 이전에 기술된 바와 같이 페데스탈 (140') 상에 받쳐진다. 이에 더하여, 리프트 핀들 (557) 은, 리프트 핀들의 상단이 페데스탈 (140') 의 바디 내에 있도록, 상단이 또한 페데스탈 (140') 또는 리프트 패드 (430) 내의 임의의 위치에 포지셔닝될 수도 있도록 포지셔닝된다. 이에 더하여, 리프트 패드 (430) 는 페데스탈 (140') 에 대해 제 1 각도 배향에 있다. FIG. 9B also illustrates a lift pad and pedestal configuration 400 of a process position in which one or more films may be deposited during wafer processing (e.g., a PECVD process and an ALD process) in a single-station system and a multi- do. Specifically, the pedestal 140 'is at a level within the corresponding chamber indicated by line 903. As shown, the pedestal 140 'is in the uppermost position or level vicinity in the chamber. It is important to note that the process position may be defined at any position and / or level within the chamber, and not limited to the level indicated by line 903, depending on the chamber and / or processes being implemented. As shown, the lift pad 430 is supported on the pedestal 140 'as previously described. In addition, the lift pins 557 are positioned such that the top may also be positioned at any position within the pedestal 140 'or the lift pad 430, such that the top of the lift pins is within the body of the pedestal 140' . In addition, the lift pad 430 is in a first angular orientation relative to the pedestal 140 '.

도 9b는 또한 페데스탈이 상향 포지션에 있는 로테이션 포지션의 리프트 패드 및 페데스탈 구성 (400) 을 도시한다. 일 실시예에서, 페데스탈 (140') 의 하단부는 라인 904으로 나타낸 대응하는 챔버 내 최상단 레벨에 있다. 리프트 패드 (430) 는 프로세스 로테이션 변위 (940) (예를 들어, 대략 1 ㎜) 만큼 페데스탈 (140') 로부터 분리된다. 일 실시예에서, 커플링 메커니즘은 리프트 패드가 페데스탈 상단 표면으로부터 로테이션 변위 (940) 만큼 분리되도록 페데스탈 (140') 이 이동의 상단부 근방에 있을 때 리프트 패드 (430) 를 상승시킨다. 구체적으로, 페데스탈 (140') 이 이동의 상단부에 도달할 때, 페데스탈 (140') 에 의해 이동된 특정에 거리 "d"에 대해, 리프트 패드 (430) 는 "d"의 인수일 수도 있는, 보다 큰 거리만큼 이동한다. 예를 들어, 페데스탈 (140') 이 이동의 상단부에 도달할 때, 리프트 패드 (430) 는 거리 "d"의 두 배인, 로테이션 변위 (940) 만큼 페데스탈 (140') 로부터 분리된다. 그 후, 리프트 패드 (430) 는 예를 들어 페데스탈 (140') 에 대해 제 1 각도 배향으로부터 제 2 각도 배향으로 로테이팅할 수도 있다. 그 후, 리프트 패드 및 페데스탈 구성 (400) 은 부가적인 프로세싱 사이클들을 위해 프로세스 포지션으로, 또는 웨이퍼 전달을 위해 전달 포지션으로 리턴될 수도 있다. Figure 9b also shows the lift pad and pedestal configuration 400 of the rotation position where the pedestal is in the upward position. In one embodiment, the lower end of the pedestal 140 'is at the uppermost level in the corresponding chamber, indicated by line 904. The lift pad 430 is separated from the pedestal 140 'by a process rotation displacement 940 (e.g., approximately 1 mm). In one embodiment, the coupling mechanism raises the lift pad 430 when the pedestal 140 'is near the upper end of the movement such that the lift pad is separated from the pedestal upper surface by a rotation displacement 940. Specifically, for a particular distance "d" moved by the pedestal 140 ', when the pedestal 140' reaches the upper end of the movement, the lift pad 430 may be an argument of "d" Moves by a larger distance. For example, when the pedestal 140 'reaches the upper end of the movement, the lift pad 430 is separated from the pedestal 140' by a rotation displacement 940, which is twice the distance "d". The lift pad 430 may then be rotated from a first angular orientation to a second angular orientation relative to the pedestal 140 ', for example. The lift pad and pedestal configuration 400 may then be returned to the process position for additional processing cycles, or to the delivery position for wafer transfer.

도 9c는 본 개시의 일 실시예에 따른, 제 1 프로세스 시퀀스, 로테이션 시퀀스, 및 제 2 프로세스 시퀀스 동안 리프트 패드가 웨이퍼로 대략 사이즈가 결정되는, 리프트 패드 및 페데스탈 구성 (400) 의 페데스탈 (140') 에 대해 리프트 패드 (430) 의 배향을 예시하는 도면이다. 구체적으로, 도 9c는 리프트 패드 및 페데스탈 구성 (400) 이 제 1 수의 프로세싱 사이클들을 위해 프로세스 포지션에 있는 동안, 구성 (400) 이 로테이션 포지션에 있는 동안, 구성 (400) 이 제 2 수의 프로세싱 사이클들을 위해 프로세스 포지션에 있는 동안 리프트 패드 (430) 및 페데스탈 (140') 의 (예를 들어, 서로에 대해 그리고/또는 챔버 내에서 좌표계 (950) 에 대해) 상대적인 배향들을 예시한다. 9C illustrates a pedestal 140 'of a lift pad and pedestal configuration 400, wherein the lift pad is approximately sized by the wafer during a first process sequence, a rotation sequence, and a second process sequence, according to one embodiment of the present disclosure. ) Of the lift pad 430 with respect to the lift pad 430. As shown in Fig. Specifically, FIG. 9C shows that while the configuration 400 is in the rotation position, while the lift pad and pedestal configuration 400 are in the process position for the first number of processing cycles, Illustrate relative orientations of the lift pad 430 and the pedestal 140 '(e.g., relative to each other and / or to the coordinate system 950 in the chamber) during the process position for the cycles.

도시된 바와 같이, 제 1 수의 프로세싱 사이클들 동안, 리프트 패드 및 페데스탈 구성 (400) 은 프로세스 포지션에 있다. 구체적으로, 리프트 패드 (430) 및 페데스탈 (140') 모두 챔버 내에서 좌표계 (950) 에 대해 0 °의 각도 배향을 갖는다. 또한, 리프트 패드 (430) 는 페데스탈 (140') 에 대해 0 °의 제 1 각도 배향을 갖는다 (즉, 페데스탈 (140') 은 좌표계를 제공한다). As shown, during the first number of processing cycles, the lift pad and pedestal configuration 400 is in the process position. Specifically, both the lift pad 430 and the pedestal 140 'have an angular orientation of 0 degrees relative to the coordinate system 950 within the chamber. In addition, the lift pad 430 has a first angular orientation of 0 degrees relative to the pedestal 140 '(i.e., the pedestal 140' provides a coordinate system).

이에 더하여, 도 9c는 리프트 패드 및 페데스탈 구성 (400) 이 로테이션 포지션에 있을 때, 페데스탈 (140') 에 대한 리프트 패드 (430) 의 로테이션을 예시한다. 구체적으로, 페데스탈 (140') 은 리프트 패드 (430) 가 0 ° 각도 배향으로부터 180 °로 로테이팅하는 동안, (예를 들어, 좌표계 (950) 와 관련하여) 0 °의 각도 배향으로 정적으로 유지된다. 즉, 페데스탈 (140') 은 로테이팅하지 않는다. 도시된 바와 같이, 리프트 패드 (430) 는 71 °인 각도 배향을 지나는 중이다. In addition, FIG. 9C illustrates the rotation of the lift pad 430 relative to the pedestal 140 'when the lift pad and pedestal configuration 400 are in the rotation position. Specifically, the pedestal 140 'is statically maintained in an angular orientation of 0 degrees (e.g., relative to the coordinate system 950) while the lift pad 430 rotates 180 degrees from the 0 degree angular orientation do. That is, the pedestal 140 'does not rotate. As shown, the lift pad 430 is passing an angular orientation of 71 degrees.

또한, 제 2 수의 프로세싱 사이클들 동안, 리프트 패드 및 페데스탈 구성 (400) 은 다시 프로세스 포지션에 있다. 그러나, 리프트 패드의 로테이션때문에, 페데스탈 (140') 은 여전히 챔버 내에서 좌표계 (950) 에 대해 0 °의 각도 배향을 갖고, 그리고 리프트 패드는 180 °의 각도 배향을 갖는다. 바꿔 말하면, 제 1 수의 사이클들로 프로세싱할 때, 리프트 패드 (430) 는 페데스탈 (140') 과 관련하여 0 °의 각도 배향을 갖고, 제 2 수의 사이클들로 프로세싱할 때, 리프트 패드 (430) 는 로테이션 후에 예를 들어, 페데스탈 (140') 과 관련하여 180 °의 각도 배향을 갖는다. Further, during a second number of processing cycles, the lift pad and pedestal configuration 400 is again in the process position. However, because of the rotation of the lift pad, the pedestal 140 'still has an angular orientation of 0 degrees relative to the coordinate system 950 in the chamber, and the lift pad has an angular orientation of 180 degrees. In other words, when processing into the first number of cycles, the lift pad 430 has an angular orientation of 0 degrees relative to the pedestal 140 ', and when processing with the second number of cycles, the lift pad 430 430 have an angular orientation of 180 after the rotation, for example, with respect to the pedestal 140 '.

도 10a 내지 도 10c는 본 개시의 일 실시예에 따른, 리프트 패드가 웨이퍼보다 작고, 프로세싱 동안 프로세스 챔버 내에서 페데스탈의 로테이션 없이 웨이퍼의 로테이션을 포함하고, 유리하게 챔버 비대칭 및 페데스탈 비대칭 모두를 필터링하는, 리프트 패드 및 페데스탈 구성의 모션 시퀀스를 예시하는 도면들이다. 보다 구체적으로, 도 10b는 도 6 및 도 7a와 도 7b에서 먼저 도입된 리프트 패드 및 페데스탈 구성 (600) 을 도시한다. 도 10c는 도 7c에서 먼저 도입되고, 부가적으로 리프트 핀 어셈블리를 포함하는 리프트 패드 및 페데스탈 구성 (600') 을 도시한다. FIGS. 10A-10C illustrate an embodiment of the present invention wherein the lift pad is smaller than the wafer and includes rotation of the wafer without rotation of the pedestal within the process chamber during processing, advantageously filtering both chamber asymmetry and pedestal asymmetry , A lift pad, and a pedestal configuration. More specifically, Fig. 10B shows the lift pad and pedestal configuration 600 first introduced in Figs. 6 and 7A and 7B. FIG. 10C illustrates a lift pad and pedestal configuration 600 ', which was first introduced in FIG. 7C and additionally includes a lift pin assembly.

구체적으로, 도 10a는 페데스탈 (140'') 및 리프트 패드 (630) 를 포함하는, 리프트 패드 및 페데스탈 구성 (600) 을 도시한다. 리프트 패드 및 페데스탈 구성 (600) 은 리프트 패드 (630) 가 리프팅 작동을 제공하고, 리프트 핀 어셈블리에 대한 필요성을 제거하도록 구성된다. 구체적으로, 전달 포지션에서, 리프트 패드 및 페데스탈 구성 (600) 은 페데스탈 (140'') 이 엔드-이펙터 암의 진입을 위해 충분히 큰 변위만큼 페데스탈 (140'') 로부터 분리된 리프트 패드 (630) 를 사용하여 하향 포지션에 있도록 구성된다. 도 10a는 단일-스테이션 시스템 및 멀티-스테이션 시스템에서 웨이퍼 프로세싱 동안 하나 이상의 막들이 증착될 수도 있는 (예를 들어, PECVD 프로세스 및 ALD 프로세스) 프로세스 포지션의 리프트 패드 및 페데스탈 구성 (600) 을 도시한다. 도 10a는 페데스탈 (140'') 은 상향 포지션 (예를 들어, 최상단 상향 포지션) 에 있고, 리프트 패드 (630) 는 프로세스 로테이션 변위 (예를 들어, 1 ㎜) 만큼 페데스탈 (140'') 로부터 분리되는, 로테이션 포지션의 리프트 패드 및 페데스탈 구성 (600) 을 도시한다. Specifically, FIG. 10A illustrates a lift pad and pedestal configuration 600, including a pedestal 140 '' and a lift pad 630. The lift pad and pedestal configuration 600 is configured such that the lift pad 630 provides a lifting operation and eliminates the need for a lift pin assembly. Specifically, in the delivery position, the lift pad and pedestal configuration 600 is configured such that the pedestal 140 " has a lift pad 630 separated from the pedestal 140 " by a displacement that is sufficiently large for the entry of the end- So as to be in a downward position. 10A illustrates a lift pad and pedestal configuration 600 of process positions in which one or more films may be deposited (e.g., a PECVD process and an ALD process) during wafer processing in a single-station and multi-station system. 10A shows that the pedestal 140 " is in an upward position (e.g., the uppermost up position) and the lift pad 630 is separated from the pedestal 140 " by a process rotation displacement A lift pad and pedestal configuration 600 of the rotation position.

도 10b는 도 10a에 대한 보다 상세한 설명을 제공하고, 본 개시의 일 실시예에 따른, 리프트 패드가 웨이퍼보다 작고, 프로세싱 동안 프로세스 챔버 내에서 페데스탈의 로테이션 없이 웨이퍼의 로테이션을 포함하고, 유리하게 챔버 비대칭 및 페데스탈 비대칭 모두를 필터링하는, 리프트 패드 및 페데스탈 구성 (600) 의 모션 시퀀스를 예시한다. 10B provides a more detailed description of FIG. 10A and illustrates a method of fabricating a wafer in which the lift pad is smaller than the wafer and includes rotation of the wafer without rotation of the pedestal within the process chamber during processing, The motion sequence of the lift pad and pedestal configuration 600, which filters both asymmetric and pedestal asymmetry, is illustrated.

리프트 패드 및 페데스탈 구성의 전달 포지션에서, 페데스탈 (140'') 의 하단부는 라인 901으로 나타낸 대응하는 챔버 내 레벨에 있다. 구체적으로, 페데스탈 (140'') 은 일 실시예에서 최하단 레벨에 있다. 일 실시예에서, 전달 포지션이 라인 902로 나타낸 프리-코트 포지션, 뿐만 아니라 라인 903으로 나타낸 프로세스 포지션, 및 라인 904로 나타낸 로테이션 포지션보다 낮다. 도시된 바와 같이, 리프트 패드 (630) 는 도 10b에 도시된 바와 같이, 엔드-이펙터의 암으로 하여금 전달 (리프트 패드 (630) 상으로 배치, 리프트 패드 (630) 로부터 웨이퍼를 제거) 하게 하기 충분한 변위 (969) 만큼 리프트 패드 (140'') 로부터 분리된다. 일 실시예에서, 리프트 패드 (630) 가 변위 (969) 만큼 페데스탈 상단 표면으로부터 분리되도록 페데스탈 (140'') 이 이동의 상단부 근방에 있을 때, 커플링 메커니즘은 리프트 패드 (630) 를 상승시킨다. In the delivery position of the lift pad and pedestal configuration, the lower end of the pedestal 140 " is at a level in the corresponding chamber indicated by line 901. Specifically, the pedestal 140 " is at the lowest level in one embodiment. In one embodiment, the delivery position is lower than the pre-coat position indicated by line 902, as well as the process position indicated by line 903, and the rotation position indicated by line 904. As shown, the lift pad 630 can be used to lift the arm of the end-effector (see FIG. 10B), which is sufficient to allow the arm of the end-effector to be placed on the lift pad 630 and removed from the lift pad 630 And is separated from the lift pad 140 " by displacement 969. In one embodiment, the coupling mechanism raises the lift pad 630 when the pedestal 140 '' is near the upper end of the movement so that the lift pad 630 is separated from the pedestal upper surface by displacement 969.

도 10b는 또한, 막의 프리-코트 층 및 언더코트 층이 웨이퍼들이 프로세싱되기 전에 프로세스 챔버에서 증착되는, 프리-코트 포지션의 리프트 패드 및 페데스탈 구성 (600) 을 도시한다. 프리-코트 포지션에서, 페데스탈 (140'') 의 하단부는 예를 들어 라인 902로 나타낸 대응하는 챔버 내의 레벨에 있다. 프리-코트 포지션은 챔버 내 임의의 포지션으로 규정될 수도 있고, 라인 902으로 나타낸 레벨로 제한되지 않는다. 도시된 바와 같이, 이전에 기술된 바와 같이 리프트 패드 (630) 는 페데스탈 (140'') 상에 받쳐진다. Figure 10B also shows the lift-pad and pedestal configuration 600 of the pre-coat position, where the pre-coat and undercoat layers of the film are deposited in the process chamber before the wafers are processed. In the pre-coat position, the lower end of the pedestal 140 " is at a level within the corresponding chamber, e.g., line 902. The pre-coat position may be defined as any position in the chamber and is not limited to the level indicated by line 902. [ As shown, the lift pad 630 is supported on the pedestal 140 " as previously described.

리프트 패드 및 페데스탈 구성 (600) 의 프로세스 포지션에서, 페데스탈 (140'') 의 하단부는 라인 903으로 나타낸 대응하는 챔버 내의 레벨에 있다. 일 실시예에서, 이전에 기술된 바와 같이 프로세스 포지션이 챔버 및/또는 구현된 프로세스들에 따라 챔버 내에서 임의의 레벨에 있을 수도 있지만, 페데스탈 (140'') 은 챔버 내 최상단 포지션 또는 레벨 근방에 있다. 도시된 바와 같이, 리프트 패드 (630) 는 페데스탈 (140'') 상에 받쳐진다. 이에 더하여, 리프트 패드 (630) 는 페데스탈 (140'') 에 대해 제 1 각도 배향에 있다. In the process position of the lift pad and pedestal configuration 600, the lower end of the pedestal 140 " is at a level within the corresponding chamber indicated by line 903. In one embodiment, the pedestal 140 " may be positioned in the uppermost position or level near the level of the chamber, although the process position may be at any level within the chamber, depending on the chamber and / have. As shown, the lift pad 630 is supported on the pedestal 140 ". In addition, the lift pad 630 is in a first angular orientation relative to the pedestal 140 ".

리프트 패드 및 페데스탈 구성 (600) 의 로테이션 포지션에서, 일 실시예에서 페데스탈 (140) 의 하단부는 라인 904로 나타낸 대응하는 챔버 내에서 최상단 레벨에 있다. 리프트 패드 (630) 는 프로세스 로테이션 변위 (1040) (예를 들어, 대략 1 ㎜) 만큼 페데스탈 (140'') 로부터 분리된다. 일 실시예에서, 커플링 메커니즘이 리프트 패드 (630) 가 로테이션 변위 (1040) 만큼 페데스탈 상단 표면으로부터 분리되도록 페데스탈 (140'') 이 이동의 상단부 근방에 있을 때, 패드 샤프트 (560) 를 통해 리프트 패드 (630) 를 상승시킨다. 일 실시예에서, 리프트 패드 (630) 가 로테이션 변위 (1040) 만큼 페데스탈 상단 표면으로부터 분리되도록 페데스탈 (140'') 이 이동의 상단부 근방에 있을 때, 커플링 메커니즘이 리프트 패드 (630) 를 상승시킨다. 예를 들어, 페데스탈 (140'') 이 이동의 상단부에 도달하기 때문에, 페데스탈 (140'') 에 의해 이동된 특정한 거리 "f"에 대해, 리프트 패드 (630) 는 "f"의 인자 (예를 들어, "f"의 2 배) 일 수도 있는 보다 큰 거리만큼 이동한다. 그 후, 리프트 패드 (630) 는 제 1 각도 배향으로부터 제 2 각도 배향 (예를 들어, 페데스탈 (140'') 에 대해) 으로 로테이팅될 수도 있고, 이어서 부가적인 프로세싱 사이클들을 위해 프로세스 포지션으로 리턴 (return) 하거나, 웨이퍼 전달을 위해 전달 포지션으로 리턴된다. In the lift position of the lift pad and pedestal configuration 600, the lower end of the pedestal 140 in one embodiment is at the uppermost level within the corresponding chamber indicated by line 904. The lift pad 630 is separated from the pedestal 140 " by a process rotation displacement 1040 (e.g., approximately 1 mm). In one embodiment, when the pedestal 140 " is in the vicinity of the upper end of the movement so that the lift mechanism 630 is separated from the pedestal upper surface by the rotation displacement 1040, The pad 630 is raised. In one embodiment, when the pedestal 140 '' is in the vicinity of the upper end of the movement so that the lift pad 630 is separated from the pedestal upper surface by the rotation displacement 1040, the coupling mechanism lifts the lift pad 630 . For a particular distance " f " moved by the pedestal 140 ", for example, the lift pad 630 may have a factor of " f " For example, twice the " f "). The lift pad 630 may then be rotated from a first angular orientation to a second angular orientation (e.g., relative to the pedestal 140 ") and then returned to the process position for additional processing cycles or returned to the delivery position for wafer transfer.

도 10c는 도 10a에 대한 보다 상세한 설명들을 제공하고, 본 개시의 일 실시예에 따른, 리프트 패드 (630) 가 웨이퍼보다 작고, 프로세싱 동안 프로세스 챔버 내에서 페데스탈 (140''') 의 로테이션 없이 웨이퍼의 로테이션을 포함하고, 유리하게 챔버 비대칭 및 페데스탈 비대칭 모두를 필터링하는, 리프트 핀 어셈블리를 포함하는, 리프트 패드 및 페데스탈 구성 (600') 의 모션 시퀀스를 예시한다. 이전에 기술된 바와 같이, 리프트 패드 및 페데스탈 구성 (600') 은 리프트 패드 (630), 페데스탈 (140''') 및 리프트 핀 어셈블리를 포함한다. 10C provides a more detailed description of FIG. 10A, wherein the lift pad 630 is smaller than the wafer and, in accordance with one embodiment of the present disclosure, is positioned within the process chamber during processing without the rotation of the pedestal 140 " And a lift pin assembly that advantageously filters both chamber asymmetry and pedestal asymmetry, including a rotation of the lift pad and pedestal configuration 600 '. As previously described, the lift pad and pedestal configuration 600 'includes a lift pad 630, a pedestal 140' '', and a lift pin assembly.

리프트 패드 및 페데스탈 구성 (600') 의 전달 포지션에서, 페데스탈 (140''') 의 하단부는 라인 901로 나타낸 대응하는 챔버 내 레벨에 있다. 구체적으로, 일 실시예에서 페데스탈 (140''') 은 최하단 레벨에 있다. 일 실시예에서, 전달 포지션은 라인 902으로 나타낸 프리-코트 포지션, 뿐만 아니라 라인 903으로 나타낸 프로세스 포지션, 그리고 라인 904로 나타낸 로테이션 포지션보다 낮다. 도시된 바와 같이, 리프트 패드 (630) 는 이전에 기술된 바와 같이 페데스탈 (140''') 상에 받쳐진다. 이에 더하여, 리프트 핀들 (557') 은 예를 들어, 엔드-이펙터의 암에 의해 전달되는 웨이퍼를 수용하거나, 엔드-이펙터에 의한 웨이퍼의 제거하기 위한 포지션에서, 페데스탈 (140''') 및 리프트 패드 (630) 의 상단 표면을 넘어 연장한다. In the delivery position of the lift pad and pedestal configuration 600 ', the lower end of the pedestal 140' '' is at a level in the corresponding chamber indicated by line 901. Specifically, pedestal 140 " 'is at the lowest level in one embodiment. In one embodiment, the delivery position is lower than the pre-coat position indicated by line 902, as well as the process position indicated by line 903, and the rotation position indicated by line 904. As shown, the lift pad 630 is supported on the pedestal 140 " 'as previously described. In addition, the lift pins 557 'can be used to lift the pedestal 140 "' and the lift < RTI ID = 0.0 > Extends beyond the upper surface of the pad 630.

도 10c는 또한, 웨이퍼들이 프로세싱되기 전에 막의 프리-코트 층 및 언더코트 층이 프로세스 챔버 내에서 증착되는, 프리-코트 포지션의 리프트 패드 및 페데스탈 구성 (600') 을 도시한다. 프리-코트 포지션에서, 페데스탈 (140''') 의 하단부는 예를 들어 라인 902으로 나타낸 대응하는 챔버 내 레벨에 있다. 프리-코트 포지션은 챔버 내 임의의 포지션으로 규정될 수도 있고, 라인 902으로 나타낸 레벨로 제한되지 않는다. 도시된 바와 같이, 리프트 패드 (630) 는 이전에 기술된 바와 같이 페데스탈 (140''') 상에 받쳐진다. 이에 더하여, 프리-코트 증착이 발생하고, 웨이퍼가 리프트 패드 및 페데스탈 구성 상에 있지 않을 때 리프트 핀들 (857) 은 리프트 핀들의 상단이 리프트 패드 (830) 이 홀들을 충진하기만 하도록 포지셔닝되고, 이는 챔버 프리-코트 동안 적절한 포지션이다. Figure 10c also shows the lift pad and pedestal configuration 600 'of the pre-coat position, where the pre-coat and undercoat layers of the film are deposited in the process chamber before the wafers are processed. In the pre-coat position, the lower end of the pedestal 140 '' 'is at a level in the corresponding chamber, indicated for example by line 902. The pre-coat position may be defined as any position in the chamber and is not limited to the level indicated by line 902. [ As shown, the lift pad 630 is supported on the pedestal 140 " 'as previously described. In addition, when pre-coat deposition occurs and the wafer is not on the lift pad and pedestal configuration, the lift pins 857 are positioned such that the top of the lift pins only fills the holes with the lift pad 830, It is the proper position during the chamber pre-coat.

리프트 패드 및 페데스탈 구성 (600') 의 프로세스 포지션에서, 페데스탈 (140''') 의 하단부는 라인 903으로 나타낸 대응하는 챔버 내 레벨에 있다. 도시된 바와 같이, 프로세스 포지션이 챔버 내 임의의 레벨에 있을 수도 있지만, 페데스탈 (140''') 은 이전에 기술된 바와 같이 챔버 내 최상단 포지션 또는 레벨 근방에 있다. 도시된 바와 같이, 리프트 패드 (630) 는 이전에 기술된 바와 같이 페데스탈 (140''') 상에 받쳐진다. 이에 더하여, 상단부가 또한 페데스탈 (140''') 내 어디에나 포지셔닝될 수도 있지만, 리프트 핀들의 상단부가 페데스탈 (140''') 내에 있도록, 리프트 핀들 (557') 이 포지셔닝된다. In the process position of the lift pad and pedestal configuration 600 ', the lower end of the pedestal 140' '' is at a level in the corresponding chamber indicated by line 903. As shown, the pedestal 140 '' 'is in the uppermost position or level in the chamber as previously described, although the process position may be at any level within the chamber. As shown, the lift pad 630 is supported on the pedestal 140 " 'as previously described. In addition, the lift pins 557 'are positioned such that the top portion of the lift pins is within the pedestal 140' '', although the top portion may also be positioned anywhere within the pedestal 140 '' '.

리프트 패드 및 페데스탈 구성 (600) 의 로테이션 포지션에서, 일 실시예에서 페데스탈 (140''') 의 하단부는 라인 904로 나타낸 대응하는 챔버 내에서 최상단 레벨에 있다. 리프트 패드 (630) 는 프로세스 로테이션 변위 (1040) (예를 들어, 대략 1 ㎜) 만큼 페데스탈 (140''') 로부터 분리된다. 일 실시예에서, 커플링 메커니즘이 리프트 패드 (630) 가 로테이션 변위 (1040) 만큼 페데스탈 상단 표면으로부터 분리되도록 페데스탈 (140''') 이 이동의 상단부 근방에 있을 때, 패드 샤프트 (560') 를 통해 리프트 패드 (630) 를 상승시킨다. 일 실시예에서, 리프트 패드 (630) 가 로테이션 변위 (1040) 만큼 페데스탈 상단 표면으로부터 분리되도록 페데스탈 (140''') 이 이동의 상단부 근방에 있을 때, 커플링 메커니즘이 리프트 패드 (630) 를 상승시킨다. 예를 들어, 페데스탈 (140''') 이 이동의 상단부에 도달하기 때문에, 페데스탈 (140''') 에 의해 이동된 특정한 거리 "f"에 대해, 리프트 패드 (630) 는 "f"의 인자 (예를 들어, "f"의 2 배) 일 수도 있는 보다 큰 거리만큼 이동한다. 그 후, 리프트 패드 (630) 는 제 1 각도 배향으로부터 제 2 각도 배향 (예를 들어, 페데스탈 (140''') 에 대해) 으로 로테이팅될 수도 있고, 이어서 부가적인 프로세싱 사이클들을 위해 프로세스 포지션으로 리턴하거나, 웨이퍼 전달을 위해 전달 포지션으로 리턴된다. In the lift position of the lift pad and pedestal configuration 600, the lower end of the pedestal 140 '' 'in one embodiment is at the uppermost level within the corresponding chamber indicated by line 904. The lift pad 630 is separated from the pedestal 140 " 'by a process rotation displacement 1040 (e.g., approximately 1 mm). In one embodiment, when the coupling mechanism is in the vicinity of the upper end of the movement so that the pedestal 140 '' 'is positioned such that the lift pad 630 is separated from the pedestal upper surface by the rotation displacement 1040, the pad shaft 560' Thereby raising the lift pad 630. In one embodiment, when the pedestal 140 '' 'is near the upper end of the movement so that the lift pad 630 is separated from the pedestal upper surface by a rotation displacement 1040, the coupling mechanism moves up the lift pad 630 . For example, for a particular distance " f " moved by the pedestal 140 '' ', the lift pad 630 may be moved by the pedestal 140' '' (E.g., twice the " f "). The lift pad 630 may then be rotated from a first angular orientation to a second angular orientation (e.g., relative to the pedestal 140 '' ') and then to a process position for additional processing cycles Or returned to the delivery position for wafer transfer.

도 10d는 본 개시의 일 실시예에 따른, 제 1 프로세스 시퀀스, 로테이션 시퀀스, 및 제 2 프로세스 시퀀스 동안 리프트 패드 (630) 가 웨이퍼보다 작은, 리프트 패드 및 페데스탈 구성 (600) 에서 페데스탈 (140'') 에 대한 또는 리프트 패드 및 페데스탈 구성 (600') 의 페데스탈 (140''') 에 대한 리프트 패드 (630) 의 배향을 예시하는 도면이다. 구체적으로, 도 10d는 리프트 패드 및 페데스탈 구성 (600/600') 이 제 1 수의 프로세싱 사이클들을 위해 프로세스 포지션에 있는 동안, 제 2 수의 프로세싱 사이클들을 위해 로테이션 포지션, 또는 프로세스 포지션에 있는 동안 리프트 패드 (630) 및 페데스탈 (140'')/페데스탈 (140''') 의 상대적인 배향들 (예를 들어, 서로에 대해 그리고/또는 챔버 내 좌표계 (1050) 에 대해) 을 예시한다. 10D illustrates a pedestal 140 '' in a lift pad and pedestal configuration 600 where the lift pad 630 is smaller than the wafer during the first process sequence, the rotation sequence, and the second process sequence, according to one embodiment of the present disclosure. ) Or the lift pad 630 relative to the lift pad and pedestal 140 '' 'of the pedestal configuration 600'. In particular, FIG. 10D illustrates a lift position for a second number of processing cycles while the lift pad and pedestal configuration 600/600 'is in a process position for a first number of processing cycles, (E.g., relative to each other and / or in-chamber coordinate system 1050) of pad 630 and pedestal 140 "/ pedestal 140 '".

도시된 바와 같이, 제 1 수의 프로세싱 사이클들 동안, 리프트 패드 및 페데스탈 구성 (600/600') 은 프로세스 포지션에 있다. 구체적으로, 리프트 패드 (630) 및 페데스탈 (140''/140''') 모두가 챔버의 좌표계 (1050) 에 대해 0 °의 각도 배향을 갖는다. 또한, 리프트 패드 (630) 는 페데스탈 (140''/140''') 에 대해 0 °의 제 1 각도 배향을 갖는다 (즉, 페데스탈 (140''/140''') 이 좌표계를 제공함). As shown, during a first number of processing cycles, the lift pad and pedestal configuration 600/600 'are in the process position. Specifically, both lift pad 630 and pedestal 140 " / 140 " 'have an angular orientation of 0 degrees relative to the coordinate system 1050 of the chamber. In addition, the lift pad 630 has a first angular orientation of 0 degrees relative to the pedestal 140 '' / 140 '' '(i.e., the pedestal 140' '/ 140' '' provides a coordinate system).

이에 더하여, 도 10d는 리프트 패드 및 페데스탈 구성 (600/600') 이 로테이션 포지션에 있을 때 페데스탈 (140''/140''') 에 대해 리프트 패드 (630) 의 로테이션을 예시한다. 구체적으로, 리프트 패드 (630) 가 0 °의 각도 배향으로부터 180 °로 로테이팅하는 동안, 페데스탈 (140''/140''') 은 (예를 들어, 좌표계 (1050) 에 대해) 0 °의 각도 배향으로 고정적으로 유지된다. 즉, 페데스탈들 (140'' 및 140''') 은 로테이팅하지 않는다. 도시된 바와 같이, 리프트 패드 (630) 는 71 °인 각도 배향을 지나는 중이다. In addition, Figure 10D illustrates the rotation of the lift pad 630 relative to the pedestal 140 " / 140 " 'when the lift pad and pedestal configuration 600/600' are in the rotation position. Specifically, while the lift pad 630 is rotating 180 degrees from the 0 degree angular orientation, the pedestal 140 " / 140 " '(e.g., relative to the coordinate system 1050) And is held fixedly in the angular orientation. That is, the pedestals 140 " and 140 " 'do not rotate. As shown, the lift pad 630 is passing through an angular orientation of 71 °.

또한, 제 2 수의 프로세싱 사이클들 동안, 리프트 패드 및 페데스탈 구성 (600/600') 은 다시 프로세스 포지션에 있다. 그러나, 리프트 패드의 로테이션때문에, 페데스탈 (140''/140''') 은 여전히 챔버 내에서 좌표계 (1050) 에 대해 0 °의 각도 배향을 갖고, 그리고 리프트 패드는 180 °의 각도 배향을 갖는다. 바꿔 말하면, 제 1 수의 사이클들로 프로세싱할 때, 리프트 패드 (630) 는 페데스탈 페데스탈 (140''/140''') 과 관련하여 0 °의 각도 배향을 갖고, 제 2 수의 사이클들로 프로세싱할 때, 리프트 패드 (630) 는 로테이션 후에 예를 들어, 페데스탈 페데스탈 (140''/140''') 과 관련하여 180 °의 각도 배향을 갖는다. Further, during a second number of processing cycles, the lift pad and pedestal configuration 600/600 'are again in the process position. However, due to the rotation of the lift pad, the pedestal 140 " / 140 " 'still has an angular orientation of 0 degrees with respect to the coordinate system 1050 within the chamber, and the lift pad has an angular orientation of 180 degrees. In other words, when processing into the first number of cycles, the lift pad 630 has an angular orientation of 0 DEG with respect to the pedestal pedestal 140 " / 140 " 'and the second number of cycles When processing, the lift pad 630 has an angular orientation of 180 degrees relative to the pedestal pedestal 140 " / 140 " 'after rotation, for example.

리프트 패드 상승 메커니즘 Lifting Pad Lift Mechanism

본 개시의 실시예들에 따르면, 도 11 내지 도 17에 개시된 리프트 패드 상승 메커니즘은, 일반적으로 도 1 내지 도 10에서 이전에 도입된 리프트 패드 및 페데스탈 구성에 적용된다. 즉, 개시된 리프트 패드 상승 메커니즘의 다양한 실시예들은 웨이퍼의 직경보다 작은 직경을 갖는 리프트 패드 및/또는 웨이퍼의 직경과 대략 맞도록 사이즈가 정해진 직경을 갖는 리프트 패드를 포함하는 리프트 패드 및 페데스탈 구성의 페데스탈로부터 리프트 패드의 분리를 위해 구현된다. According to embodiments of the present disclosure, the lift pad lift mechanism disclosed in Figs. 11-17 generally applies to lift pads and pedestal configurations previously introduced in Figs. 1-10. That is, various embodiments of the disclosed lift pad lifting mechanism include a lift pad having a diameter smaller than the diameter of the wafer and a lift pad having a lift pad having a diameter sized to fit approximately the diameter of the wafer and a pedestal- As shown in FIG.

도 11a는 본 개시의 일 실시예에 따라 리프트 패드 및 페데스탈 구성 (1100) 을 포함하는 기판 프로세싱 시스템의 사시도이며, 페데스탈 (140-A) 로부터 리프트 패드 (미도시) 를 분리하도록 구성된 쇼트 스트로크 패드 상승 메커니즘 (440-A) 을 예시한다. 리프트 패드 및 페데스탈 구성 (1100) 은 메인 프레임 (1105) 내에 위치하며, 메인 프레임 (1105) 은 (예를 들어, 프로세싱 챔버 내에 고정되는 것 같이) 프로세싱 챔버 내로 배치된다. 페데스탈 (140-A) 의 운동은 메인 프레임에 대해 제공되고, 리프트 패드의 운동은 메인 프레임 (1105) (페데스탈 (140-A) 과 함께 이동한다) 및 페데스탈 (140-A) (페데스탈 (140-a) 로부터 분리된다) 모두에 대하여 제공된다. 페데스탈 (1140-A) 로부터의 리프트 패드 분리는 페데스탈 (140-A) 에 대해 리프트 패드 (및 그 위에 배치된 웨이퍼) 를 로테이팅 시키기 위한 목적으로 가능하게 될 수도 있다. 리프트 패드 분리는 웨이퍼 전달 (예를 들어, 리프트 패드로부터의 웨이퍼 배치 또는 제거) 을 위한 엔드-이펙터에 의한 액세스를 허용하기 위해 또한 가능해질 수도 있다. 11A is a perspective view of a substrate processing system including a lift pad and pedestal configuration 1100 in accordance with one embodiment of the present disclosure and includes a short stroke pad elevation (not shown) configured to separate a lift pad (not shown) from a pedestal 140- Mechanism 440-A is illustrated. The lift pad and pedestal configuration 1100 is located within the mainframe 1105 and the mainframe 1105 is positioned into the processing chamber (e.g., as is fixed within the processing chamber). The movement of the pedestal 140-A is provided for the mainframe and the movement of the lift pad is transferred to the mainframe 1105 (with the pedestal 140-A) and the pedestal 140- a), respectively). The lift pad separation from the pedestal 1140-A may be enabled for the purpose of rotating the lift pad (and the wafer disposed thereon) against the pedestal 140-A. The lift pad separation may also be enabled to allow access by the end-effector for wafer transfer (e.g., wafer placement or removal from the lift pad).

쇼트 스트로크 패드 상승 메커니즘 (440-A) 을 포함하는 리프트 패드 및 페데스탈 구성 (1100) 은 실시예들에서 도 4, 도 5a 내지 5c, 도 9a 내지 도 9c에서 도시된 리프트 패드 및 페데스탈 구성들과 같이, 웨이퍼와 실질적으로 유사한 크기를 (예를 들어, 리프트 패드 및 웨이퍼에 대해 실질적으로 유사한 직경 크기들 같은) 갖는 리프트 패드를 지지하도록 구성가능하다. 또한, 쇼트 스트로크 패드 상승 메커니즘 (440-A) 를 포함하는 리프트 패드 및 페데스탈 구성 (1100) 은 본 개시의 실시예들에 따라, 도 6 및 도 7a 내지 도 7f, 그리고 도 10a 내지 도 10d에서 도시된 리프트 패드 및 페데스탈 구성들과 같이, (예를 들어 리프트 패드의 직경이 웨이퍼의 직경보다 작은) 웨이퍼보다 작은 리프트 패드를 지지하도록 구성가능하다. 일부 실시예에서, 리프트 패드 및 페데스탈 구성 (1100) 은 캐리어 링 어셈블리 (미도시) 와의 통합을 허용한다. 또 다른 실시예들에서, 리프트 패드 및 페데스탈 구성 (1100) 은 단일-스테이션 및/또는 멀티-스테이션 프로세싱 툴 내에 구현될 수도 있다. The lift pad and pedestal configuration 1100, including the short stroke pad lift mechanism 440-A, may be used in embodiments such as the lift pad and pedestal configurations shown in Figures 4, 5A-5C, 9A-9C, , And a lift pad having a size substantially similar to that of the wafer (e.g., substantially similar in diameter dimensions to the lift pad and wafer). In addition, the lift pad and pedestal configuration 1100, including the short stroke pad lift mechanism 440-A, is shown in Figures 6 and 7A-7F and 10A-10D in accordance with embodiments of the present disclosure. (E.g., the diameter of the lift pad is smaller than the diameter of the wafer), such as a raised lift pad and pedestal configurations. In some embodiments, the lift pad and pedestal configuration 1100 allows integration with a carrier ring assembly (not shown). In yet other embodiments, the lift pad and pedestal configuration 1100 may be implemented within a single-station and / or multi-station processing tool.

리프트 패드 및 페데스탈 구성 (1100) 의 페데스탈 (140-A) 은 페데스탈 (140-A) 의 운동이 도 7b 및 도 7c의 페데스탈 및 리프트 패드 액추에이터 (515') 및/또는 도 5b 의 페데스탈 및 리프트 패드 액추에이터 (515) 를 통해 구현되도록, 도 4 및 도 6의 페데스탈 제어부 (450) 에 의해 제어될 수도 있다. 특히, 중심 샤프트 (510-A) 는 메인 프레임 (1105) 에 대한 페데스탈 브래킷의 운동이 페데스탈 (140-A) 의 운동으로 전환되도록, 페데스탈 (140-A) 및 페데스탈 브래킷 (1101) 에 상호 연결된다. 예를 들어, 페데스탈 제어부 (450) 는 프리-프로세싱, 프로세싱, 그리고 포스트-프로세싱 시퀀스들 동안 중심 샤프트 (510-A) 를 통해 (예를 들어, 도 14c에 도시 된 중심 축 (471-A) 의 위아래로) 페데스탈 (140-A) 의 운동을 유도하기 위해 페데스탈 브래킷의 운동을 제어한다. 특히, Z-모터 (445-A) 는 볼 스크루의 로테이션은 중심 축 (471-A) 에 평행한 캐리어의 (예를 들어, z- 방향의) 운동으로 전환되도록, (예를 들어, 도 4의 볼 스크루 (443)와 같은) 볼 스크루 너트를 통해 슬라이드/캐리어 (미도시) 에 상호연결된, 볼 스크루 (미도시) 를 구동하도록 구성된다. Z-모터 (445-A) 및 볼 스크루 (및 다른 필연적인 (corollary) 컴포넌트들) 은, 캐리어의 운동이 메인 프레임 (1105) 에 대하여 이루어지도록, 메인 프레임 (1105) 에 대해 고정된 상태로 유지된다. 또한, 페데스탈 브래킷 (1101) 은, 캐리어의 운동이 페데스탈 브래킷 (1101) 의 운동으로 전환되도록, 캐리어에 상호연결된다. 벨로우즈 (420-A) 는 페데스탈 (140-A) 의 운동을 용이하게 한다. The pedestal 140-A of the lift pad and pedestal configuration 1100 is configured such that the movement of the pedestal 140-A is controlled by the pedestal and lift pad actuators 515 'of FIGS. 7b and 7c and / And may be controlled by the pedestal control unit 450 of FIGS. 4 and 6 so as to be realized through the actuator 515. FIG. In particular, the center shaft 510-A is interconnected to the pedestal 140-A and the pedestal bracket 1101 such that movement of the pedestal bracket relative to the mainframe 1105 translates into movement of the pedestal 140- . For example, the pedestal control unit 450 may control the pedestal control unit 450 through the central shaft 510-A during pre-processing, processing, and post-processing sequences (e. G., Center axis 471- Up and down) to control the movement of the pedestal bracket to induce movement of the pedestal 140-A. In particular, the Z-motor 445-A is rotated such that the rotation of the ball screw is converted to a motion (e.g., in the z-direction) of the carrier parallel to the central axis 471- (Not shown) interconnected to the slide / carrier (not shown) through a ball screw nut (such as a ball screw 443 of a ball screw). The Z-motor 445-A and the ball screw (and other corollary components) are held stationary relative to the main frame 1105 such that movement of the carrier is with respect to the main frame 1105 do. The pedestal brackets 1101 are also interconnected to the carriers so that movement of the carriers is converted into movement of the pedestal brackets 1101. The bellows 420-A facilitates movement of the pedestal 140-A.

리프트 패드 및 페데스탈 구성 (1100) 의 리프트 패드는 리프트 패드의 운동이 도 7b 및 도 7c 의 페데스탈 및 리프트 패드 액추에이터 (515') 및/또는 도 5b 의 페데스탈 및 리프트 패드 액추에이터 (515) 를 통해 구현되도록, 도 4 및 도 6 의 리프트 패드 제어부 (455) 에 의해 제어될 수도 있다. 특히, 리프트 패드 제어부 (455) 는 리프트 패드 내의 운동을 유도하기 위하여 리프트 패드 샤프트 (560-A) 의 운동을 제어한다. 특히, 패드 샤프트 (560-A) 는 도 13c에서 도시 된 바와 같이, 중심 축 (471-A) 을 따라 리프트 패드로부터 연장된다. 예를 들어, 패드 샤프트 (560-A) 는 쇼트 스트로크 리프트 패드 상승 메커니즘 (440-A) 를 통해 페데스탈 브래킷에 상호 연결되는 페로시일 어셈블리 (425-A) 에 상호연결된다. 상승 메커니즘 (440-A) 은 도 4에서 처음으로 도입되었고, 페데스탈 (140-A) 에 대해 리프트 패드의 운동을 제공하도록 구성된 쇼트 스트로크 결합 메커니즘 (440) 으로서 도 7a에서 더 도시된다. 페로시일 어셈블리 (425-A) 는 쇼트 스트로크 패드 리프트 메커니즘 (440-A) 을 통해 페데스탈 브래킷 (1101) 에 이동가능하게 부착된다. 이와 같이, 페데스탈 브래킷 (1101) 의 운동은 전술한 바와 같이 조합된 페데스탈 (140-A) 및 리프트 패드의 운동으로 전환된다. 특히, 쇼트 스트로크 리프트 패드 상승 메커니즘 (440-A) 과 인게이지하지 않은 페데스탈 브래킷 (1101) 의 운동은 리프트 패드와 페데스탈 (140-A) 사이에서 분리가 발생하지 않도록, 페데스탈 (140-A) 및 리프트 패드의 운동을 제공한다. 리프트 패드 상승 메커니즘 (440-A) 이 인게이지할 때, 분리를 유도하기 위해 페데스탈 (140-A) 에 대해 리프트 패드에 의한 추가적인 운동이 이루어진다. 페로시일 어셈블리 (425-A) 는 패드 샤프트를 통해 리프트 패드의 운동을 용이하게 하도록 구성된 쇼트 스트로크 벨로우즈를 포함한다. 페로시일 어셈블리 (425-A) 는 패드 샤프트 (560-A) 가 로테이팅하는 동안 그리고 패드 샤프트 (560-A) 가 로테이팅하지 않는 동안 패드 샤프트 주변에 진공 시일 (vacuum seal) 을 제공하도록 구성된다. The lift pad of the lift pad and pedestal configuration 1100 may be configured such that the movement of the lift pad is achieved through the pedestal and lift pad actuator 515 'of Figures 7b and 7c and / or the pedestal and lift pad actuator 515 of Figure 5b. , And the lift pad control unit 455 of Figs. 4 and 6. In particular, the lift pad control 455 controls the movement of the lift pad shaft 560-A to induce movement within the lift pad. In particular, the pad shaft 560-A extends from the lift pad along the central axis 471-A, as shown in Fig. 13C. For example, the pad shaft 560-A is interconnected to a ferro-seal assembly 425-A interconnected to the pedestal bracket via a short stroke lift pad lift mechanism 440-A. The lift mechanism 440-A was first introduced in FIG. 4 and is further illustrated in FIG. 7A as a short stroke engagement mechanism 440 configured to provide movement of the lift pad relative to the pedestal 140-A. The ferro-seal assembly 425-A is movably attached to the pedestal bracket 1101 through the short stroke pad lift mechanism 440-A. Thus, the movement of the pedestal bracket 1101 is switched to the movement of the pedestal 140-A and the lift pad combined as described above. Particularly, the movement of pedestal bracket 1101, which is not in engagement with short stroke lift pad elevation mechanism 440-A, is controlled by pedestal 140-A and pedestal 140-A so that separation does not occur between the lift pad and pedestal 140- Provide movement of the lift pad. When the lift pad lifting mechanism 440-A is lifted, additional movement by the lift pad to the pedestal 140-A is performed to induce separation. The ferro-seal assembly 425-A includes a short-stroke bellows configured to facilitate movement of the lift pad through the pad shaft. The ferro-seal assembly 425-A is configured to provide a vacuum seal around the pad shaft while the pad shaft 560-A rotates and while the pad shaft 560-A does not rotate .

또한, 페로시일 어셈블리 (425-A) 는 내부에 수용된 리프트 패드 샤프트 (560-A) 의 진공 환경에서의 로테이션을 용이하게 한다. 예를 들어, 페로시일 어셈블리 (425-A) 는 리프트 패드 샤프트 (560-A) 의 로테이션을 위해, 그리고 이에 대응하여 페데스탈 (140-A) 에 대한 리프트 패드의 로테이션을 위해 구성된 벨트-풀리 장치 내의 로테이션/세타 (rotation/theta) 모터 (427-A) 를 포함한다. 전기적 슬립 링 (1125) 은 로테이션을 위해 구성된 리프트 패드 샤프트 (560-A) 를 통해 전력 및/또는 전기 신호들의 전달을 제공하도록 구성된다. In addition, the ferro-seal assembly 425-A facilitates rotation of the lift pad shaft 560-A received therein in a vacuum environment. For example, the ferro-seal assembly 425-A may be configured for rotation of the lift pad shaft 560-A and correspondingly for rotation of the lift pad relative to the pedestal 140- And a rotation / theta motor 427-A. The electrical slip ring 1125 is configured to provide transmission of electrical and / or electrical signals through a lift pad shaft 560-A configured for rotation.

또한, 리프트 패드 및 페데스탈 구성 (1100) 은 (고온 베어링 (755) 으로서 도 7d에서 처음 도입되고, 도 16 및 도 17과 관련하여 보다 충분히 논의되는) 상부 베어링 어셈블리 (755-A) 및 하부 베어링 어셈블리 (1120) 를 포함한다. 상부 베어링 어셈블리 (755-A) 및 하부 베어링 어셈블리 (1120) 는 중심 샤프트 (510-A) 내에서 리프트 패드 샤프트 (560-A) 를 센터링하도록 구성된다. The lift pad and pedestal configuration 1100 also includes an upper bearing assembly 755-A and a lower bearing assembly 758 (which were first introduced in FIG. 7D as a high temperature bearing 755 and discussed more fully in connection with FIGS. 16 and 17) (1120). The upper bearing assembly 755-A and the lower bearing assembly 1120 are configured to center the lift pad shaft 560-A within the center shaft 510-A.

도 11b는 본 개시의 일 실시예에 따른 리프트 패드 및 페데스탈 구성 (1100) 을 포함하는 도 11a의 기판 프로세싱 시스템의 사시도로서, 더 나아가 쇼트 스트로크 패드 상승 메커니즘 (440-A) 의 컴포넌트들을 예시한다. 특히, 패드 상승 메커니즘 (440-A) 은 모두 메인 프레임 (1105) 에 대해 고정된 상부 하드 정지부 (1210) 및 하부 하드 정지부 (1211) 를 포함한다. 브래킷 롤러들 (1221, 1222) 은 볼 스크루 (미도시) 와 상호연결된 슬라이드/캐리지 (미도시) 의 Z-방향으로의 운동이 대응하는 브래킷 롤러들 (1221, 1222) 의 Z-방향으로의 운동으로 전환되도록 페데스탈 브래킷에 대해 고정된다. 쇼트 스트로크 패드 상승 메커니즘 (440-A) 의 운동은 도 11c 및 도 12 내지 도 13에 관하여 보다 충분히 기술된다. FIG. 11B is a perspective view of the substrate processing system of FIG. 11A including a lift pad and pedestal configuration 1100 according to one embodiment of the present disclosure, further illustrating the components of the short stroke pad lift mechanism 440-A. In particular, the pad lifting mechanism 440-A includes an upper hard stop portion 1210 and a lower hard stop portion 1211 both fixed to the main frame 1105. The bracket rollers 1221 and 1222 move in the Z-direction of the slide / carriage (not shown) interconnected with the ball screw (not shown) by movement in the Z-direction of the corresponding bracket rollers 1221 and 1222 To the pedestal bracket. The movement of the short stroke pad lift mechanism 440-A is more fully described with respect to Figures 11c and 12-13.

중립적 위치에 놓여있지 않을 때의 쇼트 스트로크 패드 상승 메커니즘 (440-A) 은 리프트 패드 및 페데스탈 (140-A) 사이의 분리가 일어나지 않도록 리프트 패드 및 페데스탈 (140-A) 의 동시적 (simultaneous) 운동을 제공하도록 구성된다. 중립적 위치에서, (예를 들면, 레버 (1225) 를 사용하여) 상부 하드 정지부 (1210) 및 하부 하드 정지부 (1221) 는 인게이지하지 않고, 레버 (1225) 는 브래킷 롤러들 (1221, 1222) 사이에서 느슨하게 구속된다. The short stroke pad lift mechanism 440-A when not in the neutral position causes simultaneous movement of the lift pad and pedestal 140-A to prevent separation between the lift pad and the pedestal 140- . In the neutral position, the upper hard stop 1210 and the lower hard stop 1221 are not engaged, and the lever 1225 is rotated by the bracket rollers 1221, 1222 (for example, by using the lever 1225) ). ≪ / RTI >

한편, 리프트 패드 상승 메커니즘 (440-A) 이 인게이지할 때, 리프트 패드 및 페데스탈 (140-A) 사이의 분리를 유도하도록 리프트 패드에 의해 페데스탈 (140-A) 에 대한 추가적인 운동이 이루어진다. 특히, 레버 (1225) 는 페데스탈 (140-A) 에 대한 리프트 패드의 운동을 유도하도록 상부 하드 정지부 (1210) 와 인게이지하며, 이에 따라 페데스탈 (140-A) 에 대하여 리프트 패드의 로테이션을 제공한다. 또한, 레버 (1225) 는 웨이퍼 전달을 위한 목적으로 엔드-이펙터의 출입을 허용하도록, 페데스탈 (140-A) 에 대한 리프트 패드의 운동을 유도하도록, 하부 하드 정지부 (1211) 와 인게이지한다. 또한, 피버팅 요크 (pivoting yoke) (1240) 은 리프트 패드 상승 메커니즘 (440-A) 의 작동으로 인한 패드 샤프트 (560-A) 의 상부 베어링들 및 하부 베어링들 상에 가해진 (placed) 모먼트를 오프셋 및/또는 상쇄하도록 구성된다. 특히, 리프트 패드 상승 메커니즘 (440-A) 은 컴포넌트들 각각의 수명을 최대화하는 방식으로 리프트 패드를 페데스탈 (140-A) 에 대해 반복적으로 분리하도록 구성된다. 예를 들어, 임의의 모먼트 오프셋 또는 상쇄없이, 패드 샤프트 (560-A) 상의 베어링 어셈블리들 (예를 들어, 고온 베어링 (755-B)) 은 조기에 고장날 것이다. 이와 같이, 리프트 패드 상승 메커니즘 (440-A) 내에 구현되는 다양한 요크 어셈블리들은 마모를 최소화하기 위한 리프트 패드의 상승으로 인해 패드 샤프트 (560-A) 의 베어링에 가해진 모먼트를 오프셋 및/또는 상쇄하도록 구성되어 있다. On the other hand, when the lift pad lifting mechanism 440-A is lifted, additional movement to the pedestal 140-A is made by the lift pad to induce separation between the lift pad and the pedestal 140-A. In particular, the lever 1225 engages the upper hard stop 1210 to induce movement of the lift pad relative to the pedestal 140-A, thereby providing rotation of the lift pad relative to the pedestal 140- do. In addition, the lever 1225 engages the lower hard stop 1211 to induce movement of the lift pad relative to the pedestal 140-A to allow entry and exit of the end-effector for wafer transfer purposes. In addition, the pivoting yoke 1240 also includes upper and lower bearings of the pad shaft 560-A due to actuation of the lift pad lift mechanism 440-A, Offset and / or offset. In particular, the lift pad lift mechanism 440-A is configured to repeatedly separate the lift pads relative to the pedestal 140-A in a manner that maximizes the life of each of the components. For example, without any moment offset or offset, the bearing assemblies (e.g., high temperature bearing 755-B) on pad shaft 560-A will fail prematurely. As such, the various yoke assemblies implemented within the lift pad lift mechanism 440-A may be configured to offset and / or offset the applied moment on the bearing of the pad shaft 560-A due to the lift of the lift pad to minimize wear Consists of.

도 12a는 본 개시의 일 실시예에 따른 도 11a 내지 도 11b 리프트 패드 및 페데스탈 구성 (1100) 을 포함하는 기판 프로세싱 시스템의 쇼트 스트로크 리프트 패드 상승 메커니즘 (440A) 의 사시도이다. 쇼트 스트로크 패드 상승 메커니즘 (440-A) 을 포함하는 리프트 패드 및 페데스탈 구성 (1100) 은 웨이퍼와 실질적으로 (예를 들어, 직경과 같은) 크기가 유사한 리프트 패드를 지지하도록, 또는 웨이퍼보다 (예를 들어, 직경과 같은) 크기가 보다 작은 리프트 패드를 지지하도록 구성가능하다.12A is a perspective view of a short stroke lift pad lift mechanism 440A of a substrate processing system including a lift pad and pedestal configuration 1100 in accordance with one embodiment of the present disclosure. The lift pad and pedestal configuration 1100 including the short stroke pad lift mechanism 440-A may be configured to support a lift pad that is substantially similar in size (e.g., in diameter) to the wafer, For example, a diameter, such as a < / RTI >

일 실시예에서, 도 12a에 도시된 리프트 패드 상승 메커니즘 (440A) 은 상부 하드 정지부 (1210) 와의 인게이지먼트를 통해 페데스탈 (140-A) 에 대한 로테이션을 위해 리프트 패드를 상승시키도록, 그리고 하부 하드 정지부 (1211) 와의 인게이지먼트를 통해 페데스탈 (140-A) 에 대한 엔드-이펙터의 진입을 위해 리프트 패드를 상승시키도록 구성된다. 다른 실시예들에서, 리프트 패드 및 페데스탈 구성 (1100) 은 쇼트 스트로크 리프트 패드 상승 메커니즘 (440A) 에 의해 제공되는 리프트 패드 상승 동작들 중 하나를 제공하도록 변형될 수도 있다. 예를 들어, 리프트 패드 상승 메커니즘 (440A) 은 페데스탈 (140-A) 에 대한 로테이션을 위해 리프트 패드를 상승시키도록 상부 하드 정지부 (1210) 만을 포함하도록 변형될 수도 있다. 이 경우, 리프트 핀 어셈블리는 엔드-이펙터의 진입을 가능하게 하도록 리프트 패드 및 페데스탈 구성 (1100) 내에 구성될 수 있다. In one embodiment, the lift pad lift mechanism 440A shown in FIG. 12A is configured to lift the lift pad for rotation about the pedestal 140-A via engagement with the upper hard stop 1210, and And to lift the lift pad for entry of the end-effector to the pedestal 140-A via the engagement with the lower hard stop 1211. In other embodiments, the lift pad and pedestal configuration 1100 may be modified to provide one of the lift pad lift operations provided by the short stroke lift pad lift mechanism 440A. For example, the lift pad lift mechanism 440A may be modified to include only the upper hard stop 1210 to raise the lift pad for rotation against the pedestal 140-A. In this case, the lift pin assembly may be configured within the lift pad and pedestal configuration 1100 to enable entry of the end-effector.

도 12a에서 도시한 바대로, 리프트 패드 및 페데스탈 구성 (1100) 은 메인 프레임 (1105) 내에 위치하며, 메인 프레임 (1105) 은 (예를 들어, 프로세싱 챔버 내에 고정되는 것 같이) 프로세싱 챔버 내로 배치된다. 상부 하드 정지부 (1210) 및 하부 하드 정지부 (1211) 는 메인 프레임 (1105) 에 대해 고정된다. 예를 들어, 상부 하드 정지부 (1210) 는 메인 프레임 (1105) 에 직접 접합 (affix) 되거나, 그 사이의 하나 이상의 컴포넌트를 통해 접합될 수 있다. 특히, 메인 프레임 연장부 (1106) 는 메인 프레임 (1105) 에 부착되고, 상부 하드 정지부 (1210) 및 하부 하드 정지부 (1211) 는 모두 메인 프레임 연장부 (1106) 에 부착된다. 이 방식으로, 상부 하드 정지부 (1210) 및 하부 하드 정지부 (1211) 는 메인 프레임 (1105) 에 대해 이동하지 않는다. As shown in Figure 12a, the lift pad and pedestal configuration 1100 is located within the mainframe 1105 and the mainframe 1105 is positioned into the processing chamber (e.g., as is fixed within the processing chamber) . The upper hard stop portion 1210 and the lower hard stop portion 1211 are fixed with respect to the main frame 1105. For example, the upper hard stop 1210 may be affixed directly to the main frame 1105, or may be joined through one or more components therebetween. In particular, the mainframe extension 1106 is attached to the mainframe 1105, and both the upper hard stop 1210 and the lower hard stop 1211 are attached to the mainframe extension 1106. In this way, the upper hard stop portion 1210 and the lower hard stop portion 1211 do not move with respect to the main frame 1105.

리프트 패드 및 페데스탈 구성 (1100) 은 전술한 바와 같이 슬라이드/캐리지 및 볼 스크루/Z-모터 (445-A) 장치를 통해 메인 프레임 (1105) 에 이동가능하게 상호연결되는 페데스탈 브래킷 (1101) 을 포함한다. 예를 들어, 페데스탈 브래킷 (1101) 은 (예를 들어, 벨로우즈 (420-A) 를 통해) 페데스탈 (140-A) 의 중심 샤프트 (510-A) 에 부착되고, 볼 스크루/Z-모터 (445-A) 의 작동에 의해 유도된 페데스탈 브래킷 (1101) 의 임의의 운동은 페데스탈 (140-A) 내의 운동으로 전환된다. 또한, 슬라이드 (1235) 는 페데스탈 브래킷 (1101) 에 대해 고정된다. 이러한 방식으로, 슬라이드 (1235) 는 동일한 직선 상의 Z-방향으로 페데스탈 브래킷 (1101) 과 함께 이동한다. The lift pad and pedestal configuration 1100 includes a pedestal bracket 1101 that is movably interconnected to the mainframe 1105 through a slide / carriage and ball screw / Z-motor (445-A) do. For example, pedestal bracket 1101 is attached to central shaft 510-A of pedestal 140-A (e.g., via bellows 420-A), and ball screw / Z-motor 445 -A) is converted into motion within the pedestal 140-A. In addition, the slide 1235 is fixed relative to the pedestal bracket 1101. In this way, the slide 1235 moves with the pedestal bracket 1101 in the same straight line Z-direction.

페데스탈 브래킷 연장부들 (1231/1232) 은 페데스탈 브래킷 (1101) 에 대해 고정된다. 예를 들어, 페데스탈 브래킷 연장부들 (1231/1232) 은 페데스탈 브래킷 (1101) 에 직접 부착될 수도 있다. 또한, 브래킷 롤러 (1221) 는 페데스탈 브래킷 연장부 (1231) 에 부착된다. 또한, 브래킷 롤러 (1222) 는 페데스탈 브래킷 연장부 (1232) 에 부착된다. 이러한 방식으로, 브래킷 롤러들 (1221/1222) 은 동일한 직선 상의 Z-방향으로 페데스탈 브래킷 (1101) 과 함께 이동한다. The pedestal bracket extensions 1231/1232 are fixed relative to the pedestal bracket 1101. For example, the pedestal bracket extensions 1231/1232 may be attached directly to the pedestal bracket 1101. [ Further, the bracket roller 1221 is attached to the pedestal bracket extension 1231. In addition, the bracket roller 1222 is attached to the pedestal bracket extension 1232. In this manner, the bracket rollers 1221/1222 move with the pedestal bracket 1101 in the same straight line Z-direction.

리프트 패드 및 페데스탈 구성 (1100) 은 슬라이드 (1235) 에 이동가능하게 부착된 리프트 패드 브래킷 (1230) 을 포함한다. 슬라이드 (1235) 가 페데스탈 브래킷 (1101) 에 대해 고정되어 있으므로, 페데스탈 브래킷 (1101) 에서의 임의의 운동은 직선 상의 Z-방향으로 슬라이드 (1235) 의 동일한 운동으로 전환된다. 또한, 리프트 패드 브래킷 (1230) 이 슬라이드 (1235) 에 이동가능하게 부착되기 때문에, 리프트 패드 브래킷 (1230) 은 (예를 들어, 페데스탈 (140-A) 로부터 리프트 패드의 분리를 유도하기 위해) 페데스탈 브래킷 (1101) 에 대한 추가적인 운동을 가질 수 있다. 페데스탈 브래킷 (1101), 슬라이드 (1235), 및 리프트 패드 브래킷 (1230) 사이의 인터페이스는 도 13에서 보다 충분히 기술된다. The lift pad and pedestal configuration 1100 includes a lift pad bracket 1230 movably attached to the slide 1235. Since the slide 1235 is fixed with respect to the pedestal bracket 1101, any movement in the pedestal bracket 1101 is converted to the same movement of the slide 1235 in the linear Z-direction. In addition, since the lift pad bracket 1230 is movably attached to the slide 1235, the lift pad bracket 1230 can be used to lift the pedestal 140-A (for example, to induce removal of the lift pad from the pedestal 140- And may have additional motion on the bracket 1101. The interface between the pedestal bracket 1101, the slide 1235, and the lift pad bracket 1230 is described more fully in FIG.

리프트 패드 및 페데스탈 구성 (1100) 은 리프트 패드 브래킷 (1230) 에 로테이션 가능하게 부착되는 요크 (1240) 를 포함한다. 요크 (1240) 는 쇼트 스트로크 리프트 패드 상승 메커니즘 (440-A) 이 인게이지한 경우 (예를 들어, 레버 (1225) 가 상부 하드 정지부 (1210) 또는 하부 하드 정지부 (1211) 와 인게이지할 때) 롤러들 (1255/1256) 을 통해 페로시일 어셈블리 (425-A) 와 인터페이싱 한다. 도 4 내지 도 6 에서 처음 도입된 페로시일 어셈블리 (425-A) 는 페로시일 어셈블리 (425-A) 의 마주보는 측들에 위치된 커넥터 암들 (1251/1252) 을 포함한다. 롤러들 (1255/1256) 이 페로시일 어셈블리 (425-A) 의 마주보는 측들에 위치되도록, 롤러 (1255) 는 커넥터 암 (1251) 의 일단에 부착되고, 롤러 (1256) 는 커넥터 암 (1252) 의 일 단에 부착된다. 요크 (1240) 는 페데스탈 (140-A) 로부터 리프트 패드를 분리하도록 패드 상승 메커니즘 (440-A) 이 작동될 때, 패드 샤프트 (560-A) 에 가해진 모먼트를 오프셋 및/또는 상쇄하도록 구성될 수 있다. 요크 및 페로시일 어셈블리 (425-A) 사이의 인터페이스는 도 13에서 보다 충분히 기술된다. The lift pad and pedestal configuration 1100 includes a yoke 1240 that is rotatably attached to the lift pad bracket 1230. The yoke 1240 may be engaged with the upper hard stop 1210 or the lower hard stop 1211 to prevent the short stroke lift pad lift mechanism 440-A from engaging (e.g., And interfacing with the ferro-seal assembly 425-A via the rollers 1255/1256. The ferrous seal assembly 425-A first introduced in Figures 4-6 includes connector arms 1251/1252 located on opposite sides of the ferro-seal assembly 425-A. The roller 1255 is attached to one end of the connector arm 1251 and the roller 1256 is attached to the connector arm 1252 so that the rollers 1255/1256 are positioned on opposite sides of the ferrosil assembly 425- As shown in FIG. The yoke 1240 is configured to offset and / or offset the moment applied to the pad shaft 560-A when the pad lift mechanism 440-A is actuated to disengage the lift pad from the pedestal 140-A . The interface between the yoke and the ferro-seal assembly 425-A is more fully described in Fig.

리프트 패드 및 페데스탈 구성 (1100) 은 핀 (1226) 을 통해 리프트 패드 브래킷 (1230) 에 로테이션 가능하게 부착되는 레버 (1225) 를 포함한다. 이와 같이, 핀 (1226) 의 임의의 운동은 페데스탈 브래킷 (1101) 및 페데스탈 (140-A) 에 대한 페로시일 어셈블리 (425-A) 의 유사한 운동으로 전환된다. 예를 들어, 핀 (1226) 의 운동은 상부 하드 정지부 (1210) 또는 하부 하드 정지부 (1211) 중 하나와 레버 (1225) 사이의 인게이지먼트를 통해 유도된다. 이에 대응하여, 핀 (1226) 의 임의의 운동은 페데스탈 (140-A) 에 대한 패드 샤프트 (560-A) 및 부착된 리프트 패드의 유사한 운동으로 전환된다. 핀 (1226), 레버 (1225), 리프트 패드 브래킷 (1230), 페로시일 챔버 어셈블리 (425-A), 패드 샤프트 (560-A) 사이의 인터페이스는 도 13 및 도 14a 내지 도 14d에서 보다 충분히 기술될 것이다. The lift pad and pedestal configuration 1100 includes a lever 1225 that is rotatably attached to the lift pad bracket 1230 via a pin 1226. The lift pad 1230 includes a lever 1225, As such, any movement of the pin 1226 is converted to a similar movement of the pedestal bracket 1101 and the ferro-seal assembly 425-A to the pedestal 140-A. For example, movement of pin 1226 is guided through engagement between one of upper hard stop 1210 or lower hard stop 1211 and lever 1225. Correspondingly, any movement of the pin 1226 is converted to a similar movement of the pad shaft 560-A to the pedestal 140-A and the attached lift pad. The interface between the pin 1226, the lever 1225, the lift pad bracket 1230, the ferro-seal chamber assembly 425-A, and the pad shaft 560-A is more fully described in Figures 13 and 14A- Will be.

도 12b는 본 개시의 일 실시예에 따른, 도 11a 내지 도 11b 및 도 12a의 리프트 패드 및 페데스탈 구성 (1100) 의 쇼트 스트로크 패드 상승 메커니즘 (440-A) 의 모션 시퀀스를 예시하는 도면이다. 일 실시예에 따르면, 쇼트 스트로크 패드 상승 메커니즘 (440-A) 은 페데스탈 (140-A) 에 대해 리프트 패드의 로테이션을 허용하기 위해 리프트 패드가 리프팅될 수도 있도록, 리프트 패드의 직경이 웨이퍼의 직경보다 작은 리프트 패드 및 페데스탈 구성 (1100) 에 구현될 수도 있고, 또 웨이퍼 전달을 위한 목적으로 엔드-이펙터의 진입을 허용하도록 리프트 패드의 리프팅을 제공할 수도 있다. 또 다른 실시예에서는, 쇼트 스트로크 패드 상승 메커니즘 (440-A) 은 페데스탈 (140-A) 에 대해 리프트 패드의 로테이션을 허용하기 위해 리프트 패드가 리프팅될 수도 있도록, 리프트 패드의 직경이 웨이퍼의 직경과 대략 같은 사이즈로 정해진 리프트 패드 및 페데스탈 구성 (1100) 내에 구현될 수도 있다. 이 경우, 웨이퍼 전달은 리프트 핀 어셈블리를 통해 달성될 수도 있다. FIG. 12B is a diagram illustrating a motion sequence of the short stroke pad lift mechanism 440-A of the lift pad and pedestal configuration 1100 of FIGS. 11A-11B and 12A, according to one embodiment of the present disclosure. According to one embodiment, the short stroke pad elevation mechanism 440-A may be configured such that the diameter of the lift pad is less than the diameter of the wafer so that the lift pad may be lifted to allow rotation of the lift pad relative to the pedestal 140- May be implemented in a small lift pad and pedestal configuration 1100 and may also provide lifting of the lift pads to allow entry of the end-effector for wafer transfer purposes. In yet another embodiment, the short stroke pad lift mechanism 440-A may be configured such that the diameter of the lift pad is greater than the diameter of the wafer, such that the lift pad may be lifted to allow rotation of the lift pad relative to the pedestal 140- And may be implemented within a lift pad and pedestal configuration 1100, which is approximately the same size. In this case, wafer transfer may be accomplished through the lift pin assembly.

리프트 패드 및 페데스탈 구성 (1100) 은 쇼트 스트로크 리프트 패드 상승 메커니즘 (440-A) 이 중립적 위치에 위치한, 상태 (1203) 로 도시된다. 쇼트 스트로크 리프트 패드 상승 메커니즘 (440-A) 이 중립적 위치 내에서 인게이지되지 않을 때, 그리고 리프트 패드 및 페데스탈 (140-A) 의 동시적 운동을 제공하도록 구성되고, 리프트 패드는 리프트 패드 및 페데스탈 (140-A) 사이 분리가 일어나지 않도록 (예를 들어, 챔버가 대기압에 있을 때 약 15 파운드이고 프로세싱 동안 약 1 파운드인) 페데스탈 참조 힘 (pedestal referencing force) 으로 페데스탈 (140-A) 상에 받쳐진다. 예를 들어, 페데스탈 참조 힘은, 패드 상승 메커니즘 (440-A) 이 중립적인 위치에 있을 때 리프트 패드가 꾸준히 (constantly) 페데스탈을 참조하도록, 부분적으로는 (예를 들어, 스프링 정수들을 통해 가해지는 힘 같이) 스프링들, 및 페로시일 어셈블리 (425-A), 및 패드 샤프트 (560-A) 의 무게를 통해 인가된다. 패드 샤프트 (560-A) 로부터 세타 모터 (427-A) 가 오프셋되기 때문에, 스프링들 (1411) 은 패드 샤프트 (560-a) 상에서 작동하는 세타 모터 (427-A) 가 유도한 모든 모먼트를 오프셋 및/또는 보상하기 위해 사용된다. The lift pad and pedestal configuration 1100 is shown in a state 1203 in which the short stroke lift pad lift mechanism 440-A is located in the neutral position. The lift pad is configured to provide simultaneous movement of the lift pad and the pedestal 140-A when the short stroke lift pad lift mechanism 440-A is not supported within the neutral position, (E.g., about 15 pounds when the chamber is at atmospheric pressure and about 1 pound during processing) so that no separation between the pedestal 140-A and the pedestal 140-A occurs . For example, the pedestal reference force may be determined in part (e.g., via the spring constants) such that the lift pad constantly references the pedestal when the pad lift mechanism 440-A is in the neutral position (E.g., force) springs, and the ferro-seal assembly 425-A, and the pad shaft 560-A. Since the seta motor 427-A is offset from the pad shaft 560-A, the springs 1411 move all the moments induced by the seta motor 427-A operating on the pad shaft 560-a Offset and / or compensation.

보다 구체적으로, 패드 상승 메커니즘 (440-A) 이 중립 위치에 있을 때, 핀 (1226) 에 로테이션 가능하게 부착된 레버 (1225) 는 모두 메인 프레임 (1105) 에 대해 고정된 상부 하드 정지부 (1210) 또는 하부 하드 정지부 (1211) 중 하나와 인게이지하지 않는다. 즉, 레버 (1225) 는 모두 페데스탈 브래킷 (1101) 에 대해 고정된 브래킷 롤러들 (1221, 1222) 사이에 느슨하게 구속되고, 또 볼 스크루에 이동가능하게 부착된 슬라이드/캐리어와 함께 이동한다. 이와 같이, 쇼트 스트로크 패드 상승 메커니즘 (440-A) 이 중립 위치에 있을 때, 핀 (1226) 은 페데스탈 브래킷 (1101) 과 함께 이동하고, Z-모터 (445-A) 및 볼 스크루의 작동을 통한 페데스탈 브래킷 (1101) 내의 임의의 운동은 리프트 패드 및 페데스탈 (140-A) 의 동시적 운동으로 전환된다. 예를 들어, 볼 스크루에 응답하여 슬라이드/캐리어에 부착된 페데스탈 브래킷 (1101) 과 함께 페데스탈 (140-A) 이 이동하면서, 리프트 패드가 페데스탈 상에 받쳐지기 때문에 리프트 패드는 페데스탈 (140-A) 과 함께 이동한다. More specifically, when the pad lifting mechanism 440-A is in the neutral position, the levers 1225 rotatably attached to the pins 1226 are all secured to the upper hard stop 1210 ) Or the lower hard stop (1211). That is, the lever 1225 is loosely constrained between the bracket rollers 1221 and 1222 fixed to the pedestal bracket 1101 and moves with the slide / carrier movably attached to the ball screw. As such, when the short stroke pad lift mechanism 440-A is in the neutral position, the pin 1226 moves with the pedestal bracket 1101 and moves through the Z-motor 445-A and ball screw actuation Any movement within the pedestal bracket 1101 is converted to simultaneous movement of the lift pad and the pedestal 140-A. For example, as the pedestal 140-A moves with the pedestal bracket 1101 attached to the slide / carrier in response to the ball screw, the lift pad is supported on the pedestal 140-A because the lift pad is supported on the pedestal. ≪ / RTI >

한편, 리프트 패드 상승 메커니즘 (440-A) 이 인게이지할 때, 리프트 패드 및 페데스탈 (140-A) 사이의 분리를 유도하도록 리프트 패드에 의해 페데스탈 (140-A) 에 대한 추가적인 운동이 이루어진다. 특히, 리프트 패드 및 페데스탈 구성 (1100) 의 상태들 (1204, 1205) 은 리프트 패드의 로테이션을 허용하도록 페데스탈 (140-A) 로부터 리프트 패드를 분리하는 (예를 들면, 롤러 같은) 상부 하드 정지부 (1210) 의 인게이지먼트를 도시한다. 리프트 패드 및 페데스탈 구성 (1100) 의 상태들 (1201, 1202) 은 웨이퍼 전달을 위한 목적으로 엔드-이펙터 암의 진입을 허용하도록 페데스탈 (140-A) 로부터 리프트 패드를 분리하는 (예를 들면, 롤러 같은) 하부 하드 정지부 (1211) 의 인게이지먼트를 도시한다. On the other hand, when the lift pad lifting mechanism 440-A is lifted, additional movement to the pedestal 140-A is made by the lift pad to induce separation between the lift pad and the pedestal 140-A. In particular, the states 1204 and 1205 of the lift pad and pedestal configuration 1100 include an upper hard stop (e. G., A roller) that separates the lift pad from the pedestal 140-A to permit rotation of the lift pad RTI ID = 0.0 > 1210 < / RTI > The states 1201 and 1202 of the lift pad and pedestal configuration 1100 may be used to separate lift pads from the pedestal 140-A to permit entry of the end-effector arms for wafer transfer purposes And the lower hard stop portion 1211).

리프트 패드 및 페데스탈 구성 (1100) 의 상태 (1204) 에서, 쇼트 스트로크 리프트 패드 상승 메커니즘 (440-A) 은 상부 하드 정지부 (1210) 와 인게이지하기 시작한다. 구체적으로, 페데스탈 브래킷 (1101) 은 Z-방향에서 상향으로 이동할 때 최상단 (topmost) 에 가까워져 간다. 도시된 바와 같이, 리프트 패드 및 페데스탈 구성 (1100) 은 메인 프레임 (1105) 에 대해 Z-방향으로 상향으로 이동할 때 최상단 위치에 가까이 있다. 즉, 레버 (1225) 는 (예를 들어 페데스탈 (140-A) 가 최상단 위치 가까이 있을 때처럼) 페데스탈 (140-A) 및 페데스탈 브래킷 (1101) 이 상향으로 이동하면서 상부 하드 정지부 (1210) 과 인게이지하기 시작한다. 이처럼, 패드 상승 메커니즘 (440-A) 은 중립적 상태를 벗어나려는 참이거나 벗어나기 시작하는 중이다. In state 1204 of the lift pad and pedestal configuration 1100, the short stroke lift pad lift mechanism 440-A begins to engage with the upper hard stop 1210. Specifically, the pedestal bracket 1101 approaches topmost when moving upward in the Z-direction. As shown, the lift pad and pedestal configuration 1100 is close to the uppermost position when moving upward in the Z-direction relative to the main frame 1105. The pedestal 140-A and the pedestal bracket 1101 move upwards to move the upper hard stop 1210 and the lower hard stop 1210 to each other, It starts to engage. As such, the pad lift mechanism 440-A is about to leave the neutral state or is beginning to escape.

상태 (1205) 에서, 리프트 패드 및 페데스탈 구성 (1100) 은 쇼트 스트로크 패드 상승 메커니즘 (440-A) 의 작동을 통한 리프트 패드의 로테이션을 수용하도록 페데스탈의 상향 운동을 통해 페데스탈 (140-A) 및 리프트 패드 사이의 분리를 일으키기 위해 리프트 패드를 (예를 들면 약 1 mm) 상승시키도록 구성된다. 특히, 쇼트 스트로크 리프트 패드 상승 메커니즘 (440-A) 은 상부 하드 정지부 (1210) 와 완전히 인게이지된다. 즉, 페데스탈 (140-A) 및 페데스탈 브래킷 (1101) 은 페데스탈 브래킷 (1101) 이 최상단 위치에 도달할 때까지 상향으로 계속해서 이동한다. 이 경우, 레버 (1225) 는 상부 하드 정지부 (1210) 와 완전히 인게이지되고, 레버 (1224) 는 핀 (1226) 을 중심으로 완전히 로테이션한다. 즉, 상부 하드 정지부 (1210) 에 의해 레버 (1225) 에 하향 힘이 인가되고, 핀 (1226) 에 대해 레버 (1225) 의 (예를 들면 시계방향인) 로테이션을 유도하도록 브래킷 롤러 (1222) 에 의해 레버 (1225) 에 상향 힘이 인가된다. 레버가 핀 (1226) 에 로테이션 가능하게 고정되고 핀이 (페데스탈 브래킷 (1101) 에 대해 고정된) 슬라이드 (1235) 에 이동가능하게 부착되기 때문에, 레버 (1225) 의 로테이션은 페데스탈 (140-A) 및 페데스탈 브래킷 (1101) 에 대한 핀 (1226) 내의 직선 운동 (Z-방향) 으로 전환된다. 또한, (상부 하드 정지부 (1210) 및 브래킷 롤러 (1222) 사이의 레버 상호작용으로부터) 발생되는 힘이 핀 (1225) 과 상대적으로 가깝기 때문에, 핀 (1226) 의 직선 운동은 작다 (예를 들면, 약 1 mm). 더 나아가, 핀 (1226) 의 직선 운동은 도 14a 내지 도 14d 에 대하여 더 충분하게 기술되고, 리프트 패드 및 페데스탈 (140-A) 사이의 분리를 일으키도록 페로시일 어셈블리 (425-A) 및 요크 (1240) 와의 상호작용을 통해 패드 샤프트 (560-A) 의 직선 운동으로 전환된다. In state 1205, the lift pad and pedestal configuration 1100 is coupled to the pedestal 140-A and the lift 1204 by upward movement of the pedestal to accommodate rotation of the lift pad through actuation of the short stroke pad lift mechanism 440- To raise the lift pad (e.g., about 1 mm) to cause separation between the pads. In particular, the short stroke lift pad lifting mechanism 440-A is fully engaged with the upper hard stop 1210. That is, the pedestal 140-A and the pedestal bracket 1101 continue to move upward until the pedestal bracket 1101 reaches the uppermost position. In this case, the lever 1225 is fully engaged with the upper hard stop 1210, and the lever 1224 completely rotates about the pin 1226. That is, a downward force is applied to the lever 1225 by the upper hard stop 1210 and the bracket roller 1222 is rotated to induce rotation (e.g., clockwise) of the lever 1225 relative to the pin 1226. [ An upward force is applied to the lever 1225. [ Since the lever is rotatably fixed to the pin 1226 and the pin is movably attached to the slide 1235 (which is fixed relative to the pedestal bracket 1101), rotation of the lever 1225 causes the pedestal 140- And a linear movement (Z-direction) in the pin 1226 with respect to the pedestal bracket 1101. [ In addition, since the force generated from the lever interaction between the upper hard stop 1210 and the bracket roller 1222 is relatively close to the pin 1225, the linear motion of the pin 1226 is small (e.g., , About 1 mm). 14A-14D, the linear motion of the pin 1226 is described more fully and the ferro-seal assembly 425-A and the yoke (not shown) are used to cause separation between the lift pad and the pedestal 140- 1240 to the linear motion of the pad shaft 560-A.

리프트 패드 및 페데스탈 구성 (1100) 의 상태 (1201) 에서, 쇼트 스트로크 리프트 패드 상승 메커니즘 (440-A) 은 하부 하드 정지부 (1211) 와 인게이지하기 시작한다. 구체적으로, 페데스탈 브래킷 (1101) 은 Z-방향에서 하향으로 이동할 때 최하단 (bottommost) 에 가까워져 간다. 도시된 바와 같이, 리프트 패드 및 페데스탈 구성 (1100) 은 메인 프레임 (1105) 에 대해 Z-방향으로 하향으로 이동하면서 최하단 (bottommost) 위치에 가까이 있다. 즉, 레버 (1225) 는 (예를 들어 페데스탈 (140-A) 이 최하단 위치 가까이 있을 때처럼) 페데스탈 (140-A) 및 페데스탈 브래킷 (1101) 이 하향으로 이동하면서 하부 하드 정지부 (1211) 와 인게이지하기 시작한다. 이처럼, 패드 상승 메커니즘 (440-A) 은 중립적 위치를 벗어나려는 참이거나 벗어나기 시작하는 중이다. In state 1201 of the lift pad and pedestal configuration 1100, the short stroke lift pad lift mechanism 440-A begins to engage the lower hard stop 1211. Specifically, when the pedestal bracket 1101 moves downward in the Z-direction, the pedestal bracket 1101 approaches the bottommost. As shown, the lift pad and pedestal configuration 1100 moves downward in the Z-direction relative to the main frame 1105 and is close to the bottommost position. The pedestal 140-A and the pedestal bracket 1101 are moved downward and the lower hard stop 1211 and the lower hard stop 1211 are moved downward (e.g., when the pedestal 140-A is near the lowermost position) It starts to engage. As such, the pad elevation mechanism 440-A is about to leave the neutral position or is starting to escape.

상태 (1202) 에서, 리프트 패드 및 페데스탈 구성 (1100) 은 쇼트 스트로크 패드 상승 메커니즘 (440-A) 의 작동을 통해 웨이퍼 전달을 위한 엔드-이펙터의 진입을 용이하게 하도록 페데스탈의 하향 운동을 통해 리프트 패드 및 페데스탈 (140-A) 사이의 분리를 일으키도록 리프트 패드를 (예를 들어, 약 14 내지 18 mm) 상승시키도록 구성된다. 특히, 쇼트 스트로크 리프트 패드 상승 메커니즘 (440-A) 은 하부 하드 정지부 (1211) 와 완전히 인게이지한다. 즉, 페데스탈 (140-A) 및 페데스탈 브래킷 (1101) 은 페데스탈 브래킷 (1101) 이 최하단 위치에 도달할 때까지 하향으로 계속해서 이동한다. 이 경우, 레버 (1225) 는 하부 하드 정지부 (1211) 와 완전히 인게이지되고, 레버 (1225) 는 핀 (1226) 을 중심으로 완전히 로테이션한다. 즉, 하부 하드 정지부 (1211) 에 의해 레버 (1225) 에 상향 힘이 인가되고, 핀 (1226) 에 대해 레버 (1225) 의 (예를 들면 시계방향인) 로테이션을 유도하도록 브래킷 롤러 (1221) 에 의해 레버 (1225) 에 하향 힘이 인가된다. 레버가 핀 (1226) 에 로테이션 가능하게 고정되고 핀이 (페데스탈 브래킷 (1101) 에 대해 고정된) 슬라이드 (1235) 에 이동가능하게 부착되기 때문에, 레버 (1225) 의 로테이션은 페데스탈 (140-A) 및 페데스탈 브래킷 (1101) 에 대한 핀 (1226) 내의 직선 운동 (Z-방향) 으로 전환된다. (브래킷 롤러 (1221) 및 하부 하드 정지부 (1211) 와의 레버 상호작용으로부터의) 발생되는 힘이 상대적으로 핀 (1226) 으로부터 멀기 때문에, 핀 (1226) 의 직선 운동은 (예를 들면, 약 14 내지 18 mm) 더 커진다 (significant). 더 나아가, 핀 (1226) 내의 직선 운동은 도 14a 내지 도 14d 에 대하여 더 충분하게 기술되고, 리프트 패드 및 페데스탈 (140-A) 사이의 분리를 일으키도록 페로시일 어셈블리 (425-A) 및 요크 (1240) 와의 상호작용을 통해 패드 샤프트 (560-A) 의 직선 운동으로 전환된다. In state 1202, the lift pad and pedestal configuration 1100 is coupled to a lift pad (not shown) via downward movement of the pedestal to facilitate entry of the end-effector for wafer transfer through actuation of the short stroke pad lift mechanism 440- (E.g., about 14 to 18 mm) to cause separation between pedestal 140-A and pedestal 140-A. In particular, the short stroke lift pad lifting mechanism 440-A is fully engaged with the bottom hard stop 1211. That is, the pedestal 140-A and the pedestal bracket 1101 continue to move downward until the pedestal bracket 1101 reaches the lowermost position. In this case, the lever 1225 is fully engaged with the lower hard stop 1211, and the lever 1225 completely rotates about the pin 1226. [ That is, upward force is applied to the lever 1225 by the lower hard stop 1211 and the bracket roller 1221 is rotated to induce rotation (e.g., clockwise) of the lever 1225 relative to the pin 1226. [ A downward force is applied to the lever 1225 by the lever 1225. Since the lever is rotatably fixed to the pin 1226 and the pin is movably attached to the slide 1235 (which is fixed relative to the pedestal bracket 1101), rotation of the lever 1225 causes the pedestal 140- And a linear movement (Z-direction) in the pin 1226 with respect to the pedestal bracket 1101. [ The linear motion of the pin 1226 is less than the linear motion of the pin 1226 (e.g., about 14 degrees), since the generated force is relatively far from the pin 1226 (from the lever interaction with the bracket roller 1221 and the lower hard stop 1211) To 18 mm). 14A-14D, the linear movement within the pin 1226 is described more fully and the ferro-seal assembly 425-A and the yoke (not shown) are used to cause separation between the lift pad and the pedestal 140- 1240 to the linear motion of the pad shaft 560-A.

도 13은 도 12a의 쇼트 스트로크 리프트 패드 상승 메커니즘 (440-A) 의 사시도이며, 보다 구체적으로는 본 개시의 일 실시예에 따른 페데스탈 (140-A) 에 대해 리프트 패드의 운동을 제공하는 요크 (1240) 및 슬라이드 (1235) 사이의 인터페이스를 도시한다. 특히, 슬라이드 (1235) 는 페데스탈 브래킷 (1101) 에 대해 고정된다. 예를 들어, 슬라이드 (1235) 는 페데스탈 브래킷 (1101) 에 직접 부착될 수도 있고, 하나 이상의 중개 컴포넌트들을 통해서, 예를 들어 페데스탈 브래킷 (1101) 에 직접 부착될 수도 있는 페데스탈 브래킷 연장부 (1233) 을 통해 부착될 수도 있다. (예를 들면, Z-방향으로의) 페데스탈 브래킷 (1101) 내의 모든 직선 운동은 (예를 들면, Z-방향으로의) 슬라이드 (1235) 의 유사한 운동으로 전환된다. 13 is a perspective view of the short stroke lift pad lift mechanism 440-A of FIG. 12A, and more particularly to a yoke (not shown) that provides movement of the lift pad relative to the pedestal 140- 1240 and the slide 1235. [0080] FIG. In particular, the slide 1235 is fixed relative to the pedestal bracket 1101. For example, the slide 1235 may be attached directly to the pedestal bracket 1101 and may include pedestal bracket extension 1233, which may be attached directly to the pedestal bracket 1101, for example, through one or more intermediate components Lt; / RTI > All of the linear motion within the pedestal bracket 1101 (e.g., in the Z-direction) is converted to a similar motion of the slide 1235 (e.g., in the Z-direction).

또한, 리프트 패드 브래킷 (1230) 은 슬라이드 (1235) 에 이동가능하게 부착된다. 쇼트 스트로크 리프트 패드 상승 메커니즘 (440-A) 이 중립 위치에 있을 때, 리프트 패드 브래킷 (1230) 은 리프트 패드 브래킷 (1230) 과 페데스탈 브래킷 (1101) 사이에 상대적인 운동이 일어나지 않도록, 슬라이드 (1235) 와 함께 이동한다. (예를 들면, Z-방향으로의) 페데스탈 브래킷 (1101) 내의 모든 직선 운동은 (예를 들면, Z-방향으로의) 리프트 패드 브래킷 (1230) 의 유사한 운동으로 전환된다. 반면에, 패드 상승 메커니즘 (440-A) 이 상부 하드 정지부 (1210) 또는 하부 하드 정지부 (1211) 중 어느 하나와 인게이지되면, 리프트 패드 브래킷은 슬라이드 (1235) 의 작용을 통해 페데스탈 브래킷 (1101) 에 대해 상향 이동한다. 또한, 도 13은 핀 (1226) 을 통해 리프트 패드 브래킷 (1230) 에 로테이션 가능하게 부착된 요크 (1240) 를 예시한다. In addition, the lift pad bracket 1230 is movably attached to the slide 1235. When the short stroke lift pad elevation mechanism 440-A is in the neutral position, the lift pad bracket 1230 is slidably engaged with the slide 1235 and the pedestal bracket 1102 to prevent relative movement between the lift pad bracket 1230 and the pedestal bracket 1101 Move together. All of the linear motion within the pedestal bracket 1101 (e.g., in the Z-direction) is converted to a similar movement of the lift pad bracket 1230 (e.g., in the Z-direction). On the other hand, when the pad lifting mechanism 440-A is brought into engagement with either the upper hard stop 1210 or the lower hard stop 1211, the lift pad bracket is moved to the pedestal bracket 1101). 13 also illustrates a yoke 1240 that is rotatably attached to a lift pad bracket 1230 through a pin 1226. In this embodiment,

도 14a는 본 개시의 일 실시예에 따른, 도 12a의 리프트 패드 상승 메커니즘 (440-A) 의 사시도로, 보다 상세하게는 페데스탈에 대한 리프트 패드의 운동을 제공하는 페로시일 어셈블리 (425-A) 및 요크 (1240) 사이의 인터페이스를 도시한다. 특히, 요크 (1240) 은 핀 (1226) 을 통해 리프트 패드 브래킷 (1240) 에 로테이션 가능하게 부착되어 있다. 요크 (1240) 는 페로시일 어셈블리 (425-A) 와 인터페이싱하도록 구성된다. 이와 같이, 핀 (1226) 의 모든 운동은 리프트 패드 브래킷 (1240) 으로 전환되고, 또 요크 (1240) 로 전환되고, 또 페로시일 어셈블리 (425-A) 로 전환된다. 특히, 요크 (1240) 의 모든 직선 운동은 또 페로시일 어셈블리 (425-A) 를 통한 리프트 패드 및 패드 샤프트 (560-A) 의 유사한 직선 운동으로 전환된다.14A is a perspective view of the lift pad elevation mechanism 440-A of FIG. 12A, and more particularly to a perspective view of a ferro-seal assembly 425-A providing movement of a lift pad relative to a pedestal, in accordance with one embodiment of the present disclosure. And the yoke 1240. In this embodiment, In particular, the yoke 1240 is rotatably attached to the lift pad bracket 1240 via a pin 1226. The yoke 1240 is configured to interface with the ferro-seal assembly 425-A. As such, all of the motion of the pin 1226 is switched to the lift pad bracket 1240, to the yoke 1240, and to the ferro-seal assembly 425-A. In particular, all linear motion of the yoke 1240 is also converted to similar linear motion of the lift pad and pad shaft 560-A through the ferro-seal assembly 425-A.

도 14b는 본 명세서의 일 실시예에 따른 페로시일 어셈블리 (425-A) 와 인터페이싱하는 요크 (1240) 의 사시도이다. 요크 (1240) 는 핀 (1247) 을 통해 리프트 패드 브래킷 (1230) 에 로테이션 가능하게 부착되어 있다. 도시된 바와 같이, 요크 베이스는 리프트 패드 브래킷 (1230) 에 로테이션 가능하게 부착된다. 요크 암 (1246) 은 요크 베이스 (1245) 로부터 연장한다. 또한, 요크 포크 연장부 (1241) 및 요크 포크 연장부 (1242) 는 모두 요크 암 (1246) 으로부터 연장한다. 보다 자세하게는, 도 14b는 본 개시의 일 실시예에 따라 페데스탈 (140-A) 에 대한 리프트 패드의 운동을 제공하는 도 13a의 리프트 패드 상승 메커니즘 (440-A) 의 페로시일 어셈블리의 커넥터 암들 (1251/1252) 및 요크 포크 연장부들 (1241/1242) 간 인터페이스를 예시한다. 특히, 요크 (1240) 의 상향 운동은 롤러들 (1255/1256) 을 요크 포크 연장부들 (1241/1242) 에 인게이지 한다. 즉, 요크 포크 연장부 (1241) 는 페로시일 커넥터 암 (1251) 에 부착된 롤러 (1255) 와 인게이지하고, 요크 포크 연장부 (1242) 는 페로시일 커넥터 암 (1252) 에 부착된 롤러 (1256) 와 인게이지한다. (예를 들면, 롤러들 (1255/1256) 과 함께 요크 포크 연장부들 (1241/1242) 의 인게이지먼트를 통해서) 패드 상승 메커니즘 (440-A) 이 인게이지 될 때, 페로시일 어셈블리 (425-A) 를 통해서 패드 샤프트 (560-A) 에 대해 요크 (1240) 가 고정되고, 그리고 요크 (1240) 에 대해서 핀 (1226) 이 고정되기 때문에, (예를 들면, 레버 (1225) 가 상부 하드 정지부 (1210) 또는 하부 하드 정지부 (1221) 중 하나와 인게이지할 때와 같이) 핀 (1226) 이 Z-방향으로 페데스탈 브래킷 (1101) 및 페데스탈 (140-A) 에 대해서 직선 운동을 겪을 때, 이는 리프트 패드 및 페데스탈 (140-A) 사이의 분리를 생성하도록 패드 샤프트 (560-A) 의 Z-방향으로의 직선 운동으로 전환된다. 14B is a perspective view of a yoke 1240 interfacing with a ferro-seal assembly 425-A in accordance with one embodiment of the present disclosure. The yoke 1240 is rotatably attached to the lift pad bracket 1230 via a pin 1247. As shown, the yoke base is rotatably attached to the lift pad bracket 1230. The yoke arm 1246 extends from the yoke base 1245. In addition, both the yoke fork extension 1241 and the yoke fork extension 1242 extend from the yoke arm 1246. More specifically, FIG. 14B illustrates the connector arms (not shown) of the ferro-seal assembly of the lift pad lift mechanism 440-A of FIG. 13A providing movement of the lift pad relative to the pedestal 140- 1251/1252 and the yoke fork extensions 1241/1242. In particular, the upward motion of the yoke 1240 causes the rollers 1255/1256 to engage the yoke fork extensions 1241/1242. That is, the yoke fork extension portion 1241 is engaged with the roller 1255 attached to the ferro-seal connector arm 1251, and the yoke fork extension portion 1242 is connected to the roller 1256 ). When the pad lift mechanism 440-A is lifted (e.g., through the engagement of the yoke fork extensions 1241/1242 with the rollers 1255/1256), the ferro-seal assembly 425- A), the yoke 1240 is fixed with respect to the pad shaft 560-A and the pin 1226 is fixed with respect to the yoke 1240 (for example, When the pin 1226 undergoes linear motion in the Z-direction relative to the pedestal bracket 1101 and the pedestal 140-A, such as when engaging one of the lower hard stop 1221 or the portion 1210, , Which is converted to linear motion of the pad shaft 560-A in the Z-direction to create a separation between the lift pad and the pedestal 140-A.

또한, 피버팅 요크 (1240) 는 리프트 패드 상승 메커니즘 (440-A) 의 작동으로 인한 패드 샤프트 (560-A) 의 상부 베어링들 및 하부 베어링들에 가해진 모먼트를 오프셋 및/또는 상쇄하도록 구성된다. 특히, 패드 샤프트 (560-A) 의 상부 베어링들 및 하부 베어링들에 가해진 모먼트가 미미하거나 (insignificant moment) 또는 모먼트가 없도록 요크 (1240) 는 핀 (1247) 을 중심으로 피벗팅하며, 중심 축 (471-A) 에 따라 힘들을 밸런싱하도록 구성된다. 즉, 패드 샤프트 (560-A) 의 상부 베어링들 및 하부 베어링들에 가해진 모먼트를 오프셋 및/또는 상쇄하는 방식으로, 커넥터 암들 (1251/1252) 각각을 통한 페로시일 어셈블리 (425-A) 에 대해 고정된, 롤러들 (1255/1256) 상에 동일한 힘과 함께 포크드 연장부들 (1241/1242) 을 통한 요크 (1240) 에 의한 컨택트가 만들어진다. The buckling yoke 1240 is also configured to offset and / or offset the upper and lower bearings of the pad shaft 560-A due to actuation of the lift pad lift mechanism 440-A . In particular, yoke 1240 pivots about pin 1247 so that the upper bearings of pad shaft 560-A and the lower bearings are insignificant moment or moment free, And is configured to balance forces along axis 471-A. That is, in a manner that offsets and / or cancels the moments applied to the upper bearings and lower bearings of the pad shaft 560-A, the ferro-seal assembly 425-A through each of the connector arms 1251/1252 Contact is made by the yoke 1240 through the forked extensions 1241/1242 with the same force on the rollers 1255/1256,

도 14c는 본 개시의 일 실시예에 따른, 리프트 패드로부터 연장하는 패드 샤프트 (560-A) 및 페로시일 어셈블리 (425-A) 의 컴포넌트들 사이의 연계성 (linkage) 을 제공하는 클램핑 메커니즘의 사시도이다. 이와 같이, 페로시일 어셈블리 (425-A) 의 (예를 들면 Z-방향으로의) 모든 직선 운동은 리프트 패드의 (예를 들면 Z-방향) 유사한 직선 운동으로 전환한다. 특히, 디스크 (1420) 로부터 연장하는 클램프 (1430) 및 디스크 (1440) 페로시일 어셈블리 (425-A) 의 최하단. 클램프 (1430) 는 임의의 디스크 (1440) 의 로테이션이 패드 샤프트 (560-A) 의 로테이션으로 전환되도록, 패드 샤프트 (560-A) 로 클램핑된다. 예를 들면, 세타 모터 (427-A) 를 통해 제공되는 것처럼 벨트 풀리 (1420) 운동을 통해 디스크 (1440) 의 로테이션이 달성된다. 도 14d는 본 개시의 일 실시예에 따른 도 14c의 클램프 (1430) 의 사시도이고, 클램프 (1430) 를 통해 제공된 클램핑 메커니즘은 패드 샤프트 (560-A) 로 클램프 (1430) 및 디스크 (1440) 를 단단하게 (rigidly) 부착시킨다. Figure 14C is a perspective view of a clamping mechanism that provides linkage between the components of the ferro-seal assembly 425-A and the pad shaft 560-A extending from the lift pad, according to one embodiment of the present disclosure . As such, all linear motion (e.g., in the Z-direction) of the ferro-seal assembly 425-A is converted to linear motion (e.g., Z-direction) similar to that of the lift pad. In particular, the lowermost end of the clamp 1430 and disc 1440 ferrule seal assembly 425-A extending from the disc 1420. Clamp 1430 is clamped to pad shaft 560-A such that the rotation of any disk 1440 is switched to the rotation of pad shaft 560-A. For example, rotation of the disc 1440 is achieved through motion of the belt pulley 1420 as provided through the setter motor 427-A. 14D is a perspective view of the clamp 1430 of FIGURE 14C according to one embodiment of the present disclosure and the clamping mechanism provided through the clamp 1430 forces the clamp 1430 and disk 1440 to the pad shaft 560- Rigidly attached.

도 15a는 본 개시의 일 실시예에 따른 리프트 패드 및 페데스탈 구성 (1500) 을 포함하는 기판 프로세싱 시스템의 사시도이고, 리프트 핀 어셈블리 (미도시) 는 웨이퍼 전달을 제공한다. 도 15a는 본 개시의 일 실시예에 따른, 웨이퍼보다 작거나 웨이퍼와 실질적으로 비슷한 사이즈를 가질 수도 있는 리프트 패드인, 리프트 패드의 로테이션을 수용하도록 페데스탈의 상향 운동을 통해 페데스탈에 대해 리프트 패드의 상승을 제공하는 다른 쇼트 스트로크 상승 메커니즘 (440-B) 를 예시한다. 15A is a perspective view of a substrate processing system including a lift pad and pedestal configuration 1500 in accordance with one embodiment of the present disclosure, and a lift pin assembly (not shown) provides wafer transfer. 15A is a cross-sectional view of an elevation of a lift pad relative to a pedestal through upward motion of the pedestal to accommodate rotation of the lift pad, which is a lift pad that may be smaller than the wafer or may have a size substantially similar to the wafer, Stroke < / RTI > lift mechanism 440-B that provides a short-stroke lift mechanism 440-B.

본 개시의 일 실시예에 따라, 쇼트 스트로크 패드 상승 메커니즘 (440-B) 은 페데스탈 (140-A) 로부터 리프트 패드 (미도시) 를 분리하도록 구성된다. 리프트 패드 및 페데스탈 구성 (1500) 은 메인 프레임 (1105) 내에 위치하며, 메인 프레임 (1105) 은 (예를 들어, 프로세싱 챔버 내에 고정되는) 프로세싱 챔버 내로 배치된다. 페데스탈 (140-A) 의 운동은 메인 프레임에 대해 제공되고, 리프트 패드의 운동은 메인 프레임 (1105) (예를 들면, 리프트 패드는 페데스탈 브래킷 (1101) 과 함께 이동한다) 및 페데스탈 (140-A) (페데스탈 (140-A) 로부터 분리된다) 모두에 대하여 제공된다. 페데스탈 (1140-A) 로부터 리프트 패드의 분리는 페데스탈 (140-A) 에 대해 리프트 패드 (및 그 위에 배치된 웨이퍼) 를 로테이팅 시키기 위한 목적을 위해 가능하게 될 수도 있다. According to one embodiment of the present disclosure, the short stroke pad lift mechanism 440-B is configured to separate the lift pad (not shown) from the pedestal 140-A. The lift pad and pedestal configuration 1500 is located within the mainframe 1105 and the mainframe 1105 is disposed within the processing chamber (e.g., secured within the processing chamber). The movement of the pedestal 140-A is provided for the mainframe and the movement of the lift pad is controlled by the main frame 1105 (e.g., the lift pad moves with the pedestal bracket 1101) (Separated from the pedestal 140-A). The removal of the lift pad from the pedestal 1140-A may be enabled for the purpose of rotating the lift pad (and the wafer disposed thereon) against the pedestal 140-A.

리프트 패드 및 페데스탈 구성 (1500) 의 페데스탈 (140-A) 은 페데스탈 (140-A) 의 운동이 도 7b 및 도 7c의 페데스탈 및 리프트 패드 액추에이터 (515') 및/또는 도 5b의 페데스탈 및 리프트 패드 액추에이터 (515) 를 통해 구현되도록, 도 4 및 도 6의 페데스탈 제어부 (450) 에 의해 제어될 수도 있다. 리프트 패드 및 페데스탈 구성 (1500) 의 리프트 패드는 리프트 패드의 운동이 도 7b 및 도 7c 의 페데스탈 및 리프트 패드 액추에이터 (515') 및/또는 도 5b의 페데스탈 및 리프트 패드 액추에이터 (515) 를 통해 구현되도록, 도 4 및 도 6의 리프트 패드 제어부 (455) 에 의해 제어될 수도 있다. Pedestal 140-A of the lift pad and pedestal configuration 1500 is configured such that the movement of the pedestal 140-A is controlled by the pedestal and lift pad actuator 515 'of Figures 7b and 7c and / And may be controlled by the pedestal control unit 450 of FIGS. 4 and 6 so as to be realized through the actuator 515. FIG. The lift pad of the lift pad and pedestal configuration 1500 may be such that the movement of the lift pad is achieved through the pedestal and lift pad actuator 515 'of FIGS. 7b and 7c and / or the pedestal and lift pad actuator 515 of FIG. , And the lift pad control unit 455 of Figs. 4 and 6.

도 15b는 본 개시의 일 실시예에 따른 리프트 패드 및 페데스탈 구성 (1500) 을 포함하는 도 15a의 기판 프로세싱 시스템의 사시도로서, 쇼트 스트로크 패드 상승 메커니즘 (440-B) 의 컴포넌트들을 예시한다. 특히, 패드 상승 메커니즘 (440-B) 은 페데스탈 브래킷 (1101) 에 대해 고정된 페데스탈 지지 롤러 (1521) 를 포함한다. 또한, 레버 (1525) 는 커넥터 암들 (1251/1252) 을 통해서와 같이, 핀들 (1526) 을 통해서 페로시일 어셈블리 (425-A) 에 로테이션 가능하게 부착된다. 패드 상승 메커니즘 (440-B) 는 페로시일 어셈블리 (425-A) 의 마주보는 측들 상의, 페데스탈 브래킷 (1101) 에 대해 페로시일 어셈블리 (425-A) 를 상승시키도록 같이 작동하는 2개의 레버들 (1525) 을 포함한다. FIG. 15B is a perspective view of the substrate processing system of FIG. 15A including a lift pad and pedestal configuration 1500 in accordance with one embodiment of the present disclosure, illustrating the components of the short stroke pad lift mechanism 440-B. In particular, the pad lifting mechanism 440-B includes a pedestal support roller 1521 fixed relative to the pedestal bracket 1101. In addition, the lever 1525 is rotatably attached to the ferro-seal assembly 425-A via the fins 1526, such as through the connector arms 1251/1252. The pad lift mechanism 440-B includes two levers (not shown) that work together to elevate the ferro-seal assembly 425-A relative to the pedestal bracket 1101 on opposing sides of the ferro-seal assembly 425- 1525).

도 15c는 본 개시의 일 실시예에 따른, 도 15a의 리프트 패드 상승 메커니즘 (440-A) 의 사시도로, 보다 상세하게는, 페데스탈 (140-A) 에 대한 리프트 패드의 운동을 제공하는 페로시일 어셈블리 (425-A) 및 레버 (1525) 중 하나 사이의 인터페이스를 도시한다. 특히, 패드 상승 메커니즘 (440-B) 은 메인 프레임 (1105) 에 부착된 하드 정지부들 (1510) 을 포함한다. 페데스탈 브래킷 (1101) 이 메인 프레임 (1105) 에 대해 상향으로 이동하면서, 레버 (1525) 또한 하드 정지부 (1510) 와 인게이지할 때까지 페데스탈 브래킷 (1101) 과 함께 이동한다. 레버 (1525) 가 하드 정지부 (1510) 와 인게이지할 때, 레버 (1525) 는 핀 (1526) 을 중심으로 로테이팅하고, 페데스탈 브래킷 (1101) 에 대해 (예를 들면, Z-방향으로) 핀 (1526) 에서의 직선 운동을 유도한다. 예를 들면, 레버 (1525) 는 하드 정지부 (1510) 및 페데스탈 지지 롤러 (1521) 에 기인한 힘들을 겪는다. 페로시일 어셈블리 (425-A) 에 대해 핀 (1526) 이 고정되어 있으므로, 핀 (1526) 에서의 직선운동은 페로시일 어셈블리 (425-A) 에서, 그리고 패드 샤프트 (560-A) 에 대응하게, 유사한 직선 운동으로 전환한다. 이와 같이, 패드 상승 메커니즘 (440-B) 가 하드 정지부 (1510) 와 인게이지할 때, 페데스탈 (140-A) 에 대한 리프트 패드의 로테이션을 위한 목적으로, 페데스탈 (140-A) 로부터 리프트 패드가 분리된다. 15C is a perspective view of the lift pad lift mechanism 440-A of FIG. 15A, and more particularly to a perspective view of the lift pad lift mechanism 440-A, in accordance with one embodiment of the present disclosure. RTI ID = 0.0 > 425-A < / RTI > In particular, the pad lifting mechanism 440-B includes hard stops 1510 attached to the main frame 1105. The pedestal bracket 1101 moves upward with respect to the main frame 1105 and the lever 1525 also moves with the pedestal bracket 1101 until it engages the hard stop 1510. [ When the lever 1525 engages the hard stop 1510, the lever 1525 rotates about the pin 1526 and rotates about the pedestal bracket 1101 (e.g., in the Z-direction) Thereby inducing a linear motion at the pin 1526. For example, the lever 1525 experiences forces due to the hard stop 1510 and the pedestal support roller 1521. Since the pin 1526 is fixed with respect to the ferro-seal assembly 425-A, the linear motion at the pin 1526 is performed at the ferro-seal assembly 425-A, and corresponding to the pad shaft 560- Switch to similar linear motion. In this way, when the pad lifting mechanism 440-B engages with the hard stop 1510, the lifting pad 140-A is lifted from the pedestal 140-A for the purpose of rotating the lift pad relative to the pedestal 140- .

도 15d는 본 개시의 일 실시예에 따른 도 15a의 리프트 패드 상승 메커니즘 (440-B) 의 사시도로, 보다 상세하게는, 페데스탈에 대해 리프트 패드의 운동을 제공하는 페데스탈 브래킷 (1101) 과 요크 (1540) 사이의 인터페이스를 도시한다. 도시된 바와 같이, 요크 (1540) 는 페데스탈 브래킷 (1011) 에 로테이션 가능하게 부착된다. 요크 (1540) 는 페로시일 커넥터 암들 (1251/1252) 상에 밸런싱된 힘들을 제공한다. 즉, 요크 (1540) 는 페로시일 어셈블리 (425-A) 의 양편에 동일한 힘들을 인가하도록 이의 로테이션을 통해 힘을 밸런싱한다. 즉, 패드 상승 메커니즘 (440-B) 의 임의의 작동을 통해 패드 샤프트 (560-A) 상에 (예를 들면 샤프트 베어링들 상에 효과적인 방사상 힘) 어떤 모먼트도 없거나 미미하게 된다. 15D is a perspective view of the lift pad lift mechanism 440-B of FIG. 15A according to one embodiment of the present disclosure, and more particularly to a pedestal bracket 1101 and a yoke (not shown) that provide movement of the lift pad relative to the pedestal. 1540. < / RTI > As shown, the yoke 1540 is rotatably attached to the pedestal bracket 1011. The yoke 1540 provides balancing forces on the ferro seal connector arms 1251/1252. That is, the yoke 1540 balances the force through its rotation to apply the same forces on both sides of the ferro-seal assembly 425-A. That is, there is no or minimal moment on the pad shaft 560-A (e.g., an effective radial force on the shaft bearings) through any actuation of the pad lift mechanism 440-B.

도 16a는 본 개시의 일 실시예에 따른, 페데스탈로부터 리프트 패드를 분리하기 바로 이전의 시점에 도 15a의 리프트 패드 상승 메커니즘 (440-B) 의 운동을 예시하는 도면이다. 도시된 바와 같이, 리프트 패드 및 페데스탈 구성 (1500) 의, 쇼트 스트로크 리프트 패드 상승 메커니즘 (440-B) 는 하드 정지부 (1510) 와 인게이지하기 시작한다. 구체적으로, 페데스탈 브래킷 (1101) 은 Z-방향에서 상향으로 이동할 때 최상단에 가까워져 간다. 도시된 바와 같이, 리프트 패드 및 페데스탈 구성 (1500) 은 메인 프레임 (1105) 에 대해 Z-방향으로 상향으로 이동할 때 최상단 위치에 가까이 있다. 즉, 레버 (1525) 는 (예를 들어 페데스탈 (140-A) 가 가장 높은 위치 가까이 있을 때처럼) 페데스탈 (140-A) 및 페데스탈 브래킷 (1101) 이 상향으로 이동하면서 하드 정지부 (1510) 와 인게이지하기 시작한다. 이처럼, 패드 상승 메커니즘 (440-A) 은 중립적 위치를 벗어나려는 참이거나 벗어나기 시작하는 중이다. 이 지점에서, 리프트 패드 (630-A) 는 페데스탈 (140-A) 상에 받쳐진다. 예를 들어, 페데스탈-참조 MCA들 (595-A) 은 여전히 리프트 패드 (630-A)와 컨택트한다. FIG. 16A is a diagram illustrating movement of the lift pad lift mechanism 440-B of FIG. 15A at a point in time immediately prior to detaching the lift pad from the pedestal, in accordance with one embodiment of the present disclosure. As shown, the short stroke lift pad lift mechanism 440-B of the lift pad and pedestal configuration 1500 begins to engage with the hard stop 1510. Specifically, the pedestal bracket 1101 approaches the uppermost position when moving upward in the Z-direction. As shown, the lift pad and pedestal configuration 1500 is near the topmost position when moving upwardly in the Z-direction relative to the main frame 1105. As shown in FIG. The pedestal 140-A and the pedestal bracket 1101 move upwards and the hard stop 1510 and the pedestal 1512 are moved upwardly (e.g., when the pedestal 140-A is near the highest position) It starts to engage. As such, the pad elevation mechanism 440-A is about to leave the neutral position or is starting to escape. At this point, the lift pad 630-A is supported on the pedestal 140-A. For example, the pedestal-reference MCAs 595-A still contact the lift pad 630-A.

도 16b는 본 개시의 일 실시예에 따른, 페데스탈 (140-A) 로부터 리프트 패드 (630-A) 를 분리한 이후의 시점에 도 15a의 리프트 패드 상승 메커니즘 (440-B) 의 운동을 예시하는 도면이다. 리프트 패드 및 페데스탈 구성 (1500) 은 쇼트 스트로크 패드 상승 메커니즘 (440-B) 의 작동을 통한 리프트 패드 (630-A) 의 로테이션을 수용하도록 페데스탈의 상향 운동을 통해 페데스탈 (140-A) 및 리프트 패드 (630-A) 사이의 분리를 일으키기 위해 리프트 패드 (630-A) 를 (예를 들면 약 1 mm) 들어올리도록 구성된다. 특히, 쇼트 스트로크 리프트 패드 상승 메커니즘 (440-B) 는 하드 정지부 (1510) 와 완전히 인게이지된다. 즉, 페데스탈 (140-A) 및 페데스탈 브래킷 (1101) 은 페데스탈 브래킷 (1101) 이 가장 높은 위치에 있을 때까지 상향으로 계속해서 이동한다. 이 경우, 레버 (1525) 는 하드 정지부 (1510) 과 완전히 인게이지 되고, 레버 (1525) 는 핀 (1526) 을 중심으로 완전히 로테이션한다. 즉, 하드 정지부 (1510) 에 의해 레버 (1525) 에 하향 힘이 작용하고, 핀 (1526) 에 대해 레버 (1525) 의 (예를 들면 시계방향인) 로테이션을 유도하도록 페데스탈 지지 롤러 (1521) 에 의해 레버 (1525) 에 상향 힘이 작용된다. 레버가 핀 (1526) 에 로테이션 가능하게 고정되고 핀 (1526) 이 (페데스탈 브래킷 (1101) 에 대해 고정된) 슬라이드 (1531) 에 이동가능하게 부착되기 때문에, 레버 (1525) 의 로테이션은 페데스탈 (140-A) 및 페데스탈 브래킷 (1101) 에 대한 핀 (1526) 내의 직선 운동 (Z-방향) 으로 전환된다. 또한, 핀 (1526) 에서의 직선 운동은 페데스탈 (140-A) 및 리프트 패드 사이의 분리를 일으키도록 페로시일 어셈블리 (425-A) 를 통해 패드 샤프트 (560-A) 의 직선 운동으로 전환된다. Figure 16b illustrates the movement of the lift pad lift mechanism 440-B of Figure 15a at a point after separating the lift pad 630-A from the pedestal 140-A, according to one embodiment of the present disclosure FIG. The lift pad and pedestal configuration 1500 includes a pedestal 140-A and a lift pad 640 via an upward motion of the pedestal to accommodate the rotation of the lift pad 630-A through the actuation of the short stroke pad lift mechanism 440- (E.g., about 1 mm) lift pad 630-A to cause separation between pad 630-A and pad 630-A. In particular, the short stroke lift pad lifting mechanism 440-B is fully engaged with the hard stop 1510. That is, the pedestal 140-A and the pedestal bracket 1101 continue to move upward until the pedestal bracket 1101 is at its highest position. In this case, the lever 1525 is fully engaged with the hard stop 1510, and the lever 1525 completely rotates about the pin 1526. That is, a downward force is applied to the lever 1525 by the hard stop 1510 and the pedestal support roller 1521 is rotated to guide the rotation of the lever 1525 (for example, clockwise) An upward force is applied to the lever 1525. [ Since the lever is rotatably secured to the pin 1526 and the pin 1526 is movably attached to the slide 1531 (which is fixed relative to the pedestal bracket 1101), rotation of the lever 1525 causes the pedestal 140 -A) and the pedestal bracket 1101 (Z-direction) within the pin 1526. [ In addition, the linear motion at the pin 1526 is converted to linear motion of the pad shaft 560-A through the ferro-seal assembly 425-A to cause separation between the pedestal 140-A and the lift pad.

도 17a는 본 명세서의 일 실시예에 따른, 도 11 내지 도 16의 리프트 패드 및 페데스탈 구성 (1100) 의 고온 베어링 어셈블리 (755-A) 를 예시하는 도면이다. 고온 베어링 어셈블리 (755-A) 는 도 7d에서 처음 도입된다. 도 17a는 웨이퍼 직경보다 작은 직경을 갖는 소형 리프트 패드 (630-A) 에 대하여 기술하지만, 고온 베어링 어셈블리 (755-A) 는 웨이퍼 직경과 실질적으로 유사한 직경을 갖는 리프트 패드와 함께 구현가능하다. 실시예들에서, 고온 베어링 어셈블리 (755-A) 는 섭씨 300도 이상의 챔버와 같은, 고온 환경 내에서 동작하도록 구성된다. 17A is a diagram illustrating a high temperature bearing assembly 755-A of the lift pad and pedestal configuration 1100 of FIGS. 11-16 according to one embodiment of the present disclosure. The high temperature bearing assembly 755-A is first introduced in Figure 7d. Although FIG. 17A describes a small lift pad 630-A having a diameter smaller than the wafer diameter, the hot bearing assembly 755-A can be implemented with a lift pad having a diameter substantially similar to the wafer diameter. In embodiments, the high temperature bearing assembly 755-A is configured to operate in a high temperature environment, such as a chamber at least 300 degrees Celsius.

도 17a의 고온 베어링 어셈블리 (755-A) 는 내측 사파이어 부싱 (1724) 의 길이를 제외하고, 구성에 있어서 도 7d의 고온 베어링 어셈블리 (755-B) 및 도 16a 내지 도 16b 의 고온 베어링 어셈블리 (755-B) 와 유사하다. 특히, 도 17a에서 내측 사파이어 부싱 (1724) 의 길이는 (예를 들면, 리프트 패드로부터 웨이퍼의 제거 및 배치와 같은) 웨이퍼 전달을 위한 엔드-이펙터에 의한 액세스 허용을 목적으로, 그리고 페데스탈 (140-A) 에 대한 리프트 패드 (및 리프트 패드 상의 웨이퍼) 로테이션을 목적으로, 페데스탈 (140-A) 로부터 리프트 패드의 분리를 수용하도록 구성된다. 로테이션을 위한 페데스탈 (140-A) 에 대한 리프트 패드의 이동은 약 1 mm 이며, 엔드-이펙터 액세스를 위한 리프트 패드의 이동은 14 mm 내지 18 mm 이다. 이와 같이, 고온 베어링 어셈블리 (755-A) 의 길이 L은 엔드-이펙터 액세스를 위한 리프트 패드의 보다 긴 이동을 수용하도록 구성된다. 한편, 페데스탈 (140-A) 을 중심으로 리프트 패드 (및 리프트 패드 상의 웨이퍼) 를 로테이팅시키기 위한 목적으로, 도 16a 및 도 16b 의 고온 베어링 어셈블리 (755-B) 는 페데스탈 (140-A) 로부터의 리프트 패드 분리를 수용하기만 하도록 구성된다. 예를 들면, 리프트 핀 어셈블리는 엔드-이펙터 액세스를 제공한다. 이와 같이, 고온 베어링 어셈블리 (755-B) 의 길이는 엔드-이펙터 액세스를 위한 리프트 패드의 보다 긴 운동을 수용할 필요가 없고, 고온 베어링 어셈블리 (755-A) 의 길이보다 훨씬 짧다. 고온 베어링 어셈블리 (755-A) 에 대한 기술은 본 출원 전반에 걸쳐 도입된 고온 베어링 어셈블리들 각각에 적용가능하다. The high temperature bearing assembly 755-A of FIG. 17a is identical in construction to the high temperature bearing assembly 755-B of FIG. 7d and the high temperature bearing assembly 755-B of FIGS. 16a-16b, except for the length of the inner sapphire bushing 1724. [ -B). In particular, the length of the inner sapphire bushing 1724 in Fig. 17A is intended to allow access by the end-effector for wafer transfer (e.g., removal and placement of wafers from the lift pads) A) for the purpose of rotating the lift pad (and the wafer on the lift pad) relative to the pedestal 140-A. The movement of the lift pad to the pedestal 140-A for rotation is about 1 mm, and the movement of the lift pad for end-effector access is 14 mm to 18 mm. As such, the length L of the high temperature bearing assembly 755-A is configured to accommodate the longer travel of the lift pads for end-effector access. On the other hand, for the purpose of rotating the lift pad (and the wafer on the lift pad) about the pedestal 140-A, the hot bearing assembly 755-B of FIGS. 16A and 16B is moved from the pedestal 140- Lt; RTI ID = 0.0 > lift pad < / RTI > For example, the lift pin assembly provides end-effector access. As such, the length of the high temperature bearing assembly 755-B does not need to accommodate the longer movement of the lift pads for end-effector access and is much shorter than the length of the high temperature bearing assembly 755-A. The description of the high temperature bearing assembly 755-A is applicable to each of the high temperature bearing assemblies introduced throughout this application.

또한, 전술한 리프트 패드 상승 메커니즘 (440-A) 의 구성은 (예를 들면, A-열적 고온 베어링 어셈블리와 같은) 고온 베어링 어셈블리 (755-A) 상에 (예를 들면 방사상 힘과 같은) 어떤 모먼트도 없거나 미미하도록 유도한다. 특히, 페데스탈 (140-A) 로부터 리프트 패드 (630-A) 를 분리하도록 리프트 패드 상승 메커니즘 (440-A) 이 패드 샤프트 (560-A) 를 리프팅할 때, 고온 베어링 어셈블리 (755-A) 상에 어떤 모먼트도 없거나 미미하다. The configuration of the lift pad elevation mechanism 440-A described above may also be configured such that the lift pad elevation mechanism 440-A described above is capable of generating a lift lift (e.g., a radial force) on a high temperature bearing assembly 755- It induces no or slight motions. Particularly when the lift pad lift mechanism 440-A lifts the pad shaft 560-A to separate the lift pad 630-A from the pedestal 140-A, the high temperature bearing assembly 755- There is no or little mouthed in.

도 17a에서 도시된 바와 같이, 고온 베어링 어셈블리 (755-A) 는 페데스탈 (140-A) 의 중심 축 (510-A) 의 내벽 상의 외측 스택, 그리고 패드 샤프트 (560-A) 의 외측 직경 상의 내측 스택을 포함한다. 17A, the high temperature bearing assembly 755-A includes an outer stack on the inner wall of the central axis 510-A of the pedestal 140-A and an outer stack on the inner diameter of the outer diameter of the pad shaft 560- Stack.

특히, 내측 스택은 리테이닝/스냅 링 (1720), 로드 분배 워셔 (1721), 스프링 웨이브 워셔 (1722), 로드 센터링 및 분배 워셔 (1723), 그리고 내측 사파이어 부싱 (1724) 을 포함한다. 내측 사파이어 부싱 (1724) 은 상부 에지 표면 (1791) 및 하단 에지 표면 (1792)을 갖고, 양 표면들은 모두 원뿔형의, 각진, 혹은 테이퍼드 (tapered) 표면을 갖는다. 도 17d는 일 실시예에 따른, 고온 베어링 어셈블리 (755-A) 의 환상 형상 (annular shape) 을 갖는 내측 사파이어 부싱 (1724) 을 도시한다. 이와 같이, 내측 사파이어 부싱 (1724) 은 원뿔형의 단면을 갖는다. 또한, 로드 센터링 및 분배 워셔 (1723) 는 쐐기 모양의, 원뿔 모양의, 각진, 또는 테이퍼드 표면을 갖는다. 로드 센터링 및 분배 워셔 (1723) 및 내측 사파이어 부싱 (1724) 모두의 원뿔형 표면들은 중심 샤프트 (510-A) 내의 패드 샤프트 (560-A) 의 센터링에 기여한다. In particular, the inner stack includes a retaining / snap ring 1720, a load distribution washer 1721, a spring wave washer 1722, a load centering and dispensing washer 1723, and an inner sapphire bushing 1724. Inner sapphire bushing 1724 has an upper edge surface 1791 and a lower edge surface 1792 both of which have conical, angled, or tapered surfaces. Figure 17D illustrates an inner sapphire bushing 1724 having an annular shape of a high temperature bearing assembly 755-A, according to one embodiment. Thus, the inner sapphire bushing 1724 has a conical cross-section. The load centering and dispensing washer 1723 also has a wedge-shaped, conical, angled, or tapered surface. The conical surfaces of both the load centering and dispensing washer 1723 and the inner sapphire bushing 1724 contribute to the centering of the pad shaft 560-A in the center shaft 510-A.

또, 외측 스택은 리테이닝/스냅 링 (1710), 로드 분배 워셔 (1711), 스프링 웨이브 워셔 (1712), 로드 센터링 및 분배 워셔 (1713), 그리고 외측 사파이어 부싱 (1714) 을 포함한다. 외측 사파이어 부싱 (1714) 은 상부 에지 표면 (1781) 및 하단 에지 표면 (1782) 을 갖고, 양 표면들은 모두 원뿔형의, 각진, 혹은 테이퍼드 (tapered) 표면을 갖는다. 도 17c는 본 개시의 일 실시예에 따른, 고온 베어링 어셈블리 (755-A) 의 환상 형상 (annular shape) 을 갖는 외측 사파이어 부싱 (1714) 을 도시한다. 이와 같이, 외측 사파이어 부싱 (1714) 은 원뿔형의 단면을 갖는다. 또한, 로드 센터링 및 분배 워셔 (1713) 는 쐐기 모양의, 원뿔 모양의, 각진, 또는 테이퍼드 표면을 갖는다. 로드 센터링 및 분배 워셔 (1723) 및 내측 사파이어 부싱 (1724) 모두의 원뿔형 표면들은 중심 축 (510-A) 내의 패드 샤프트 (560-A) 의 센터링에 기여한다. 외측 사파이어 부싱 (1714) 은 페데스탈 (140-A) 로부터 리프트 패드 (630-A) 가 분리될 때 내측 사파이어 부싱 (1724) 와 (예를 들면, 문지르는 것 같이) 컨택트하도록 구성된다. The outer stack also includes a retaining / snap ring 1710, a load distribution washer 1711, a spring wave washer 1712, a load centering and dispensing washer 1713, and an outer sapphire bushing 1714. The outer sapphire bushing 1714 has an upper edge surface 1781 and a lower edge surface 1782 both of which have conical, angled, or tapered surfaces. Figure 17C illustrates an outer sapphire bushing 1714 having an annular shape of a high temperature bearing assembly 755-A, according to one embodiment of the present disclosure. Thus, the outer sapphire bushing 1714 has a conical cross-section. In addition, the load centering and dispensing washer 1713 has a wedge-shaped, conical, angled, or tapered surface. The conical surfaces of both the load centering and dispensing washer 1723 and the inner sapphire bushing 1724 contribute to the centering of the pad shaft 560-A in the central axis 510-A. The outer sapphire bushing 1714 is configured to contact (e.g., as if rubbed) with the inner sapphire bushing 1724 when the lift pad 630-A is removed from the pedestal 140-A.

도 17a에서 도시된 리프트 패드 및 페데스탈 구성은 고온 베어링 어셈블리를 지지하도록 같이 구성된 센터링 챔퍼들 (1751/1752) 을 포함한다. 예를 들어, 센터링 챔퍼 (1751) 는 중심 샤프트 (510-A) 의 내벽 상에 위치되고, 중심 샤프트 (510-A) 내의 고온 베어링 어셈블리 (755-A) 의 외측 스택을 홀딩하고 놓기 위해서 (place) 리텐션 능력들을 제공할 수도 있다. 또한, 센터링 챔퍼 (1752) 는 패드 샤프트 (560-A) 의 외측 직경 상에 위치되고, 패드 샤프트 (560-A) 내의 고온 베어링 어셈블리 (755-A) 의 내측 스택을 홀딩하고 놓기 위해서 (place) 리텐션 능력들을 제공할 수도 있다. The lift pad and pedestal configuration shown in Figure 17A includes centering chamfers 1751/1752 configured to support the high temperature bearing assembly. For example, the centering chamfer 1751 is located on the inner wall of the center shaft 510-A and is positioned to hold and place the outer stack of the high temperature bearing assembly 755-A in the center shaft 510- ) Retention capabilities. The centering chamfer 1752 is also located on the outer diameter of the pad shaft 560-A and is positioned to hold and place the inner stack of the hot bearing assembly 755-A within the pad shaft 560- Retention capabilities may also be provided.

고온 베어링 어셈블리 (755-A) 는 (예를 들면, 로테이션, 리프팅, 운동, 등) 패드 샤프트 (560-A) 의 동작 동안에 중심 샤프트 (510-A) 내에서 패드 샤프트 (560-A) 의 변함없는 센터링을 제공하도록 구성된다. 또한, 고온 베어링 어셈블리 (755-A) 는 변화하는 온도들에 노출될 때 일정한 센터링을 제공하도록 구성된다. 즉, 고온 베어링 어셈블리 (755-A) 는 페데스탈 (140-A) 및 패드 샤프트 (560-A), 그리고 다른 컴포넌트들 사이의 상이한 열적 팽창 레이트들을 수용할 수 있다. 예를 들어, 고온 베어링 어셈블리 (755-A) 내의 내측 사파이어 부싱 (1724) 및 외측 사파이어 부싱 (1714) 의 사파이어 조성들은 페데스탈 (140-A) 의 중심 샤프트 (510-A) 내에서 패드 샤프트 (560-A) 의 변함없는 센터링을 제공하도록 페데스탈 (140-A) 및 패드 샤프트 (560-A) 사이의 열적 미스매치를 수용한다. 보다 구체적으로, 내측 및 외측 스택들의 금속 컴포넌트들은 열적 팽창으로 인한 프리-로드 (pre-load) 힘을 제공하고, (예를 들면, 워셔들 및 부싱들과 같은) 대응하는 원뿔형 컴포넌트들이 센터링된 상태로 머무르게 야기한다. The high temperature bearing assembly 755-A is capable of changing (e.g., rotating, lifting, moving, etc.) the pad shaft 560-A within the center shaft 510- Without centering. In addition, the high temperature bearing assembly 755-A is configured to provide constant centering when exposed to varying temperatures. That is, high temperature bearing assembly 755-A can accommodate different thermal expansion rates between pedestal 140-A and pad shaft 560-A, and other components. For example, the sapphire compositions of the inner sapphire bushing 1724 and the outer sapphire bushing 1714 in the high-temperature bearing assembly 755-A can be adjusted by the pad shaft 560 within the central shaft 510-A of the pedestal 140- A) and the pad shaft 560-A to provide consistent centering of the pedestal 140-A. More specifically, the metal components of the inner and outer stacks provide a pre-load force due to thermal expansion, and the corresponding conical components (e.g., washers and bushings) .

도 17b는 본 개시의 일 실시예에 따른, 도 17a의 고온 베어링 어셈블리 (75-A) 의 사시도이다. 특히, 패드 샤프트 (560-A) 의 외측 직경 상에 위치된 고온 베어링 어셈블리 (755-A) 의 내측 스택이 도시된다. 내측 스택은 리테이닝/스냅 링 (1720), 로드 분배 워셔 (1721), 스프링 웨이브 워셔 (1722), 로드 센터링 및 분배 워셔 (1723), 그리고 내측 사파이어 부싱 (1724) 을 포함한다. 또한, 챔퍼 (1752) 는 내측 사파이어 부싱 (1724) 위에 위치된 것으로 도시된다. Figure 17B is a perspective view of the high temperature bearing assembly 75-A of Figure 17A, in accordance with one embodiment of the present disclosure. In particular, the inner stack of the hot bearing assembly 755-A located on the outer diameter of the pad shaft 560-A is shown. The inner stack includes a retaining / snap ring 1720, a load distribution washer 1721, a spring wave washer 1722, a load centering and dispensing washer 1723, and an inner sapphire bushing 1724. In addition, the chamfer 1752 is shown positioned above the inner sapphire bushing 1724.

일 실시예에서, 내측 스택 내의 웨이브 워셔 (1722) 는 로드 분배 워셔 (1721) 가 스냅 링 (1720) 에 걸쳐 동일하게 힘들을 분배하는 것을 용이하게 하도록 3개의 컨택트 포인트들을 갖는다. 또한, 외측 스택 내의 웨이브 워셔 (1712) 는 로드 분배 워셔 (1711) 가 스냅 링 (1710) 에 걸쳐 동일하게 힘들을 분배하는 것을 용이하게 하도록 3개의 컨택트 포인트들을 갖는다. In one embodiment, the wave washer 1722 in the inner stack has three contact points to facilitate the load distribution washer 1721 to equally distribute forces across the snap ring 1720. The wave washer 1712 in the outer stack also has three contact points to facilitate the load distribution washer 1711 to equally distribute forces across the snap ring 1710. [

도 18은 전술한 시스템들을 제어하기 위한 제어 모듈 (1800) 을 도시한다. 일 실시예에서, 도 1의 제어 모듈 (110) 은 제어 모듈 (1800) 의 예시적인 컴포넌트들의 일부를 포함할 수도 있다. 예를 들어, 제어 모듈 (1800) 은 프로세서, 메모리 및 하나 이상의 인터페이스들을 포함할 수도 있다. 제어 모듈 (1800) 은 센싱된 값들에 부분적으로 기초하여 시스템의 디바이스들을 제어하도록 채용될 수도 있다. 단지 예를 들면, 제어 모듈 (1800) 은 센싱된 값들 및 다른 제어 파라미터들에 기초하여 밸브들 (1802), 필터 가열기들 (1804), 펌프들 (1806), 및 기타 디바이스들 (1808) 중 하나 이상을 제어할 수도 있다. 제어 모듈 (1800) 은 단지 예를 들면, 압력 마노미터들 (1810), 플로우 미터들 (1812), 온도 센서들 (1814), 및/또는 기타 센서들 (1816) 로부터 센싱된 값들을 수신한다. 제어 모듈 (1800) 은 또한 전구체 전달 및 막의 증착 동안 프로세스 조건들을 제어하기 위해 채용될 수도 있다. 제어 모듈 (1800) 은 통상적으로 하나 이상의 메모리 디바이스들 및 하나 이상의 프로세서들을 포함할 것이다. 18 shows a control module 1800 for controlling the above-described systems. In one embodiment, the control module 110 of FIG. 1 may include some of the exemplary components of the control module 1800. For example, control module 1800 may include a processor, a memory, and one or more interfaces. The control module 1800 may be employed to control the devices of the system based in part on the sensed values. For example, control module 1800 can be configured to control one or more of valves 1802, filter heaters 1804, pumps 1806, and other devices 1808 based on sensed values and other control parameters Or more. The control module 1800 receives only the sensed values from, for example, pressure manometrics 1810, flow meters 1812, temperature sensors 1814, and / or other sensors 1816. The control module 1800 may also be employed to control process conditions during precursor delivery and deposition of the film. Control module 1800 will typically include one or more memory devices and one or more processors.

제어 모듈 (1800) 은 전구체 전달 시스템 및 증착 장치의 액티비티들을 제어할 수도 있다. 제어 모듈 (1800) 은 프로세스 타이밍, 전달 시스템 온도, 및 필터들에 걸친 압력 차, 밸브 포지션들, 가스들의 혼합물, 챔버 압력, 챔버 온도, 기판 온도, RF 전력 레벨들, 기판 척 또는 페데스탈 포지션, 및 특정한 프로세스의 다른 파라미터들을 제어하는 인스트럭션들의 세트들을 포함하는 컴퓨터 프로그램들을 실행한다. 제어 모듈 (1800) 은 또한 압력 차를 모니터링할 수도 있고 하나 이상의 경로들로부터 하나 이상의 다른 경로들로 기상 (vapor) 전구체 전달을 자동으로 스위칭할 수도 있다. 제어 모듈 (1800) 과 연관된 메모리 디바이스들 상에 저장된 다른 컴퓨터 프로그램들이 일부 실시예들에서 채용될 수도 있다The control module 1800 may control the activities of the precursor delivery system and the deposition apparatus. The control module 1800 controls the process timing, the delivery system temperature, and the pressure differential across the filters, valve positions, mixture of gases, chamber pressure, chamber temperature, substrate temperature, RF power levels, substrate chuck or pedestal position, And computer programs that include sets of instructions that control other parameters of a particular process. The control module 1800 may also monitor the pressure differential and automatically switch vapor precursor delivery from one or more paths to one or more other paths. Other computer programs stored on the memory devices associated with the control module 1800 may be employed in some embodiments

통상적으로 제어 모듈 (1800) 과 연관된 사용자 인터페이스가 있을 것이다. 사용자 인터페이스는 디스플레이 (1818) (예를 들어, 장치 및/또는 프로세스 조건들의 디스플레이 스크린 및/또는 그래픽 소프트웨어 디스플레이들) 및 포인팅 디바이스들, 키보드들, 터치 스크린들, 마이크로폰들, 등과 같은 사용자 입력 디바이스들 (1820) 을 포함할 수도 있다. There will typically be a user interface associated with the control module 1800. The user interface may include a display 1818 (e.g., display screen and / or graphical software displays of device and / or process conditions) and user input devices such as pointing devices, keyboards, touchscreens, microphones, 1820 < / RTI >

프로세스 시퀀스의 전구체 전달, 증착 및 다른 프로세스들을 제어하기 위한 컴퓨터 프로그램들은 임의의 종래의 컴퓨터 판독가능 프로그래밍 언어: 예를 들어, 어셈블리 어, C, C++, Pascal, Fortran 등으로 작성될 수 있다. 컴파일된 객체 코드 또는 스크립트가 프로그램에서 식별된 태스크들을 수행하도록 프로세서에 의해 실행된다. Computer programs for controlling precursor delivery, deposition, and other processes of a process sequence may be written in any conventional computer readable programming language, e.g., assembly language, C, C ++, Pascal, Fortran, The compiled object code or script is executed by the processor to perform tasks identified in the program.

제어 모듈 파라미터들은 예를 들어, 필터 압력 차들, 프로세스 가스 조성 및 플로우 레이트들, 온도, 압력, RF 전력 레벨들 및 저주파수 RF 주파수와 같은 플라즈마 조건들, 냉각 가스 압력, 및 챔버 벽 온도와 같은 프로세스 조건들에 관련된다. The control module parameters may include, for example, process conditions such as filter pressure differences, process gas composition and flow rates, plasma conditions such as temperature, pressure, RF power levels and low frequency RF frequency, cooling gas pressure, and chamber wall temperature Lt; / RTI >

시스템 소프트웨어는 많은 상이한 방식들로 설계되거나 구성될 수도 있다. 예를 들어, 다양한 챔버 컴포넌트 서브루틴들 또는 제어 객체들이 본 발명의 증착 프로세스들을 수행하기 위해 필요한 챔버 컴포넌트들의 동작을 제어하도록 작성될 수도 있다. 이 목적을 위한 프로그램들 또는 프로그램들의 섹션들의 예들은 기판 포지셔닝 코드, 프로세스 가스 제어 코드, 압력 제어 코드, 가열기 제어 코드 및 플라즈마 제어 코드를 포함한다. The system software may be designed or configured in many different ways. For example, various chamber component subroutines or control objects may be created to control the operation of the chamber components required to perform the deposition processes of the present invention. Examples of programs or sections of programs for this purpose include a substrate positioning code, a process gas control code, a pressure control code, a heater control code, and a plasma control code.

기판 포지셔닝 프로그램이 페데스탈 또는 척 상으로 기판을 로딩 (load) 하고 가스 유입구 및/또는 타깃과 같은 챔버의 다른 부품과 기판 사이의 간격을 제어하도록 사용되는 챔버 컴포넌트들을 제어하기 위한 프로그램 코드를 포함할 수도 있다. 프로세스 가스 제어 프로그램은 가스 조성 및 플로우 레이트들을 제어하기 위한 코드 및 선택가능하게 챔버 내 압력을 안정화하기 위해 증착 전에 챔버 내로 가스를 흘리기 위한 코드를 포함할 수도 있다. 필터 모니터링 프로그램은 측정된 차(들)와 미리 결정된 값(들) 을 비교하는 코드 및/또는 경로들을 스위칭하기 위한 코드를 포함한다. 압력 제어 프로그램은 예를 들어, 챔버의 배기 시스템의 쓰로틀 밸브를 조절함으로써 챔버 내 압력을 제어하기 위한 코드를 포함할 수도 있다. 가열기 제어 프로그램은 전구체 전달 시스템의 컴포넌트들, 기판 및/또는 시스템의 다른 부분들을 가열하기 위해 가열 유닛들로의 전류를 제어하기 위한 코드를 포함할 수도 있다. 대안적으로, 가열기 제어 프로그램은 기판 척으로의 헬륨과 같은 열 전달 가스의 전달을 제어할 수도 있다. The substrate positioning program may include program code for controlling the chamber components used to load the substrate onto a pedestal or chuck and to control the spacing between the substrate and other components of the chamber such as the gas inlet and / have. The process gas control program may include a code for controlling the gas composition and the flow rates and a code for channeling the gas into the chamber prior to deposition to selectably stabilize the pressure in the chamber. The filter monitoring program includes code for comparing the measured difference (s) with predetermined value (s) and / or code for switching paths. The pressure control program may, for example, comprise a code for controlling the pressure in the chamber by adjusting the throttle valve of the exhaust system of the chamber. The heater control program may include code for controlling the current to the heating units to heat the components of the precursor delivery system, the substrate, and / or other parts of the system. Alternatively, the heater control program may control the transfer of heat transfer gas, such as helium, to the substrate chuck.

증착 동안 모니터링될 수도 있는 센서들의 예들은, 이로 제한되는 것은 아니지만, 질량 유량 제어 모듈들, 압력 마노미터들 (1810) 과 같은 압력 센서들 및 전달 시스템, 페데스탈 또는 척 내에 위치된 열전대들 (예를 들어, 온도 센서들 (1814/220)) 을 포함한다. 적절하게 프로그램된 피드백 및 제어 알고리즘들은 목표된 프로세스 조건들을 유지하기 위해 이들 센서들로부터의 데이터를 사용할 수도 있다. 전술한 바는 단일-챔버반도체 프로세싱 툴 또는 멀티-챔버 반도체 프로세싱 툴에서 본 개시의 실시예들의 구현예를 기술한다. Examples of sensors that may be monitored during deposition include, but are not limited to, mass flow control modules, pressure sensors such as pressure manometers 1810 and thermocouples located within a delivery system, pedestal, or chuck , Temperature sensors 1814/220). Properly programmed feedback and control algorithms may use data from these sensors to maintain the desired process conditions. The foregoing describes implementations of embodiments of the present disclosure in a single-chamber semiconductor processing tool or a multi-chamber semiconductor processing tool.

일부 구현예들에서, 제어기는 상술한 예들의 일부일 수도 있는 시스템의 일부이다. 이러한 시스템들은, 프로세싱 툴 또는 툴들, 챔버 또는 챔버들, 프로세싱용 플랫폼 또는 플랫폼들, 및/또는 특정 프로세싱 컴포넌트들 (기판 페데스탈, 가스 플로우 시스템, 등) 을 포함하는, 반도체 프로세싱 장비를 포함할 수 있다. 이들 시스템들은 반도체 웨이퍼 또는 기판의 프로세싱 이전에, 프로세싱 동안에 그리고 프로세싱 이후에 그들의 동작을 제어하기 위한 전자장치에 통합될 수도 있다. 전자장치들은 시스템 또는 시스템들의 다양한 컴포넌트들 또는 하위부품들을 제어할 수도 있는 "제어기"로서 지칭될 수도 있다. 제어기는, 시스템의 프로세싱 요건들 및/또는 타입에 따라서, 프로세싱 가스들의 전달, 온도 설정사항들 (예를 들어, 가열 및/또는 냉각), 압력 설정사항들, 진공 설정사항들, 전력 설정사항들, 무선 주파수 (RF) 생성기 설정사항들, RF 매칭 회로 설정사항들, 주파수 설정사항들, 플로우 레이트 설정사항들, 유체 전달 설정사항들, 위치 및 동작 설정사항들, 툴들 및 다른 이송 툴들 및/또는 특정 시스템과 연결되거나 인터페이싱된 로드록들 내외로의 기판 이송들을 포함하는, 본 개시에 개시된 프로세스들 중 임의의 프로세스들을 제어하도록 프로그램될 수도 있다. In some implementations, the controller is part of a system that may be part of the above examples. Such systems may include semiconductor processing equipment, including processing tools or tools, chambers or chambers, processing platforms or platforms, and / or specific processing components (substrate pedestals, gas flow systems, etc.) . These systems may be integrated into an electronic device for controlling their operation before, during, and after the processing of a semiconductor wafer or substrate. Electronic devices may also be referred to as " controllers " that may control various components or sub-components of the system or systems. The controller may control the delivery of processing gases, temperature settings (e.g., heating and / or cooling), pressure settings, vacuum settings, power settings, etc., depending on the processing requirements and / , RF generator settings, RF matching circuit settings, frequency settings, flow rate settings, fluid delivery settings, location and operation settings, tools and other transport tools, and / or May be programmed to control any of the processes disclosed in this disclosure, including substrate transfers into and out of loadlocks that are interfaced or interfaced with a particular system.

일반적으로 말하자면, 제어기는 인스트럭션들을 수신하고, 인스트럭션들을 발행하고, 동작을 제어하고, 세정 동작들을 인에이블하고, 엔드포인트 측정들을 인에이블하는 등을 하는 다양한 집적 회로들, 로직, 메모리, 및/또는 소프트웨어를 갖는 전자장치로서 규정될 수도 있다. 집적 회로들은 프로그램 인스트럭션들을 저장하는 펌웨어의 형태의 칩들, 디지털 신호 프로세서들 (DSP), ASIC (application specific integrated circuit) 으로서 규정되는 칩들 및/또는 프로그램 인스트럭션들 (예를 들어, 소프트웨어) 을 실행하는 하나 이상의 마이크로프로세서들, 또는 마이크로제어기들을 포함할 수도 있다. 프로그램 인스트럭션들은 반도체 웨이퍼 상에서 또는 반도체 기판에 대한 특정 프로세스를 실행하기 위한 동작 파라미터들을 규정하는, 다양한 개별 설정사항들 (또는 프로그램 파일들) 의 형태로 제어기로 또는 시스템으로 전달되는 인스트럭션들일 수도 있다. 일부 실시예들에서, 동작 파라미터들은 하나 이상의 층들, 재료들, 금속들, 산화물들, 실리콘, 이산화 실리콘, 표면들, 회로들, 및/또는 웨이퍼의 다이들의 제조 동안에 하나 이상의 프로세싱 단계들을 달성하도록 프로세스 엔지니어에 의해서 규정된 레시피의 일부일 수도 있다. Generally speaking, the controller may include various integrated circuits, logic, memory, and / or code that receives instructions, issues instructions, controls operations, enables cleaning operations, enables endpoint measurements, and / May be defined as an electronic device having software. The integrated circuits may be implemented as chips that are in the form of firmware that stores program instructions, digital signal processors (DSPs), chips that are defined as application specific integrated circuits (ASICs), and / or one that executes program instructions (e.g., Microprocessors, or microcontrollers. The program instructions may be instructions that are passed to the controller or to the system in the form of various individual settings (or program files) that define operating parameters for executing a particular process on a semiconductor wafer or semiconductor substrate. In some embodiments, the operating parameters may be varied to achieve one or more processing steps during fabrication of one or more layers, materials, metals, oxides, silicon, silicon dioxide, surfaces, circuits, and / It may be part of the recipe specified by the engineer.

제어기는, 일부 구현예들에서, 시스템에 통합되거나, 시스템에 커플링되거나, 이와 달리 시스템에 네트워킹되거나, 또는 이들의 조합으로 될 수 있는 컴퓨터에 커플링되거나 이의 일부일 수도 있다. 예를 들어, 제어기는 기판 프로세싱의 원격 액세스를 가능하게 할 수 있는 공장 (fab) 호스트 컴퓨터 시스템의 전부 또는 일부의 "클라우드" 내에 있을 수도 있다. 컴퓨터는 제조 동작들의 현 진행을 모니터링하고, 과거 제조 동작들의 이력을 조사하고, 복수의 제조 동작들로부터 경향들 또는 성능 계측치들을 조사하고, 현 프로세싱의 파라미터들을 변경하고, 현 프로세싱을 따르는 프로세싱 단계들을 설정하고, 또는 새로운 프로세스를 시작하기 위해서 시스템으로의 원격 액세스를 인에이블할 수도 있다. 일부 예들에서, 원격 컴퓨터 (예를 들어, 서버) 는 로컬 네트워크 또는 인터넷을 포함할 수도 있는 네트워크를 통해서 프로세스 레시피들을 시스템에 제공할 수 있다. The controller, in some implementations, may be coupled to or be part of a computer that may be integrated into the system, coupled to the system, or otherwise networked to the system, or a combination thereof. For example, the controller may be in a " cloud " of all or a portion of a factory host computer system that may enable remote access to substrate processing. The computer monitors the current progress of manufacturing operations, examines the history of past manufacturing operations, examines trends or performance metrics from a plurality of manufacturing operations, changes parameters of current processing, and performs processing steps following current processing Or may enable remote access to the system to start a new process. In some instances, a remote computer (e.g., a server) may provide process recipes to the system via a network that may include a local network or the Internet.

원격 컴퓨터는 차후에 원격 컴퓨터로부터 시스템으로 전달될 파라미터들 및/또는 설정사항들의 입력 또는 프로그래밍을 인에이블하는 사용자 인터페이스를 포함할 수도 있다. 일부 예들에서, 제어기는 하나 이상의 동작들 동안에 수행될 프로세스 단계들 각각에 대한 파라미터들을 특정한, 데이터의 형태의 인스트럭션들을 수신한다. 이 파라미터들은 제어기가 제어하거나 인터페이싱하도록 구성된 툴의 타입 및 수행될 프로세스의 타입에 특정적일 수도 있다는 것이 이해되어야 한다. 따라서, 상술한 바와 같이, 제어기는 예를 들어 서로 네트워킹되어서 함께 공통 목적을 위해서, 예를 들어 본 개시에 기술된 프로세스들 및 제어들을 위해서 협력하는 하나 이상의 개별 제어기들을 포함함으로써 분산될 수도 있다. 이러한 목적을 위한 분산형 제어기의 예는 챔버 상의 프로세스를 제어하도록 조합되는, (예를 들어, 플랫폼 레벨에서 또는 원격 컴퓨터의 일부로서) 원격으로 위치한 하나 이상의 집적 회로들과 통신하는 챔버 상의 하나 이상의 집적 회로들일 수 있다. The remote computer may include a user interface for enabling input or programming of parameters and / or settings to be subsequently communicated from the remote computer to the system. In some instances, the controller receives instructions in the form of data, specifying parameters for each of the process steps to be performed during one or more operations. It should be appreciated that these parameters may be specific to the type of tool that is configured to control or interface with the controller and the type of process to be performed. Thus, as described above, the controllers may be distributed, for example, by including one or more individual controllers networked together and cooperating together for common purposes, e.g., for the processes and controls described in this disclosure. An example of a distributed controller for this purpose is one or more integrated on a chamber communicating with one or more integrated circuits located remotely (e. G., At the platform level or as part of a remote computer) Circuits.

비한정적으로, 예시적인 시스템들은 플라즈마 에칭 챔버 또는 모듈, 증착 챔버 또는 모듈, 스핀-린스 챔버 또는 모듈, 금속 도금 챔버 또는 모듈, 세정 챔버 또는 모듈, 베벨 에지 에칭 챔버 또는 모듈, PVD (physical vapor deposition) 챔버 또는 모듈, CVD (chemical vapor deposition) 챔버 또는 모듈, ALD (atomic layer deposition) 챔버 또는 모듈, ALE (atomic layer etch) 챔버 또는 모듈, 이온 주입 챔버 또는 모듈, 트랙 (track) 챔버 또는 모듈, 및 반도체 웨이퍼들의 제조 및/또는 제작 시에 사용되거나 연관될 수도 있는 임의의 다른 반도체 프로세싱 시스템들을 포함할 수도 있다. Exemplary systems include, but are not limited to, a plasma etch chamber or module, a deposition chamber or module, a spin-rinse chamber or module, a metal plating chamber or module, a cleaning chamber or module, a bevel edge etch chamber or module, A chamber or module, a chemical vapor deposition (CVD) chamber or module, an ALD (atomic layer deposition) chamber or module, an ALE (atomic layer etch) chamber or module, an ion implantation chamber or module, a track chamber or module, Or any other semiconductor processing systems that may be used or associated with fabrication and / or fabrication of wafers.

상술한 바와 같이, 툴에 의해서 수행될 프로세스 단계 또는 단계들에 따라서, 제어기는, 반도체 제작 공장 내의 툴 위치들 및/또는 로드 포트들로부터/로 웨이퍼들의 컨테이너들을 이동시키는 재료 이송 시에 사용되는, 다른 툴 회로들 또는 모듈들, 다른 툴 컴포넌트들, 클러스터 툴들, 다른 툴 인터페이스들, 인접 툴들, 이웃하는 툴들, 공장 도처에 위치한 툴들, 메인 컴퓨터, 또 다른 제어기 또는 툴들 중 하나 이상과 통신할 수도 있다. As described above, depending on the process steps or steps to be performed by the tool, the controller can be used to transfer the material to move the containers of wafers from / to the tool positions and / or load ports in the semiconductor fabrication plant. May communicate with one or more of other tool circuits or modules, other tool components, cluster tools, other tool interfaces, adjacent tools, neighboring tools, tools located all over the plant, main computer, another controller or tools .

본 실시예들의 전술한 기술은 예시 및 기술을 목적으로 제공되었다. 이는 본 개시를 제한하거나 총망라하는 것으로 의도되지 않았다. 특정한 실시예의 개별 엘리먼트들 또는 피처들은 일반적으로 특정한 실시예로 제한되지 않고, 인가되면, 구체적으로 도시되거나 기술되지 않더라도, 선택된 실시예에서 사용될 수 있고 상호교환가능하다. 동일한 바가 또한 많은 방식들로 가변될 수도 있다. 이러한 변동들은 본 개시로부터 벗어나는 것으로 간주되지 않고, 모든 이러한 수정들이 본 개시의 범위 내에 포함되는 것으로 의도된다. The foregoing description of the embodiments has been presented for purposes of illustration and description. It is not intended to be exhaustive or to limit the present disclosure. The individual elements or features of a particular embodiment are not generally limited to a particular embodiment and, if applied, may be used in selected embodiments and are interchangeable, even if not specifically shown or described. The same bar may also vary in many ways. Such variations are not to be regarded as a departure from the present disclosure, and all such modifications are intended to be included within the scope of this disclosure.

전술한 실시예들이 이해의 명확성을 목적으로 다소 상세히 기술되었지만, 특정한 변화들 및 수정들이 첨부된 청구항의 범위 내에서 실시될 수 있다는 것이 자명할 것이다. 이에 따라, 본 실시예들은 예시적이고 비제한적인 것으로 고려되고, 본 실시예들은 본 개시에 제공된 상세들로 제한되지 않고 청구항들의 범위 및 등가물 내에서 수정될 수도 있다. While the foregoing embodiments have been described in some detail for purposes of clarity of understanding, it will be apparent that certain changes and modifications may be practiced within the scope of the appended claims. Accordingly, the embodiments are to be considered as illustrative and not restrictive, and the embodiments are not intended to be limited to the details provided in this disclosure, but may be modified within the scope and equivalence of the claims.

Claims (50)

웨이퍼 상에 막을 증착하기 위해 프로세스 챔버 내에서 사용되는 어셈블리에 있어서,
메인 프레임에 이동가능하게 장착된 페데스탈을 포함하는 페데스탈 어셈블리;
상기 페데스탈 어셈블리와 함께 이동하고 상기 페데스탈의 페데스탈 상단 표면 상에 받쳐지도록 구성된 리프트 패드; 및
상기 페데스탈로부터 상기 리프트 패드를 분리하도록 구성된 리프트 패드 상승 메커니즘으로서,
상기 메인 프레임에 대해 고정된 상부 하드 정지부 (hard stop);
상기 페데스탈 어셈블리에 부착된 제 1 롤러;
상기 페데스탈 어셈블리에 이동가능하게 부착되는 슬라이드;
상기 패드 샤프트와 상호연결되고 상기 슬라이드와 상호연결되는 리프트 패드 브래킷으로서, 상기 패드 샤프트는 중심 축을 따라 상기 리프트 패드로부터 연장하는, 상기 리프트 패드 브래킷; 및
핀을 통해 상기 리프트 패드 브래킷에 로테이팅 가능하게 부착되는 레버로서, 상기 레버는 상기 상부 하드 정지부와 인게이지할 때가 아니면 중립적인 포지션의 상기 제 1 롤러 상에 받쳐지는, 상기 레버를 포함하고,
상기 페데스탈 어셈블리가 상향으로 이동할 때, 상기 레버는 상기 상부 하드 정지부 및 제 1 롤러와 인게이지 하는 때 상기 핀을 중심으로 로테이팅하도록, 그리고 프로세스 로테이션 변위 (rotation displacement) 만큼 상기 페데스탈 상단 표면으로부터 상기 리프트 패드를 분리하도록 구성되는, 상기 리프트 패드 상승 메커니즘을 포함하는, 어셈블리.
An assembly for use in a process chamber for depositing a film on a wafer,
A pedestal assembly including a pedestal movably mounted in the mainframe;
A lift pad configured to move with the pedestal assembly and to be supported on the pedestal upper surface of the pedestal; And
A lift pad lift mechanism configured to separate the lift pad from the pedestal,
An upper hard stop fixed relative to the main frame;
A first roller attached to the pedestal assembly;
A slide movably attached to the pedestal assembly;
A lift pad bracket interconnected with and interconnected with the slide shaft, the slide shaft extending from the lift pad along a central axis; And
A lever rotatably attached to the lift pad bracket via a pin, the lever being supported on the first roller at a neutral position when not engaged with the upper hard stop,
Wherein said lever is configured to rotate about said pin as it engages said upper hard stop and said first roller and rotates about said pin from said pedestal upper surface by a process rotation displacement when said pedestal assembly moves upwards, Wherein the lift pad lift mechanism is configured to separate the lift pad.
제 1 항에 있어서,
상기 페데스탈 어셈블리는,
상기 페데스탈에 부착되고 상기 메인 프레임에 이동가능하게 부착된 페데스탈 브래킷으로서, 상기 페데스탈 브래킷은 상기 메인 프레임에 대해 상기 중심 축을 따라 상기 페데스탈을 이동시키도록 구성된, 상기 페데스탈 브래킷; 및
상기 중심 축을 따라 상기 페데스탈로부터 연장하는 중심 샤프트로서, 상기 중심 샤프트는 상기 페데스탈과 같이 이동하도록 구성되는, 상기 중심 샤프트를 더 포함하고,
상기 패드 샤프트는 상기 페데스탈로부터 상기 리프트 패드를 분리하도록 구성되고, 상기 중심 샤프트 내에 포지셔닝되는, 어셈블리.
The method according to claim 1,
The pedestal assembly includes:
A pedestal bracket attached to the pedestal and movably attached to the main frame, the pedestal bracket configured to move the pedestal along the central axis with respect to the main frame; And
A central shaft extending from the pedestal along the central axis, the central shaft being configured to move with the pedestal,
Wherein the pad shaft is configured to separate the lift pad from the pedestal and is positioned within the center shaft.
제 1 항에 있어서,
상기 레버가 상기 핀을 중심으로 로테이팅할 때, 상기 리프트 패드가 상기 중심 축을 따라 상기 페데스탈 상단 표면에 상대적으로 상향으로 이동하게 구성되도록, 상기 리프트 패드 브래킷 및 슬라이드는 같이 상기 페데스탈 어셈블리에 대해 상향으로 이동하는, 어셈블리.
The method according to claim 1,
Wherein the lift pad bracket and the slide are configured to move upwardly relative to the pedestal assembly such that when the lever rotates about the pin, the lift pad is configured to move relative to the pedestal upper surface along the central axis Moving, assemblies.
제 1 항에 있어서,
상기 핀을 중심으로 상기 레버가 로테이팅할 때, 상기 리프트 패드 및 페데스탈 어셈블리는 2:1 비로 이동하는, 어셈블리.
The method according to claim 1,
Wherein when the lever rotates about the pin, the lift pad and the pedestal assembly move in a 2: 1 ratio.
제 1 항에 있어서,
상기 레버가 상기 상부 하드 정지부와 인게이지 하지 않고 중립적 포지션에 있을 때 상기 레버 및 상기 페데스탈 어셈블리 사이에 상대적인 운동이 없는, 어셈블리.
The method according to claim 1,
Wherein there is no relative movement between the lever and the pedestal assembly when the lever is in the neutral position without engaging the upper hard stop.
제 1 항에 있어서,
상기 리프트 패드는 상기 중심 축으로부터 연장하는 패드 상단 표면 및 상기 페데스탈 상단 표면 위에 받쳐지도록 구성된 패드 하단 표면을 더 포함하고, 상기 패드 상단 표면은 웨이퍼가 상단에 배치될 때 웨이퍼를 지지하도록 구성되는, 어셈블리.
The method according to claim 1,
Wherein the lift pad further comprises a pad top surface extending from the central axis and a pad bottom surface configured to be supported on the pedestal top surface, the pad top surface being configured to support the wafer when the wafer is placed on top, .
제 6 항에 있어서,
패드 상단 표면의 직경은 웨이퍼 직경보다 작은, 어셈블리.
The method according to claim 6,
Wherein the diameter of the pad top surface is smaller than the wafer diameter.
제 6 항에 있어서,
패드 상단 표면의 직경은 웨이퍼 직경으로 대략 사이즈가 결정되는, 어셈블리.
The method according to claim 6,
Wherein the diameter of the pad top surface is approximately sized by the wafer diameter.
제 1 항에 있어서,
상기 리프트 패드는 적어도 제 1 각도 배향 (angular orientation) 및 제 2 각도 배향 사이의 상기 페데스탈로부터 분리될 때, 상기 페데스탈 상단 표면에 대해 로테이팅하도록 구성되는, 어셈블리.
The method according to claim 1,
Wherein the lift pad is configured to rotate relative to the pedestal upper surface when separated from the pedestal between at least a first angular orientation and a second angular orientation.
제 1 항에 있어서,
상기 리프트 패드는 상기 페데스탈 상단 표면의 리세스 내에 놓이는, 어셈블리.
The method according to claim 1,
Wherein the lift pad lies within a recess in the pedestal top surface.
제 1 항에 있어서,
상기 리프트 패드 브래킷은 상기 패드 샤프트와 상호연결된 페로시일 어셈블리와 상호연결되고, 상기 페로시일 어셈블리는 상기 패드 샤프트가 로테이팅하는 동안 또는 로테이팅하지 않는 동안, 상기 패드 샤프트 주변에 진공 시일 (vacuum seal) 을 제공하도록 구성되는, 어셈블리.
The method according to claim 1,
The lift pad bracket is interconnected with a ferro-seal assembly interconnected with the pad shaft, and the ferro-seal assembly includes a vacuum seal around the pad shaft during or during the rotation of the pad shaft, Said assembly comprising:
웨이퍼 상에 막을 증착하기 위해 프로세스 챔버 내에서 사용되는 어셈블리에 있어서,
메인 프레임에 이동가능하게 장착된 페데스탈을 포함하는 페데스탈 어셈블리;
상기 페데스탈 어셈블리와 함께 이동하고 상기 페데스탈의 페데스탈 상단 표면 상에 받쳐지도록 구성된 리프트 패드; 및
상기 페데스탈로부터 상기 리프트 패드를 분리하도록 구성된 리프트 패드 상승 메커니즘으로서,
상기 메인 프레임에 대해 고정된 상부 하드 정지부;
상기 메인 프레임에 대해 고정되고, 상기 메인 프레임을 기준으로 상기 상부 하드 정지부 아래에 위치한 하부 하드 정지부;
상기 페데스탈 어셈블리에 부착된 제 1 롤러;
상기 페데스탈 어셈블리에 부착된 제 2 롤러;
상기 페데스탈 어셈블리에 이동가능하게 부착되는 슬라이드; 및
상기 패드 샤프트와 상호연결되고 상기 슬라이드와 상호연결되는 리프트 패드 브래킷으로서, 상기 패드 샤프트는 중심 축을 따라 상기 리프트 패드로부터 연장하는, 상기 리프트 패드 브래킷;
핀을 통해 상기 리프트 패드 브래킷에 로테이팅 가능하게 부착되는 레버로서, 상기 레버는 상기 상부 하드 정지부와 인게이지할 때가 아니면 중립적인 포지션의 상기 제 1 롤러 상에 받쳐지는, 상기 레버를 포함하고,
상기 페데스탈 어셈블리가 상향으로 이동할 때, 상기 레버는 상기 제 1 롤러와 상기 상부 하드 정지부와 인게이지하는 때 상기 핀을 중심으로 로테이팅하도록, 그리고 프로세스 로테이션 변위만큼 상기 페데스탈 상단 표면으로부터 상기 리프트 패드를 분리하도록 구성되고,
상기 페데스탈 어셈블리가 하향으로 이동할 때, 상기 레버는 상기 제 2 롤러와 상기 하부 하드 정지부와 인게이지하는 때 상기 핀을 중심으로 로테이팅하도록, 그리고 엔드-이펙터 액세스 변위만큼 상기 페데스탈로부터 상기 리프트 패드를 분리하도록 구성되는, 상기 리프트 패드 상승 메커니즘을 포함하는, 어셈블리.
An assembly for use in a process chamber for depositing a film on a wafer,
A pedestal assembly including a pedestal movably mounted in the mainframe;
A lift pad configured to move with the pedestal assembly and to be supported on the pedestal upper surface of the pedestal; And
A lift pad lift mechanism configured to separate the lift pad from the pedestal,
An upper hard stop fixed to the main frame;
A lower hard stop fixed to the main frame and positioned below the upper hard stop with respect to the main frame;
A first roller attached to the pedestal assembly;
A second roller attached to the pedestal assembly;
A slide movably attached to the pedestal assembly; And
A lift pad bracket interconnected with and interconnected with the slide shaft, the slide shaft extending from the lift pad along a central axis;
A lever rotatably attached to the lift pad bracket via a pin, the lever being supported on the first roller at a neutral position when not engaged with the upper hard stop,
When the pedestal assembly moves upwards, the lever rotates about the pin as it engages the first roller and the upper hard stop, and rotates the lift pad from the pedestal top surface by a process rotation displacement Respectively,
When the pedestal assembly moves downward, the lever rotates about the pin as it engages the second roller and the lower hard stop, and rotates the lift pad from the pedestal by an end-effector access displacement Wherein the lift pad lift mechanism is configured to separate the lift pad from the lift pad.
제 12 항에 있어서,
상기 제 2 롤러는 상기 페데스탈 어셈블리에 대하여 상기 제 1 롤러로부터 측방향으로 (laterally) 오프셋되고, 상기 페데스탈 어셈블리에 대하여 상기 중심 축에 평행한 상기 제 1 롤러로부터 수직으로 오프셋되는, 어셈블리.
13. The method of claim 12,
Wherein the second roller is laterally offset from the first roller relative to the pedestal assembly and is vertically offset from the first roller parallel to the central axis with respect to the pedestal assembly.
제 12 항에 있어서,
상기 페데스탈 어셈블리는,
상기 페데스탈에 부착되고 상기 메인 프레임에 이동가능하게 부착된 페데스탈 브래킷으로서, 상기 페데스탈 브래킷은 상기 메인 프레임에 대해 상기 중심 축을 따라 상기 페데스탈을 이동시키도록 구성된, 상기 페데스탈 브래킷; 및
상기 중심 축을 따라 상기 페데스탈로부터 연장하는 중심 샤프트로서, 상기 중심 샤프트는 상기 페데스탈과 같이 이동하도록 구성되는, 상기 중심 샤프트를 더 포함하고,
상기 패드 샤프트는 상기 페데스탈로부터 상기 리프트 패드를 분리하도록 구성되고, 상기 중심 샤프트에 포지셔닝되는, 어셈블리.
13. The method of claim 12,
The pedestal assembly includes:
A pedestal bracket attached to the pedestal and movably attached to the main frame, the pedestal bracket configured to move the pedestal along the central axis with respect to the main frame; And
A central shaft extending from the pedestal along the central axis, the central shaft being configured to move with the pedestal,
Wherein the pad shaft is configured to separate the lift pad from the pedestal and is positioned on the center shaft.
제 12 항에 있어서,
상기 레버가 상기 핀을 중심으로 로테이팅할 때, 상기 리프트 패드가 상기 중심 축을 따라 상기 페데스탈 상단 표면에 상대적으로 상향으로 이동하게 구성되도록 상기 리프트 패드 브래킷 및 슬라이드는 같이 상기 페데스탈 어셈블리에 대해 상향으로 이동하는, 어셈블리.
13. The method of claim 12,
The lift pad bracket and the slide are moved upwardly with respect to the pedestal assembly such that when the lever rotates about the pin, the lift pad is configured to move relative to the pedestal upper surface along the central axis, Assembly.
웨이퍼 상에 막을 증착하기 위해 프로세스 챔버 내에서 사용되는 어셈블리에 있어서,
메인 프레임에 페데스탈을 포함하는 페데스탈 어셈블리를 이동가능하게 장착하기 위한 수단;
상기 페데스탈 어셈블리와 함께 상기 페데스탈의 페데스탈 상단 표면 위에 받쳐지도록 구성되는 리프트 패드를 이동시키기 위한 수단; 및
상기 페데스탈로부터 상기 리프트 패드를 분리하기 위한 수단으로서,
상기 메인 프레임에 대해 상부 하드 정지부를 고정하기 위한 수단;
상기 페데스탈 어셈블리로 제 1 롤러를 부착하기 위한 수단;
상기 페데스탈 어셈블리로 슬라이드를 이동가능하게 부착하기 위한 수단;
패드 샤프트로 그리고 상기 슬라이드로 리프트 패드 브래킷을 상호연결하기 위한 수단으로서, 상기 패드 샤프트는 중심 축을 따라 상기 리프트 패드로부터 연장하는, 상기 수단; 및
핀을 통해 상기 리프트 패드 브래킷에 레버를 로테이팅 가능하게 부착하기 위한 수단으로서, 상기 레버는 상기 상부 하드 정지부와 인게이지될 때가 아니면 중립적 포지션에 있는 상기 제 1 롤러 상에 받쳐지는, 상기 수단을 포함하고,
상기 페데스탈 어셈블리가 상향으로 이동할 때, 상기 레버는 상기 상부 하드 정지부 및 제 1 롤러와 인게이지 하는 때 상기 핀을 중심으로 로테이팅하도록, 그리고 프로세스 로테이션 변위 (rotation displacement) 만큼 상기 페데스탈 상단 표면으로부터 상기 리프트 패드를 분리하도록 구성되는, 상기 페데스탈로부터 상기 리프트 패드를 분리하기 위한 상기 수단을 포함하는, 어셈블리.
An assembly for use in a process chamber for depositing a film on a wafer,
Means for movably mounting a pedestal assembly comprising a pedestal in a mainframe;
Means for moving a lift pad configured to be supported on the pedestal upper surface of the pedestal with the pedestal assembly; And
Means for separating the lift pad from the pedestal,
Means for securing an upper hard stop to the main frame;
Means for attaching the first roller to the pedestal assembly;
Means for movably attaching the slide to the pedestal assembly;
Means for interconnecting the lift pad bracket to the pad shaft and to the slide, the pad shaft extending from the lift pad along a central axis; And
Means for rotatably attaching the lever to the lift pad bracket via a pin, the lever being supported on the first roller in a neutral position unless it is engaged with the upper hard stop, Including,
Wherein said lever is configured to rotate about said pin as it engages said upper hard stop and said first roller and rotates about said pin from said pedestal upper surface by a process rotation displacement when said pedestal assembly moves upwards, Said lift pad being configured to separate said lift pad from said pedestal.
제 16 항에 있어서,
상기 페데스탈 어셈블리는,
상기 메인 프레임에 상기 페데스탈 브래킷을 이동가능하게 부착하기 위한 수단 및 상기 페데스탈에 페데스탈 브래킷을 부착하기 위한 수단으로서, 상기 페데스탈 브래킷은 상기 메인 프레임에 대해 상기 중심 축을 따라 상기 페데스탈을 이동시키도록 구성되는, 상기 수단; 및
상기 중심 축을 따라 상기 페데스탈로부터 중심 샤프트를 연장하기 위한 수단으로서, 상기 중심 샤프트는 상기 페데스탈과 같이 이동하도록 구성되는, 상기 수단을 더 포함하고,
상기 패드 샤프트는 상기 페데스탈로부터 상기 리프트 패드를 분리하도록 구성되고, 상기 중심 샤프트 내에 포지셔닝되는, 어셈블리.
17. The method of claim 16,
The pedestal assembly includes:
Means for movably attaching the pedestal bracket to the main frame and means for attaching a pedestal bracket to the pedestal, wherein the pedestal bracket is configured to move the pedestal along the central axis with respect to the main frame; Said means; And
Means for extending a center shaft from the pedestal along the central axis, the central shaft being configured to move with the pedestal,
Wherein the pad shaft is configured to separate the lift pad from the pedestal and is positioned within the center shaft.
제 16 항에 있어서,
상기 레버가 상기 핀을 중심으로 로테이팅할 때, 상기 리프트 패드가 상기 중심 축을 따라 상기 페데스탈 상단 표면에 상대적으로 상향으로 이동하게 구성되도록 상기 리프트 패드 브래킷 및 슬라이드는 같이 상기 페데스탈 어셈블리에 대해 상향으로 이동하는, 어셈블리.
17. The method of claim 16,
The lift pad bracket and the slide are moved upwardly with respect to the pedestal assembly such that when the lever rotates about the pin, the lift pad is configured to move relative to the pedestal upper surface along the central axis, Assembly.
제 16 항에 있어서,
상기 핀을 중심으로 상기 레버가 로테이팅할 때, 상기 리프트 패드 및 상기 페데스탈 어셈블리는 2:1 비로 이동하는, 어셈블리.
17. The method of claim 16,
Wherein when the lever rotates about the pin, the lift pad and the pedestal assembly move at a 2: 1 ratio.
제 16 항에 있어서,
상기 레버가 상기 상부 하드 정지부와 인게이지 하지 않고 중립적 포지션에 있을 때 상기 레버 및 상기 페데스탈 어셈블리 사이에 상대적인 운동이 없는, 어셈블리.
17. The method of claim 16,
Wherein there is no relative movement between the lever and the pedestal assembly when the lever is in the neutral position without engaging the upper hard stop.
제 16 항에 있어서,
리프트 패드를 이동하기 위한 상기 수단은 상기 중심 축으로부터 패드 상단 표면을 연장하기 위한 수단 및 상기 페데스탈 상단 표면 위에 패드 하단 표면을 받치기 위한 수단을 추가적으로 포함하고, 상기 패드 상단 표면은 그 상단에 위치한 웨이퍼를 지지하도록 구성되는, 어셈블리.
17. The method of claim 16,
The means for moving the lift pad further comprises means for extending the pad top surface from the central axis and means for supporting the pad bottom surface above the pedestal top surface, The assembly comprising:
제 21 항에 있어서,
패드 상단 표면의 직경은 웨이퍼 직경보다 작은, 어셈블리.
22. The method of claim 21,
Wherein the diameter of the pad top surface is smaller than the wafer diameter.
제 21 항에 있어서,
패드 상단 표면의 직경은 웨이퍼 직경과 대략 맞도록 사이즈가 결정되는, 어셈블리.
22. The method of claim 21,
Wherein the diameter of the pad top surface is sized to approximate the wafer diameter.
제 16 항에 있어서,
리프트 패드를 이동시키기 위한 상기 수단은 적어도 제 1 각도 배향 및 제 2 각도 배향 사이의 상기 페데스탈로부터 분리될 때 상기 페데스탈 상단 표면에 상대적으로 상기 리프트 패드를 로테이팅하는 것을 포함하는, 어셈블리.
17. The method of claim 16,
Wherein the means for moving the lift pad comprises rotating the lift pad relative to the pedestal upper surface when detached from the pedestal between at least a first angular orientation and a second angular orientation.
제 16 항에 있어서,
패드 샤프트로 그리고 상기 슬라이드로 리프트 패드 브래킷을 상호연결하기 위한 상기 수단은 상기 패드 샤프트로 상호연결된 페로시일 (ferroseal) 어셈블리와 상기 리프트 패드 브래킷을 상호연결하기 위한 수단을 포함하고, 상기 페로시일 어셈블리는 상기 패드 샤프트가 로테이팅하거나 로테이팅하지 않는 동안 상기 패드 샤프트 주변에 진공 시일 (vacuum seal) 을 제공하도록 구성되는, 어셈블리.
17. The method of claim 16,
The means for interconnecting the lift pad bracket to the pad shaft and to the slide includes means for interconnecting the lift pad bracket and a ferroseal assembly interconnected with the pad shaft, Wherein the pad shaft is configured to provide a vacuum seal around the pad shaft while it is not rotating or rotating.
웨이퍼 상에 막을 증착하기 위해 프로세스 챔버 내에서 사용되는 어셈블리에 있어서,
메인 프레임에 이동가능하게 장착된 페데스탈을 포함하는 페데스탈 어셈블리;
상기 페데스탈 어셈블리와 함께 이동하고 상기 페데스탈의 페데스탈 상단 표면 상에 받치도록 구성된 리프트 패드; 및
상기 페데스탈로부터 상기 리프트 패드를 분리하도록 구성된 리프트 패드 상승 메커니즘으로서,
작동할 때 상기 페데스탈 어셈블리에 대해 전환되도록 구성되는 레버 어셈블리;
상기 패드 샤프트 주변에 진공 시일을 제공하도록 구성되고 상기 패드 샤프트를 둘러싸는 페로시일 어셈블리로서, 상기 페로시일 어셈블리는 상기 레버 어셈블리와 상호연결되는, 상기 페로시일 어셈블리; 및
상기 레버 어셈블리가 작동하는 때 상기 페로시일 어셈블리에 인가되는 모먼트를 오프셋하도록 상기 페로시일 어셈블리의 마주보는 측들에 동일한 힘들을 인가하도록 구성되고 상기 레버 어셈블리와 상호연결된 요크 어셈블리를 포함하는, 상기 리프트 패드 상승 메커니즘을 포함하는, 어셈블리.
An assembly for use in a process chamber for depositing a film on a wafer,
A pedestal assembly including a pedestal movably mounted in the mainframe;
A lift pad configured to move with the pedestal assembly and to support against the pedestal upper surface of the pedestal; And
A lift pad lift mechanism configured to separate the lift pad from the pedestal,
A lever assembly configured to be actuated for the pedestal assembly when actuated;
A ferrous seal assembly configured to provide a vacuum seal around the pad shaft and surrounding the pad shaft, the ferru seal assembly being interconnected with the lever assembly; And
And a yoke assembly coupled to the lever assembly and configured to apply equal forces to opposing sides of the ferro seal assembly to offset a moment applied to the ferro seal assembly when the lever assembly is actuated, Wherein the assembly comprises a lift mechanism.
제 26 항에 있어서,
상기 레버 어셈블리는,
상기 메인 프레임에 대해 고정된 상부 하드 정지부;
상기 페데스탈 어셈블리에 부착된 제 1 롤러;
상기 페데스탈 어셈블리에 이동가능하게 부착되는 슬라이드;
패드 샤프트와 상호연결되고 상기 슬라이드와 상호연결되는 리프트 패드 브래킷으로서, 상기 패드 샤프트는 중심 축을 따라 상기 리프트 패드로부터 연장하는, 상기 리프트 패드 브래킷; 및
핀을 통해 상기 리프트 패드 브래킷에 로테이팅 가능하게 부착되는 레버로서, 상기 레버는 상기 상부 하드 정지부와 인게이지할 때가 아니면 중립적인 포지션의 상기 제 1 롤러 상에 받쳐지는, 상기 레버를 포함하고,
상기 페데스탈 어셈블리가 상향으로 이동할 때, 상기 레버는 상기 상부 하드 정지부 및 제 1 롤러와 인게이지 하는 때 상기 핀을 중심으로 로테이팅하도록, 그리고 프로세스 로테이션 변위 (rotation displacement) 만큼 상기 페데스탈 상단 표면으로부터 상기 리프트 패드를 분리하도록 구성되는, 어셈블리.
27. The method of claim 26,
The lever assembly includes:
An upper hard stop fixed to the main frame;
A first roller attached to the pedestal assembly;
A slide movably attached to the pedestal assembly;
A lift pad bracket interconnected with the pad shaft and interconnected with the slide, the pad shaft extending from the lift pad along a central axis; And
A lever rotatably attached to the lift pad bracket via a pin, the lever being supported on the first roller at a neutral position when not engaged with the upper hard stop,
Wherein said lever is configured to rotate about said pin as it engages said upper hard stop and said first roller and rotates about said pin from said pedestal upper surface by a process rotation displacement when said pedestal assembly moves upwards, Wherein the lift pad is configured to separate the lift pad.
제 27 항에 있어서,
상기 페로시일 어셈블리는 상기 페로시일 어셈블리의 제 1 단부에서 상기 패드 샤프트에 부착되고, 상기 페로시일 어셈블리는 상기 페로시일 어셈블리의 상기 제 1 단부 반대쪽에 있는 제 2 단부에 위치한 제 1 커넥터 암 및 제 2 커넥터 암을 포함하고, 상기 제 1 커넥터 암 및 상기 제 2 커넥터 암은 상기 페로시일 어셈블리의 마주보는 편들 상에 위치되고 상기 패드 샤프트로부터 등거리 (equidistant) 이고,
상기 요크 어셈블리는 상기 제 1 커넥터 암 및 상기 제 2 커넥터 암에서 상기 페로시일 어셈블리와 컨택트하고, 상기 요크 어셈블리는 상기 제 1 커넥터 암 및 상기 제 2 커넥터 암에 동일한 힘들을 인가하고, 상기 제 1 커넥터 암 및 상기 제 2 커넥터 암은 상기 중심 축에 대해 180도 떨어지도록 위치하는, 어셈블리.
28. The method of claim 27,
Wherein the ferro-seal assembly is attached to the pad shaft at a first end of the ferro-seal assembly, the ferro-seal assembly having a first connector arm positioned at a second end opposite the first end of the ferro- Wherein the first connector arm and the second connector arm are located on opposing sides of the ferro seal assembly and are equidistant from the pad shaft,
Wherein the yoke assembly contacts the ferrule assembly in the first connector arm and the second connector arm and the yoke assembly applies the same forces to the first connector arm and the second connector arm, Wherein the arm and the second connector arm are positioned 180 degrees away from the central axis.
제 28 항에 있어서,
상기 요크 어셈블리는,
제 2 핀을 통해 상기 리프트 패드 브래킷에 로테이팅 가능하게 부착되는 요크 베이스로서, 상기 요크 베이스는 핀 축을 중심으로 로테이팅 가능한, 상기 요크 베이스;
상기 요크 베이스에 부착되고 상기 핀 축에 평행한 상기 요크 베이스로부터 연장하는 요크 암으로서, 상기 요크 암은 방사상 변위 (radial displacement) 만큼 핀으로부터 오프셋되고, 상기 요크 암은 상기 핀 축을 중심으로 로테이팅 가능한, 상기 요크 암; 및
상기 요크 베이스로부터 먼 쪽의 상기 요크 암으로의 포크드 단으로서, 상기 포크드 단은 상기 제 1 커넥터 암과 컨택트하도록 구성된 제 1 포크 연장부 및 상기 제 2 커넥터 암과 컨택트하도록 구성된 제 2 포크 연장부를 포함하는, 상기 포크드 단을 포함하는, 어셈블리.
29. The method of claim 28,
The yoke assembly includes:
A yoke base rotatably attached to the lift pad bracket via a second pin, the yoke base being rotatable about a pin axis;
A yoke arm attached to the yoke base and extending from the yoke base parallel to the pin shaft, the yoke arm being offset from the pin by a radial displacement, the yoke arm being rotatable about the pin shaft , Said yoke arm; And
A forked end extending from the yoke base to the yoke arm, the forked end having a first fork extension configured to contact the first connector arm and a second fork extension configured to contact the second connector arm, Wherein the forked end includes a forked end.
제 27 항에 있어서,
상기 리프트 패드 상승 메커니즘은,
모두 상기 중심 축을 중심으로 상기 패드 샤프트를 로테이팅하도록 구성된, 벨트를 통해 상기 패드 샤프트에 부착되는 로테이션 모터를 더 포함하고,
상기 페로시일 어셈블리는 상기 패드 샤프트로 부착되고 상기 벨트로 부착된 벨트로 구동되는 디스크 (belt driven disk) 를 포함하는, 어셈블리.
28. The method of claim 27,
The lift pad lifting mechanism comprises:
Further comprising a rotation motor attached to the pad shaft via a belt, the rotation motor being configured to rotate the pad shaft about the central axis,
Wherein the ferro-seal assembly includes a belt driven disk attached to the pad shaft and attached to the belt.
제 27 항에 있어서,
상기 페데스탈 어셈블리는,
상기 페데스탈에 부착되고 상기 메인 프레임에 이동가능하게 부착된 페데스탈 브래킷으로서, 상기 페데스탈 브래킷은 상기 메인 프레임에 대해 상기 중심 축을 따라 상기 페데스탈을 이동시키도록 구성된, 상기 페데스탈 브래킷; 및
상기 중심 축을 따라 상기 페데스탈로부터 연장하는 중심 샤프트로서, 상기 중심 샤프트는 상기 페데스탈과 같이 이동하도록 구성되는, 상기 중심 샤프트를 더 포함하고,
상기 패드 샤프트는 상기 페데스탈로부터 상기 리프트 패드를 분리하도록 구성되고, 상기 중심 샤프트 내에 포지셔닝되는, 어셈블리.
28. The method of claim 27,
The pedestal assembly includes:
A pedestal bracket attached to the pedestal and movably attached to the main frame, the pedestal bracket configured to move the pedestal along the central axis with respect to the main frame; And
A central shaft extending from the pedestal along the central axis, the central shaft being configured to move with the pedestal,
Wherein the pad shaft is configured to separate the lift pad from the pedestal and is positioned within the center shaft.
제 27 항에 있어서,
상기 레버가 상기 핀을 중심으로 로테이팅할 때, 상기 리프트 패드가 상기 중심 축을 따라 상기 페데스탈 상단 표면에 상대적으로 상향으로 이동하게 구성되도록 상기 리프트 패드 브래킷 및 상기 슬라이드는 함께 상기 페데스탈 어셈블리에 대해 상향으로 이동하는, 어셈블리.
28. The method of claim 27,
Wherein the lift pad bracket and the slide together are configured to move upwardly with respect to the pedestal assembly such that when the lever rotates about the pin, the lift pad is configured to move relative to the pedestal upper surface along the central axis Moving, assemblies.
제 27 항에 있어서,
상기 레버가 상기 상부 하드 정지부와 인게이지하지 않고 중립적 포지션에 있을 때 상기 레버 및 상기 페데스탈 어셈블리 사이에 상대적인 운동은 없는, 어셈블리.
28. The method of claim 27,
Wherein there is no relative movement between the lever and the pedestal assembly when the lever is in the neutral position without engaging the upper hard stop.
제 27 항에 있어서,
패드 상단 표면의 직경은 웨이퍼 직경보다 작은, 어셈블리.
28. The method of claim 27,
Wherein the diameter of the pad top surface is smaller than the wafer diameter.
제 27 항에 있어서,
상기 리프트 패드는 적어도 제 1 각도 배향 및 제 2 각도 배향 사이의 상기 페데스탈로부터 분리될 때, 상기 페데스탈 상단 표면에 상대적으로 로테이팅하도록 구성되는, 어셈블리.
28. The method of claim 27,
Wherein the lift pad is configured to rotate relative to the pedestal upper surface when separated from the pedestal between at least a first angular orientation and a second angular orientation.
웨이퍼 상에 막을 증착하기 위해 프로세스 챔버 내에서 사용되는 어셈블리에 있어서,
메인 프레임에 이동가능하게 장착된 페데스탈을 포함하는 페데스탈 어셈블리;
상기 페데스탈 어셈블리와 함께 이동하고 상기 페데스탈의 페데스탈 상단 표면 상에 받쳐지도록 구성된 리프트 패드; 및
상기 페데스탈로부터 상기 리프트 패드를 분리하도록 구성된 리프트 패드 상승 메커니즘으로서,
상기 메인 프레임에 대해 고정된 상부 하드 정지부;
상기 메인 프레임에 대해 고정되고, 상기 메인 프레임을 기준으로 상기 상부 하드 정지부 아래에 위치한 하부 하드 정지부;
상기 페데스탈 어셈블리에 부착된 제 1 롤러;
상기 페데스탈 어셈블리에 부착된 제 2 롤러;
상기 페데스탈 어셈블리에 이동가능하게 부착되는 슬라이드;
상기 패드 샤프트와 상호연결되고 상기 슬라이드와 상호연결되는 리프트 패드 브래킷으로서, 상기 패드 샤프트는 중심 축을 따라 상기 리프트 패드로부터 연장하는, 상기 리프트 패드 브래킷;
핀을 통해 로테이팅 가능하게 상기 리프트 패드 브래킷에 부착되는 레버로서, 상기 레버는 상기 상부 하드 정지부와 인게이지할 때가 아니면 중립적인 포지션의 상기 제 1 롤러 상에 받쳐지는, 상기 레버;
상기 패드 샤프트가 정지하거나 로테이팅하는 동안 상기 패드 샤프트 주변에 진공 밀봉 시일을 제공하도록 구성되고 상기 패드 샤프트를 둘러싸는 페로시일 어셈블리; 및
상기 레버가 상기 핀을 중심으로 로테이팅하는 때 상기 페로시일 어셈블리에 인가되는 모먼트를 오프셋하도록 상기 페로시일 어셈블리의 마주보는 측들에 동일한 힘들을 인가하도록 구성되고 상기 리프트 패드 브래킷과 상호연결되는 요크 어셈블리를 포함하고,
상기 페데스탈 어셈블리가 상향으로 이동할 때, 상기 레버는 상기 제 1 롤러와 상기 상부 하드 정지부와 인게이지하는 때 상기 핀을 중심으로 로테이팅하도록, 그리고 프로세스 로테이션 변위만큼 상기 페데스탈 상단 표면으로부터 상기 리프트 패드를 분리하도록 구성되고,
상기 페데스탈 어셈블리가 하향으로 이동할 때, 상기 레버는 상기 제 2 롤러와 상기 하부 하드 정지부와 인게이지하는 때 상기 핀을 중심으로 로테이팅하도록, 그리고 엔드-이펙터 액세스 변위만큼 상기 페데스탈로부터 상기 리프트 패드를 분리하도록 구성되는, 상기 리프트 패드 상승 메커니즘을 포함하는, 어셈블리.
An assembly for use in a process chamber for depositing a film on a wafer,
A pedestal assembly including a pedestal movably mounted in the mainframe;
A lift pad configured to move with the pedestal assembly and to be supported on the pedestal upper surface of the pedestal; And
A lift pad lift mechanism configured to separate the lift pad from the pedestal,
An upper hard stop fixed to the main frame;
A lower hard stop fixed to the main frame and positioned below the upper hard stop with respect to the main frame;
A first roller attached to the pedestal assembly;
A second roller attached to the pedestal assembly;
A slide movably attached to the pedestal assembly;
A lift pad bracket interconnected with and interconnected with the slide shaft, the slide shaft extending from the lift pad along a central axis;
A lever attached to the lift pad bracket so as to be rotatable through a pin, the lever being supported on the first roller at a neutral position when not engaged with the upper hard stop;
A ferro seal assembly configured to provide a vacuum seal seal around the pad shaft while the pad shaft is stationary or rotating, and surrounding the pad shaft; And
A yoke assembly configured to apply the same forces to opposing sides of the ferro seal assembly to offset a moment applied to the ferro seal assembly as the lever rotates about the pin, Lt; / RTI >
When the pedestal assembly moves upwards, the lever rotates about the pin as it engages the first roller and the upper hard stop, and rotates the lift pad from the pedestal top surface by a process rotation displacement Respectively,
When the pedestal assembly moves downward, the lever rotates about the pin as it engages the second roller and the lower hard stop, and rotates the lift pad from the pedestal by an end-effector access displacement Wherein the lift pad lift mechanism is configured to separate the lift pad from the lift pad.
제 36 항에 있어서,
상기 페로시일 어셈블리는 상기 페로시일 어셈블리의 제 1 단부에서 상기 패드 샤프트에 부착되고, 상기 페로시일 어셈블리는 상기 페로시일 어셈블리의 상기 제 1 단부 반대쪽에 있는 제 2 단부에 위치한 제 1 커넥터 암 및 제 2 커넥터 암을 포함하고, 상기 제 1 커넥터 암 및 상기 제 2 커넥터 암은 상기 페로시일 어셈블리의 마주보는 편들 상에 위치되고 패드 샤프트로부터 등거리 (equidistant) 이고,
상기 요크 어셈블리는 상기 제 1 커넥터 암 및 상기 제 2 커넥터 암에서 상기 페로시일 어셈블리와 컨택트하고, 상기 요크 어셈블리는 상기 제 1 커넥터 암 및 상기 제 2 커넥터 암에 동일한 힘들을 인가하고, 상기 제 1 커넥터 암 및 상기 제 2 커넥터 암은 상기 중심 축에 대해 180도 떨어지도록 위치하는, 어셈블리.
37. The method of claim 36,
Wherein the ferro-seal assembly is attached to the pad shaft at a first end of the ferro-seal assembly, the ferro-seal assembly having a first connector arm positioned at a second end opposite the first end of the ferro- Wherein the first connector arm and the second connector arm are located on opposing sides of the ferro seal assembly and are equidistant from the pad shaft,
Wherein the yoke assembly contacts the ferrule assembly in the first connector arm and the second connector arm and the yoke assembly applies the same forces to the first connector arm and the second connector arm, Wherein the arm and the second connector arm are positioned 180 degrees away from the central axis.
제 37 항에 있어서,
상기 요크 어셈블리는,
제 2 핀을 통해 상기 리프트 패드 브래킷에 로테이팅 가능하게 부착되는 요크 베이스로서, 상기 요크 베이스는 핀 축을 중심으로 로테이팅 가능한, 상기 요크 베이스;
상기 요크 베이스에 부착되고 상기 핀 축에 평행한 상기 요크 베이스로부터 연장하는 요크 암으로서, 상기 요크 암은 방사상 변위만큼 상기 핀으로부터 오프셋되고, 상기 요크 암은 상기 핀 축을 중심으로 로테이팅 가능한, 상기 요크 암; 및
상기 요크 베이스로부터 먼 쪽의 상기 요크 암으로의 포크드 단으로서, 상기 포크드 단은 상기 제 1 커넥터 암과 컨택트하도록 구성된 제 1 포크 연장부 및 상기 제 2 커넥터 암과 컨택트하도록 구성된 제 2 포크 연장부를 포함하는, 상기 포크드 단을 포함하는, 어셈블리.
39. The method of claim 37,
The yoke assembly includes:
A yoke base rotatably attached to the lift pad bracket via a second pin, the yoke base being rotatable about a pin axis;
A yoke arm attached to the yoke base and extending from the yoke base parallel to the pin axis, the yoke arm being offset from the pin by a radial displacement, the yoke arm being rotatable about the pin axis, cancer; And
A forked end extending from the yoke base to the yoke arm, the forked end having a first fork extension configured to contact the first connector arm and a second fork extension configured to contact the second connector arm, Wherein the forked end includes a forked end.
제 36항에 있어서,
상기 페데스탈 어셈블리는,
상기 페데스탈에 부착되고 상기 메인 프레임에 이동가능하게 부착된 페데스탈 브래킷으로서, 상기 페데스탈 브래킷은 상기 메인 프레임에 대해 상기 중심 축을 따라 상기 페데스탈을 이동시키도록 구성된, 상기 페데스탈 브래킷; 및
상기 중심 축을 따라 상기 페데스탈로부터 연장하는 중심 샤프트로서, 상기 중심 샤프트는 상기 페데스탈과 같이 이동하도록 구성되는, 상기 중심 샤프트를 더 포함하고,
상기 패드 샤프트는 상기 페데스탈로부터 상기 리프트 패드를 분리하도록 구성되고, 상기 중심 샤프트 내에 포지셔닝되는, 어셈블리.
37. The method of claim 36,
The pedestal assembly includes:
A pedestal bracket attached to the pedestal and movably attached to the main frame, the pedestal bracket configured to move the pedestal along the central axis with respect to the main frame; And
A central shaft extending from the pedestal along the central axis, the central shaft being configured to move with the pedestal,
Wherein the pad shaft is configured to separate the lift pad from the pedestal and is positioned within the center shaft.
제 36 항에 있어서,
상기 레버가 상기 핀을 중심으로 로테이팅할 때, 상기 리프트 패드가 상기 중심 축을 따라 상기 페데스탈 상단 표면에 상대적으로 상향으로 이동하게 구성되도록 상기 리프트 패드 브래킷 및 슬라이드는 함께 상기 페데스탈 어셈블리에 대해 상향으로 이동하는, 어셈블리.
37. The method of claim 36,
The lift pad bracket and the slide together move upward relative to the pedestal assembly such that when the lever rotates about the pin, the lift pad is configured to move relative to the pedestal upper surface along the central axis, Assembly.
웨이퍼 상에 막을 증착하기 위해 프로세스 챔버 내에서 사용되는 어셈블리에 있어서,
메인 프레임에 페데스탈을 포함하는 페데스탈 어셈블리를 이동가능하게 장착하기 위한 수단;
상기 페데스탈 어셈블리와 함께 상기 리프트 패드를 이동시키고 상기 페데스탈의 페데스탈 상단 표면 위에 리프트 패드를 받치기 위한 수단; 및
상기 페데스탈로부터 상기 리프트 패드를 분리하는 수단으로서,
작동되는 때 상기 페데스탈 어셈블리에 대해 레버 어셈블리를 전환하기 위한 수단;
상기 패드 샤프트 주위를 페로시일 어셈블리로 둘러싸기 위한 수단 및 상기 패드 샤프트 주변에 진공 시일을 제공하는 상기 페로시일 어셈블리를 위한 수단으로서, 상기 페로시일 어셈블리는 상기 레버 어셈블리로 상호연결되는, 상기 수단;
상기 레버 어셈블리로 요크 어셈블리를 상호연결하는 수단 및 상기 레버 어셈블리가 작동될 때 상기 페로시일 어셈블리로 인가되는 모먼트를 오프셋하도록 상기 페로시일 어셈블리의 마주보는 편들에 동일한 힘들을 인가하도록 요크 어셈블리를 위한 수단을 포함하는, 상기 페데스탈로부터 상기 리프트 패드를 분리하는 수단을 포함하는, 어셈블리.
An assembly for use in a process chamber for depositing a film on a wafer,
Means for movably mounting a pedestal assembly comprising a pedestal in a mainframe;
Means for moving the lift pad with the pedestal assembly and supporting the lift pad on the pedestal upper surface of the pedestal; And
Means for separating the lift pad from the pedestal,
Means for switching the lever assembly relative to the pedestal assembly when actuated;
Means for surrounding the pad shaft with a ferro-seal assembly and means for the ferro-seal assembly to provide a vacuum seal around the pad shaft, the ferro-seal assembly being interconnected with the lever assembly;
Means for interconnecting the yoke assembly with the lever assembly and means for the yoke assembly to apply the same forces to opposing sides of the ferro seal assembly to offset a moment applied to the ferro seal assembly when the lever assembly is actuated And means for separating the lift pad from the pedestal.
제 41 항에 있어서,
상기 레버 어셈블리를 전환하기 위한 상기 수단은,
상기 메인 프레임에 대해 상부 하드 정지부를 고정하기 위한 수단;
상기 페데스탈 어셈블리로 제 1 롤러를 부착하기 위한 수단;
상기 페데스탈 어셈블리로 슬라이드를 이동가능하게 부착하기 위한 수단;
패드 샤프트로 그리고 상기 슬라이드로 리프트 패드 브래킷을 상호연결하기 위한 수단으로서, 상기 패드 샤프트는 중심 축을 따라 상기 리프트 패드로부터 연장하는, 상기 수단;
핀을 통해 상기 리프트 패드 브래킷에 레버를 로테이팅 가능하게 부착하기 위한 수단으로서, 상기 레버는 상기 상부 하드 정지부와 인게이지될 때가 아니면 중립적 포지션에 있는 상기 제 1 롤러 상에 받쳐지는, 상기 수단을 포함하고,
상기 페데스탈 어셈블리가 상향으로 이동할 때, 상기 상부 하드 정지부 및 제 1롤러와 인게이지될 때 상기 핀을 중심으로 상기 레버를 로테이팅하기 위한 수단은, 그리고 프로세스 로테이션 변위만큼 상기 페데스탈 상단 표면으로부터 상기 리프트 패드를 분리하는, 어셈블리.
42. The method of claim 41,
Wherein the means for switching the lever assembly comprises:
Means for securing an upper hard stop to the main frame;
Means for attaching the first roller to the pedestal assembly;
Means for movably attaching the slide to the pedestal assembly;
Means for interconnecting the lift pad bracket to the pad shaft and to the slide, the pad shaft extending from the lift pad along a central axis;
Means for rotatably attaching the lever to the lift pad bracket via a pin, the lever being supported on the first roller in a neutral position unless it is engaged with the upper hard stop, Including,
Wherein the means for rotating the lever about the pin when engaged with the upper hard stop and the first roller when the pedestal assembly is moved upwards comprises means for rotating the lever from the pedestal upper surface by a process rotation displacement, The assembly, which separates the pads.
제 42 항에 있어서,
상기 페로시일 어셈블리를 상기 페로시일 어셈블리의 제 1 단부에서 상기 패드 샤프트에 부착하기 위한 수단으로서, 상기 페로시일 어셈블리는 상기 페로시일 어셈블리의 제 1 단부 반대쪽에 있는 제 2 단부에 위치한 제 1 커넥터 암 및 제 2 커넥터 암을 포함하고, 상기 제 1 커넥터 암 및 상기 제 2 커넥터 암은 상기 페로시일 어셈블리의 마주보는 편들 상에 위치되고 상기 패드 샤프트로부터 등거리인, 상기 수단; 및
상기 제 1 커넥터 암 및 상기 제 2 커넥터 암에서 상기 페로시일 어셈블리와 상기 요크 어셈블리를 컨택트시키기 위한 수단, 및 상기 제 1 커넥터 암 및 상기 제 2 커넥터 암으로 동일한 힘들을 인가하도록 요크 어셈블리를 위한 수단으로서, 상기 제 1 커넥터 암 및 상기 제 2 커넥터 암은 상기 중심 축에 대해 180도 떨어져 있는, 상기 수단을 더 포함하는, 어셈블리.
43. The method of claim 42,
Means for attaching the ferro-seal assembly to the pad shaft at a first end of the ferro-seal assembly, the ferro-seal assembly having a first connector arm positioned at a second end opposite the first end of the ferro-seal assembly, The first connector arm and the second connector arm being located on opposing sides of the ferro-seal assembly and equidistant from the pad shaft; And
Means for contacting the ferro-seal assembly and the yoke assembly in the first connector arm and the second connector arm, and means for securing the yoke assembly to apply the same forces to the first connector arm and the second connector arm Wherein the first connector arm and the second connector arm are 180 degrees apart from the central axis.
제 43 항에 있어서,
상기 페로시일 어셈블리와 상기 요크 어셈블리를 컨택트시키기 위한 상기 수단은,
제 2 핀을 통해 상기 리프트 패드 브래킷으로 요크 베이스를 로테이팅 가능하게 부착하기 위한 수단으로서, 상기 요크 베이스는 핀 축을 중심으로 로테이팅 가능한, 상기 수단;
상기 핀 축에 평행한 상기 요크 베이스로부터 연장하고 상기 요크 베이스로 요크 암을 부착하기 위한 수단으로서, 상기 요크 암은 방사상 변위만큼 상기 핀으로부터 오프셋하고, 상기 요크 암은 상기 핀 축을 중심으로 로테이팅 가능한, 상기 수단; 및
상기 요크 베이스로부터 먼 쪽의 상기 요크 암으로의 포크드 단을 제공하기 위한 수단으로서, 상기 포크드 단은 상기 제 1 커넥터 암과 컨택트하도록 구성된 제 1 포크 연장부 및 상기 제 2 커넥터 암과 컨택트하도록 구성된 제 2 포크 연장부를 포함하는, 상기 수단을 포함하는, 어셈블리.
44. The method of claim 43,
Said means for contacting said ferro-seal assembly and said yoke assembly,
Means for rotatably attaching the yoke base to the lift pad bracket via a second fin, the yoke base being rotatable about a pin axis;
Means for extending from said yoke base parallel to said pin axis and attaching a yoke arm to said yoke base, said yoke arm being offset from said pin by a radial displacement, said yoke arm being rotatable about said pin axis , Said means; And
Means for providing a forked end to the yoke arm on a side away from the yoke base, the forked end having a first fork extension configured to contact the first connector arm and a second fork extension for contacting the second connector arm And a second fork extension configured.
제 42 항에 있어서,
상기 페데스탈로부터 상기 리프트 패드를 분리하는 상기 수단은,
모두 상기 중심 축을 중심으로 상기 패드 샤프트를 로테이팅하도록 구성된, 벨트를 통해 상기 패드 샤프트로 로테이션 모터를 부착하기 위한 수단; 및
상기 패드 샤프트로 부착되고 상기 벨트로 상기 페로시일 어셈블리의 벨트로 구동되는 디스크를 부착하기 위한 수단을 더 포함하는, 어셈블리.
43. The method of claim 42,
Said means for separating said lift pad from said pedestal,
Means for attaching the rotation motor to the pad shaft via a belt, all configured to rotate the pad shaft about the central axis; And
Further comprising means for attaching a disk attached to the pad shaft and driven by a belt of the ferro seal assembly with the belt.
제 42 항에 있어서,
상기 페로시일 어셈블리를 이동가능하게 장착하기 위한 상기 수단은,
상기 페데스탈 브래킷을 페데스탈에 부착하기 위한 수단 및 상기 페데스탈 브래킷을 상기 메인 프레임에 이동가능하게 부착하기 위한 수단으로서, 상기 페데스탈 브래킷은 상기 메인 프레임에 대해 상기 중심 축을 따라 상기 페데스탈을 이동시키도록 구성되는, 상기 수단;
상기 중심 축을 따라 상기 페데스탈로부터 중심 샤프트를 연장하기 위한 수단으로서, 상기 중심 축은 상기 페데스탈과 같이 이동하도록 구성되는, 상기 수단; 및
상기 패드 샤프트를 사용하는 상기 페데스탈로부터 상기 리프트 패드를 분리하기 위한 수단으로서, 상기 패드 샤프트는 상기 중심 축 내에 포지셔닝되는, 상기 수단을 더 포함하는, 어셈블리.
43. The method of claim 42,
The means for movably mounting the ferro-seal assembly,
Means for attaching the pedestal bracket to the pedestal and means for movably attaching the pedestal bracket to the main frame, the pedestal bracket being configured to move the pedestal along the central axis relative to the main frame; Said means;
Means for extending a center shaft from the pedestal along the central axis, the central axis being configured to move with the pedestal; And
And means for separating the lift pad from the pedestal using the pad shaft, wherein the pad shaft is positioned within the central axis.
제 42 항에 있어서,
상기 레버가 상기 핀을 중심으로 로테이팅할 때, 상기 리프트 패드가 상기 중심 축을 따라 상기 페데스탈 상단 표면에 상대적으로 상향으로 이동하게 구성되도록, 상기 페데스탈 어셈블리에 대해 상향으로 상기 리프트 패드 브래킷을 그리고 함께 이동가능하게 슬라이딩하기 위한 수단은, 어셈블리.
43. The method of claim 42,
The lift pad bracket is moved upwardly with respect to the pedestal assembly so that the lift pad is configured to move relative to the pedestal upper surface along the central axis when the lever rotates about the pin Wherein the means for sliding possibly comprises an assembly.
제 42 항에 있어서,
상기 레버가 상기 상부 하드 정지부와 인게이지 하지 않고 중립적 포지션에 있을 때 상기 레버 및 상기 페데스탈 어셈블리 사이에 상대적인 운동은 없는, 어셈블리.
43. The method of claim 42,
Wherein there is no relative movement between the lever and the pedestal assembly when the lever is in the neutral position without engaging the upper hard stop.
제 42 항에 있어서,
패드 상단 표면의 직경은 웨이퍼 직경보다 작은, 어셈블리.
43. The method of claim 42,
Wherein the diameter of the pad top surface is smaller than the wafer diameter.
제 42 항에 있어서,
상기 리프트 패드를 상기 페데스탈로부터 분리하기 위한 수단은 적어도 제 1 각도 배향과 제 2 각도 배향 사이에서 상기 페데스탈로부터 분리될 때 상기 페데스탈 상단 표면에 상대적으로 상기 리프트 패드를 로테이팅 시키기 위한 수단을 포함하는, 어셈블리.
43. The method of claim 42,
Wherein the means for separating the lift pad from the pedestal comprises means for rotating the lift pad relative to the pedestal upper surface when separated from the pedestal at least between a first angular orientation and a second angular orientation, assembly.
KR1020197013676A 2016-10-12 2017-10-11 Pad Raise Mechanism of Wafer Positioning Pedestal for Semiconductor Processing KR102461066B1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020227037382A KR102584339B1 (en) 2016-10-12 2017-10-11 Pad raising mechanism in wafer positioning pedestal for semiconductor processing

Applications Claiming Priority (7)

Application Number Priority Date Filing Date Title
US15/291,549 US9892956B1 (en) 2016-10-12 2016-10-12 Wafer positioning pedestal for semiconductor processing
US15/291,549 2016-10-12
US15/366,927 2016-12-01
US15/366,927 US10573549B2 (en) 2016-12-01 2016-12-01 Pad raising mechanism in wafer positioning pedestal for semiconductor processing
US15/367,903 US9960068B1 (en) 2016-12-02 2016-12-02 Moment cancelling pad raising mechanism in wafer positioning pedestal for semiconductor processing
US15/367,903 2016-12-02
PCT/US2017/056225 WO2018071598A1 (en) 2016-10-12 2017-10-11 Pad raising mechanism in wafer positioning pedestal for semiconductor processing

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020227037382A Division KR102584339B1 (en) 2016-10-12 2017-10-11 Pad raising mechanism in wafer positioning pedestal for semiconductor processing

Publications (2)

Publication Number Publication Date
KR20190057404A true KR20190057404A (en) 2019-05-28
KR102461066B1 KR102461066B1 (en) 2022-10-28

Family

ID=61906003

Family Applications (3)

Application Number Title Priority Date Filing Date
KR1020227037382A KR102584339B1 (en) 2016-10-12 2017-10-11 Pad raising mechanism in wafer positioning pedestal for semiconductor processing
KR1020237032964A KR20230141940A (en) 2016-10-12 2017-10-11 Pad raising mechanism in wafer positioning pedestal for semiconductor processing
KR1020197013676A KR102461066B1 (en) 2016-10-12 2017-10-11 Pad Raise Mechanism of Wafer Positioning Pedestal for Semiconductor Processing

Family Applications Before (2)

Application Number Title Priority Date Filing Date
KR1020227037382A KR102584339B1 (en) 2016-10-12 2017-10-11 Pad raising mechanism in wafer positioning pedestal for semiconductor processing
KR1020237032964A KR20230141940A (en) 2016-10-12 2017-10-11 Pad raising mechanism in wafer positioning pedestal for semiconductor processing

Country Status (4)

Country Link
KR (3) KR102584339B1 (en)
CN (2) CN110062816B (en)
TW (2) TWI810807B (en)
WO (1) WO2018071598A1 (en)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP7023826B2 (en) * 2018-12-07 2022-02-22 株式会社ニューフレアテクノロジー Continuous film formation method, continuous film formation equipment, susceptor unit, and spacer set used for susceptor unit.
CN111033682B (en) * 2019-11-27 2021-11-02 重庆康佳光电技术研究院有限公司 Semiconductor vacuum processing equipment and method for processing semiconductor
US11335585B2 (en) * 2020-05-08 2022-05-17 Taiwan Semiconductor Manufacturing Co., Ltd. Vacuum wafer chuck for manufacturing semiconductor devices
TWI747281B (en) * 2020-05-11 2021-11-21 大陸商蘇州雨竹機電有限公司 Thin film deposition rotating disk system
CN113299585B (en) * 2021-07-26 2021-11-12 武汉中导光电设备有限公司 Z-Theta combined device for wafer detection
CN116479411B (en) * 2023-04-27 2024-03-12 大连皓宇电子科技有限公司 Multistation silicon chip handling device that chemical vapor deposition equipment was used

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4869801A (en) * 1988-02-05 1989-09-26 Leybold Aktiengesellschaft Apparatus for mounting workpieces
US5899653A (en) * 1997-06-23 1999-05-04 Applied Materials, Inc. Two-stage vacuum bellows
KR20050105559A (en) * 2004-04-30 2005-11-04 주식회사 씨싸이언스 Alignment apparatus for wafer in electrodes
KR20120103060A (en) * 2011-03-09 2012-09-19 주식회사 탑 엔지니어링 Chuck structure assembly and device for processing semiconductor substrate using the same

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0851141A (en) * 1994-08-08 1996-02-20 Kokusai Electric Co Ltd Pedestal rotating and vertically moving mechanism
US6223447B1 (en) * 2000-02-15 2001-05-01 Applied Materials, Inc. Fastening device for a purge ring
US8308931B2 (en) * 2006-08-16 2012-11-13 Novellus Systems, Inc. Method and apparatus for electroplating
US20020025244A1 (en) * 2000-04-12 2002-02-28 Kim Ki-Sang Transfer system and apparatus for workpiece containers and method of transferring the workpiece containers using the same
US20060281310A1 (en) * 2005-06-08 2006-12-14 Applied Materials, Inc. Rotating substrate support and methods of use
KR101841753B1 (en) * 2006-08-18 2018-03-23 브룩스 오토메이션 인코퍼레이티드 Reduced capacity carrier, transport, load port, buffer system
JP5835722B2 (en) * 2009-12-10 2015-12-24 オルボテック エルティ ソラー,エルエルシー Automatic ranking multi-directional serial processor
CN102439710B (en) * 2010-03-25 2017-03-29 应用材料公司 For the segmentation substrate loading of multiple base material treatments
KR101259930B1 (en) * 2010-12-13 2013-05-02 주식회사 싸이맥스 Wafer aligning apparatus
US9337067B2 (en) * 2011-05-13 2016-05-10 Novellus Systems, Inc. High temperature electrostatic chuck with radial thermal chokes
US9484233B2 (en) * 2012-04-13 2016-11-01 Novellus Systems, Inc. Carousel reactor for multi-station, sequential processing systems
KR101891007B1 (en) * 2012-05-18 2018-08-22 비코 인스트루먼츠 인코포레이티드 Rotating disk reactor with ferrofluid seal for chemical vapor deposition
US10190232B2 (en) * 2013-08-06 2019-01-29 Lam Research Corporation Apparatuses and methods for maintaining pH in nickel electroplating baths
CN104465447B (en) * 2013-09-17 2017-07-21 北京北方微电子基地设备工艺研究中心有限责任公司 Microscope carrier lowering or hoisting gear, reaction chamber and plasma processing device
US9945044B2 (en) * 2013-11-06 2018-04-17 Lam Research Corporation Method for uniform flow behavior in an electroplating cell
US10648079B2 (en) * 2014-12-19 2020-05-12 Lam Research Corporation Reducing backside deposition at wafer edge
US9758897B2 (en) * 2015-01-27 2017-09-12 Applied Materials, Inc. Electroplating apparatus with notch adapted contact ring seal and thief electrode

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4869801A (en) * 1988-02-05 1989-09-26 Leybold Aktiengesellschaft Apparatus for mounting workpieces
US5899653A (en) * 1997-06-23 1999-05-04 Applied Materials, Inc. Two-stage vacuum bellows
KR20050105559A (en) * 2004-04-30 2005-11-04 주식회사 씨싸이언스 Alignment apparatus for wafer in electrodes
KR20120103060A (en) * 2011-03-09 2012-09-19 주식회사 탑 엔지니어링 Chuck structure assembly and device for processing semiconductor substrate using the same

Also Published As

Publication number Publication date
KR20220147730A (en) 2022-11-03
TWI758337B (en) 2022-03-21
CN110062816A (en) 2019-07-26
WO2018071598A1 (en) 2018-04-19
KR102461066B1 (en) 2022-10-28
TW201833371A (en) 2018-09-16
CN110062816B (en) 2021-09-07
TWI810807B (en) 2023-08-01
TW202343638A (en) 2023-11-01
CN114121769A (en) 2022-03-01
TW202224071A (en) 2022-06-16
KR20230141940A (en) 2023-10-10
KR102584339B1 (en) 2023-09-27

Similar Documents

Publication Publication Date Title
US10870922B2 (en) Moment cancelling pad raising mechanism in wafer positioning pedestal for semiconductor processing
US11955366B2 (en) Pad raising mechanism in wafer positioning pedestal for semiconductor processing
KR102458099B1 (en) Wafer positioning pedestal for semiconductor processing
KR102461066B1 (en) Pad Raise Mechanism of Wafer Positioning Pedestal for Semiconductor Processing
US20190304825A1 (en) Dual temperature heater

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
A107 Divisional application of patent
GRNT Written decision to grant